From 07cfe028a81f88f74634a6fe5f2ef42b00d03fcf Mon Sep 17 00:00:00 2001 From: ZhangZifei <1773908404@qq.com> Date: Tue, 14 Jul 2020 16:38:30 +0800 Subject: [PATCH 01/56] test(bpu): add two simple bpu test to src/test/testcase --- src/test/testcase/Makefile | 23 +++++++++++++++++++++++ src/test/testcase/tests/double-loop.c | 20 ++++++++++++++++++++ src/test/testcase/tests/nested-loop.c | 15 +++++++++++++++ 3 files changed, 58 insertions(+) create mode 100644 src/test/testcase/Makefile create mode 100644 src/test/testcase/tests/double-loop.c create mode 100644 src/test/testcase/tests/nested-loop.c diff --git a/src/test/testcase/Makefile b/src/test/testcase/Makefile new file mode 100644 index 00000000000..c62adf388dd --- /dev/null +++ b/src/test/testcase/Makefile @@ -0,0 +1,23 @@ +include $(AM_HOME)/Makefile.check +.PHONY: all run clean latest $(ALL) + +ALL = $(basename $(notdir $(shell find tests/. -name "*.c"))) + +all: $(addprefix Makefile., $(ALL)) + @echo "" $(ALL) + +$(ALL): %: Makefile.% + +Makefile.%: tests/%.c latest + @/bin/echo -e "NAME = $*\nSRCS = $<\nLIBS += klib\ninclude $${AM_HOME}/Makefile.app" > $@ + -@make -s -f $@ ARCH=$(ARCH) $(MAKECMDGOALS) + -@rm -f Makefile.$* + +#cancel rules included by $(AM_HOME)/Makefile.check +image: ; +default $(MAKECMDGOALS): all ; + +clean: + rm -rf Makefile.* build/ + +latest: \ No newline at end of file diff --git a/src/test/testcase/tests/double-loop.c b/src/test/testcase/tests/double-loop.c new file mode 100644 index 00000000000..5abb7a19230 --- /dev/null +++ b/src/test/testcase/tests/double-loop.c @@ -0,0 +1,20 @@ +// #include +// #include + +# define OutLoopNum 20 +# define InnerLoopNum 20 + +int array[OutLoopNum][InnerLoopNum]; + +void double_loop() { + for (int i = 0; i < OutLoopNum; i++) { + for (int j = 1; j < InnerLoopNum; j++) { + array[i][j] = i + j; + } + } +} + +int main () { + double_loop(); + return 0; +} diff --git a/src/test/testcase/tests/nested-loop.c b/src/test/testcase/tests/nested-loop.c new file mode 100644 index 00000000000..4ae727bf992 --- /dev/null +++ b/src/test/testcase/tests/nested-loop.c @@ -0,0 +1,15 @@ +// #include +// #include + +# define DEPTH 100 + +int nest(int depth) { + if (depth == 0) return depth; + nest(depth - 1); + return depth; +} + +int main () { + nest(DEPTH); + return 0; +} From 70edd9bfef97665378163f2bf9e0295f589d28b9 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Thu, 16 Jul 2020 16:41:53 +0800 Subject: [PATCH 02/56] csr,roq: add interrupt vector --- src/main/scala/xiangshan/backend/decode/Decoder.scala | 9 +-------- src/main/scala/xiangshan/backend/fu/CSR.scala | 2 +- src/main/scala/xiangshan/backend/roq/Roq.scala | 11 +++++++---- 3 files changed, 9 insertions(+), 13 deletions(-) diff --git a/src/main/scala/xiangshan/backend/decode/Decoder.scala b/src/main/scala/xiangshan/backend/decode/Decoder.scala index f65a2319e8c..3cd54cf221a 100644 --- a/src/main/scala/xiangshan/backend/decode/Decoder.scala +++ b/src/main/scala/xiangshan/backend/decode/Decoder.scala @@ -20,7 +20,6 @@ class Decoder extends XSModule with HasInstrType { io.out := DontCare // FIXME: remove me!!! io.out.cf := io.in - val hasIntr = Wire(Bool()) val instr: UInt = io.in.instr val decodeList = ListLookup(instr, Instructions.DecodeDefault, Instructions.DecodeTable) val instrType :: fuType :: fuOpType :: Nil = decodeList @@ -141,17 +140,11 @@ class Decoder extends XSModule with HasInstrType { // when(io.out.fire()){printf("[IDU] issue: pc %x npc %x instr %x\n", io.out.bits.cf.pc, io.out.bits.cf.pnpc, io.out.bits.cf.instr)} // } - //FIXME: move it to ROB - val intrVec = WireInit(0.U(12.W)) - BoringUtils.addSink(intrVec, "intrVecIDU") - io.out.cf.intrVec.zip(intrVec.asBools).map{ case(x, y) => x := y } - hasIntr := intrVec.orR - val vmEnable = WireInit(false.B) BoringUtils.addSink(vmEnable, "DTLBENABLE") io.out.cf.exceptionVec.map(_ := false.B) - io.out.cf.exceptionVec(illegalInstr) := (instrType === InstrN && !hasIntr) + io.out.cf.exceptionVec(illegalInstr) := instrType === InstrN io.out.cf.exceptionVec(instrPageFault) := io.in.exceptionVec(instrPageFault) io.out.cf.exceptionVec(instrAccessFault) := io.in.pc(VAddrBits - 1, PAddrBits).orR && !vmEnable diff --git a/src/main/scala/xiangshan/backend/fu/CSR.scala b/src/main/scala/xiangshan/backend/fu/CSR.scala index 0bd6653cbe0..6279b1921c8 100644 --- a/src/main/scala/xiangshan/backend/fu/CSR.scala +++ b/src/main/scala/xiangshan/backend/fu/CSR.scala @@ -591,7 +591,7 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons val intrVecEnable = Wire(Vec(12, Bool())) intrVecEnable.zip(ideleg.asBools).map{case(x,y) => x := priviledgedEnableDetect(y)} val intrVec = mie(11,0) & mip.asUInt & intrVecEnable.asUInt - BoringUtils.addSource(intrVec, "intrVecIDU") + ExcitingUtils.addSource(intrVec, "intrVecIDU") // val intrNO = PriorityEncoder(intrVec) val intrNO = IntPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(io.cfIn.intrVec(i), i.U, sum)) diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index a98bb4b86a2..130f053f494 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -26,7 +26,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { // val brMask = Reg(Vec(RoqSize, UInt(BrqSize.W))) val valid = RegInit(VecInit(List.fill(RoqSize)(false.B))) val writebacked = Reg(Vec(RoqSize, Bool())) - val redirect = Reg(Vec(RoqSize, new Redirect)) +// val redirect = Reg(Vec(RoqSize, new Redirect)) val exuData = Reg(Vec(RoqSize, UInt(XLEN.W)))//for debug val exuDebug = Reg(Vec(RoqSize, new DebugBundle))//for debug @@ -192,9 +192,12 @@ class Roq(implicit val p: XSConfig) extends XSModule { XSDebug("roq full, switched to s_extrawalk. needExtraSpaceForMPR: %b\n", needExtraSpaceForMPR.asUInt) } - // roq redirect only used for exception - io.redirect := DontCare //TODO - io.redirect.valid := false.B //TODO + // TODO: roq redirect only used for exception + val intrVec = WireInit(0.U(12.W)) + ExcitingUtils.addSink(intrVec, "intrVecIDU") +// io.out.cf.intrVec.zip(intrVec.asBools).map{ case(x, y) => x := y } + io.redirect := DontCare + io.redirect.valid := intrVec.orR // debug info XSDebug("head %d:%d tail %d:%d\n", ringBufferHeadExtended(InnerRoqIdxWidth), ringBufferHead, ringBufferTailExtended(InnerRoqIdxWidth), ringBufferTail) From 320b4406554b1ce584c4842d5024de9a74bca7f4 Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Fri, 17 Jul 2020 16:49:50 +0800 Subject: [PATCH 03/56] btb: add read-after-write bypass and RVILateJump logic --- src/main/scala/xiangshan/Bundle.scala | 34 +++++----- src/main/scala/xiangshan/frontend/IFU.scala | 2 + src/main/scala/xiangshan/frontend/btb.scala | 69 ++++++++++++--------- 3 files changed, 61 insertions(+), 44 deletions(-) diff --git a/src/main/scala/xiangshan/Bundle.scala b/src/main/scala/xiangshan/Bundle.scala index 0d217225ff5..a784225013f 100644 --- a/src/main/scala/xiangshan/Bundle.scala +++ b/src/main/scala/xiangshan/Bundle.scala @@ -11,12 +11,12 @@ class FetchPacket extends XSBundle { val instrs = Vec(FetchWidth, UInt(32.W)) val mask = UInt((FetchWidth*2).W) val pc = UInt(VAddrBits.W) // the pc of first inst in the fetch group - val pnpc = Vec(FetchWidth, UInt(VAddrBits.W)) - val hist = Vec(FetchWidth, UInt(HistoryLength.W)) + val pnpc = Vec(FetchWidth*2, UInt(VAddrBits.W)) + val hist = Vec(FetchWidth*2, UInt(HistoryLength.W)) // val btbVictimWay = UInt(log2Up(BtbWays).W) - val predCtr = Vec(FetchWidth, UInt(2.W)) - val btbHitWay = Bool() - val tageMeta = Vec(FetchWidth, (new TageMeta)) + val predCtr = Vec(FetchWidth*2, UInt(2.W)) + val btbHit = Vec(FetchWidth*2, Bool()) + val tageMeta = Vec(FetchWidth*2, (new TageMeta)) val rasSp = UInt(log2Up(RasSize).W) val rasTopCtr = UInt(8.W) } @@ -47,20 +47,20 @@ class BranchPrediction extends XSBundle { val redirect = Bool() // mask off all the instrs after the first redirect instr - val instrValid = Vec(FetchWidth, Bool()) + val instrValid = Vec(FetchWidth*2, Bool()) // target of the first redirect instr in a fetch package val target = UInt(VAddrBits.W) // save these info in brq! // global history of each valid(or uncancelled) instruction, excluding branch's own prediction result - val hist = Vec(FetchWidth, UInt(HistoryLength.W)) + val hist = Vec(FetchWidth*2, UInt(HistoryLength.W)) // victim way when updating btb // val btbVictimWay = UInt(log2Up(BtbWays).W) // 2-bit saturated counter - val predCtr = Vec(FetchWidth, UInt(2.W)) - val btbHitWay = Bool() + val predCtr = Vec(FetchWidth*2, UInt(2.W)) + val btbHit = Vec(FetchWidth*2, Bool()) // tage meta info - val tageMeta = Vec(FetchWidth, (new TageMeta)) + val tageMeta = Vec(FetchWidth*2, (new TageMeta)) // ras checkpoint, only used in Stage3 val rasSp = UInt(log2Up(RasSize).W) val rasTopCtr = UInt(8.W) @@ -68,9 +68,10 @@ class BranchPrediction extends XSBundle { // Save predecode info in icache class Predecode extends XSBundle { - val mask = UInt(FetchWidth.W) - val fuTypes = Vec(FetchWidth, FuType()) - val fuOpTypes = Vec(FetchWidth, FuOpType()) + val mask = UInt(FetchWidth*2.W) + val isRVC = Vec(FetchWidth*2, Bool()) + val fuTypes = Vec(FetchWidth*2, FuType()) + val fuOpTypes = Vec(FetchWidth*2, FuOpType()) } // Dequeue DecodeWidth insts from Ibuffer @@ -82,7 +83,7 @@ class CtrlFlow extends XSBundle { val hist = UInt(HistoryLength.W) // val btbVictimWay = UInt(log2Up(BtbWays).W) val btbPredCtr = UInt(2.W) - val btbHitWay = Bool() + val btbHit = Bool() val tageMeta = new TageMeta val rasSp = UInt(log2Up(RasSize).W) val rasTopCtr = UInt(8.W) @@ -130,14 +131,15 @@ class Redirect extends XSBundle { val brTarget = UInt(VAddrBits.W) val brTag = new BrqPtr val _type = UInt(2.W) + val isRVC = Bool() //val isCall = Bool() val taken = Bool() val hist = UInt(HistoryLength.W) val tageMeta = new TageMeta - val fetchIdx = UInt(log2Up(FetchWidth).W) + val fetchIdx = UInt(log2Up(FetchWidth*2).W) // val btbVictimWay = UInt(log2Up(BtbWays).W) val btbPredCtr = UInt(2.W) - val btbHitWay = Bool() + val btbHit = Bool() val rasSp = UInt(log2Up(RasSize).W) val rasTopCtr = UInt(8.W) val isException = Bool() diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 09657c6d222..ee10c0902c7 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -163,11 +163,13 @@ class IFU extends XSModule with HasIFUConst //redirect: tage result differ btb if4_btb_missPre := (if4_tage_taken ^ if4_btb_taken) || (if4_tage_taken && if4_btb_taken && (if4_tage_target =/= if4_btb_target)) + /* if(EnableBPD){ when(!if4_tage_taken && if4_btb_taken && if4_valid){ if1_npc := if4_pc + (PopCount(io.fetchPacket.bits.mask) >> 2.U) } } + */ //redirect: miss predict when(io.redirectInfo.flush()){ diff --git a/src/main/scala/xiangshan/frontend/btb.scala b/src/main/scala/xiangshan/frontend/btb.scala index 2e6378ee4c9..6cc42f3c8f0 100644 --- a/src/main/scala/xiangshan/frontend/btb.scala +++ b/src/main/scala/xiangshan/frontend/btb.scala @@ -9,12 +9,9 @@ import chisel3.util.experimental.BoringUtils import xiangshan.backend.decode.XSTrap class BTBUpdateBundle extends XSBundle { - // val fetchPC = UInt(VAddrBits.W) val pc = UInt(VAddrBits.W) - // val fetchIdx = UInt(log2Up(FetchWidth*2).W) val hit = Bool() val misPred = Bool() - // val writeWay = UInt(log2Up(BtbWays).W) val oldCtr = UInt(2.W) val taken = Bool() val target = UInt(VAddrBits.W) @@ -23,15 +20,16 @@ class BTBUpdateBundle extends XSBundle { } class BTBPred extends XSBundle { - val hit = Bool() val taken = Bool() - val takenIdx = UInt(log2Up(FetchWidth).W) + val takenIdx = UInt(log2Up(PredictWidth).W) val target = UInt(VAddrBits.W) - // val writeWay = UInt(log2Up(BtbWays).W) - val notTakens = Vec(FetchWidth, Bool()) - val dEntries = Vec(FetchWidth, btbDataEntry()) - val hits = Vec(FetchWidth, Bool()) + val notTakens = Vec(PredictWidth, Bool()) + val dEntries = Vec(PredictWidth, btbDataEntry()) + val hits = Vec(PredictWidth, Bool()) + + // whether an RVI instruction crosses over two fetch packet + val isRVILateJump = Bool() } case class btbDataEntry() extends XSBundle { @@ -64,10 +62,7 @@ class BTB extends XSModule { io.in.pc.ready := true.B val fireLatch = RegNext(io.in.pc.fire()) - val nextFire = Wire(Bool()) - nextFire := fireLatch - - + val maskLatch = RegEnable(io.in.mask, io.in.pc.fire()) val btbAddr = new TableAddr(log2Up(BtbSize), BtbBanks) @@ -168,7 +163,7 @@ class BTB extends XSModule { def satUpdate(old: UInt, len: Int, taken: Bool): UInt = { val oldSatTaken = old === ((1 << len)-1).U val oldSatNotTaken = old === 0.U - Mux(oldSatTaken && taken, ((1 << len)-1-1).U, + Mux(oldSatTaken && taken, ((1 << len)-1).U, Mux(oldSatNotTaken && !taken, 0.U, Mux(taken, old + 1.U, old - 1.U))) } @@ -195,7 +190,7 @@ class BTB extends XSModule { val notBrOrJ = u._type =/= BTBtype.B && u._type =/= BTBtype.J // Do not update BTB on indirect or return, or correctly predicted J or saturated counters - val noNeedToUpdate = (!u.misPred && (isBr && updateOnSaturated || isJ)) || (u.misPred && notBrOrJ) + val noNeedToUpdate = (!u.misPred && (isBr && updateOnSaturated || isJ)) || notBrOrJ // do not update on saturated ctrs val btbWriteValid = io.redirectValid && !noNeedToUpdate @@ -209,27 +204,45 @@ class BTB extends XSModule { btbData(b).io.w.req.bits.data := btbDataWrite } - io.out.hit := bankHits.reduce(_||_) + // io.out.hit := bankHits.reduce(_||_) io.out.taken := isTaken - io.out.takenIdx := takenIdx(log2Up(PredictWidth)-1, 1) + io.out.takenIdx := takenIdx io.out.target := takenTarget // io.out.writeWay := writeWay - io.out.notTakens := VecInit((0 until BtbBanks by 2).map(b => notTakenBranches(bankIdxInOrder(b)))) - io.out.dEntries := VecInit((0 until BtbBanks by 2).map(b => dataRead(bankIdxInOrder(b)))) - io.out.hits := VecInit((0 until BtbBanks by 2).map(b => bankHits(bankIdxInOrder(b)))) + io.out.notTakens := VecInit((0 until BtbBanks).map(b => notTakenBranches(bankIdxInOrder(b)))) + io.out.dEntries := VecInit((0 until BtbBanks).map(b => dataRead(bankIdxInOrder(b)))) + io.out.hits := VecInit((0 until BtbBanks).map(b => bankHits(bankIdxInOrder(b)))) + io.out.isRVILateJump := io.out.taken && takenIdx === OHToUInt(HighestBit(maskLatch, PredictWidth)) && !dataRead(bankIdxInOrder(takenIdx)).isRVC + + // read-after-write bypass + for (b <- 0 until BtbBanks) { + when (b.U === updateBankIdx && realRow(b) === updateRow) { // read and write to the same address + when (realMask(b) && io.in.pc.valid && btbWriteValid) { // both read and write valid + btbMeta(b).io.r.req.valid := false.B + btbData(b).io.r.req.valid := false.B + metaRead(b) := RegNext(btbMetaWrite) + dataRead(b) := RegNext(btbDataWrite) + readFire(b) := true.B + XSDebug("raw bypass hits: bank=%d, row=%d, meta: %d %x, data: tgt=%x pred=%b _type=%b isRVC=%d\n", + b.U, updateRow, + btbMetaWrite.valid, btbMetaWrite.tag, + btbDataWrite.target, btbDataWrite.pred, btbDataWrite._type, btbDataWrite.isRVC) + } + } + } - XSDebug(io.in.pc.fire(), "[BTB]read: pc=0x%x, baseBank=%d, realMask=%b\n", io.in.pc.bits, baseBank, realMask) - XSDebug(nextFire, "[BTB]read_resp: pc=0x%x, readIdx=%d-------------------------------\n", + XSDebug(io.in.pc.fire(), "read: pc=0x%x, baseBank=%d, realMask=%b\n", io.in.pc.bits, baseBank, realMask) + XSDebug(fireLatch, "read_resp: pc=0x%x, readIdx=%d-------------------------------\n", io.in.pcLatch, btbAddr.getIdx(io.in.pcLatch)) for (i <- 0 until BtbBanks){ - XSDebug(nextFire, "[BTB]read_resp[b=%d][r=%d]: valid=%d, tag=0x%x, target=0x%x, type=%d, ctr=%d\n", + XSDebug(fireLatch, "read_resp[b=%d][r=%d]: valid=%d, tag=0x%x, target=0x%x, type=%d, ctr=%d\n", i.U, realRowLatch(i), metaRead(i).valid, metaRead(i).tag, dataRead(i).target, dataRead(i)._type, dataRead(i).pred) } - XSDebug(nextFire, "[BTB]bankIdxInOrder:") - for (i <- 0 until BtbBanks){ XSDebug(nextFire, "%d ", bankIdxInOrder(i))} - XSDebug(nextFire, "\n") - XSDebug(io.redirectValid, "[BTB]update_req: pc=0x%x, hit=%d, misPred=%d, oldCtr=%d, taken=%d, target=0x%x, _type=%d\n", + XSDebug(fireLatch, "bankIdxInOrder:") + for (i <- 0 until BtbBanks){ XSDebug(fireLatch, "%d ", bankIdxInOrder(i))} + XSDebug(fireLatch, "\n") + XSDebug(io.redirectValid, "update_req: pc=0x%x, hit=%d, misPred=%d, oldCtr=%d, taken=%d, target=0x%x, _type=%d\n", u.pc, u.hit, u.misPred, u.oldCtr, u.taken, u.target, u._type) - XSDebug(io.redirectValid, "[BTB]update: noNeedToUpdate=%d, writeValid=%d, bank=%d, row=%d, newCtr=%d\n", + XSDebug(io.redirectValid, "update: noNeedToUpdate=%d, writeValid=%d, bank=%d, row=%d, newCtr=%d\n", noNeedToUpdate, btbWriteValid, updateBankIdx, updateRow, newCtr) } \ No newline at end of file From c9e1dcf688b5ced7aaca985f2371a0c2f5a9fb9b Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Fri, 17 Jul 2020 17:55:36 +0800 Subject: [PATCH 04/56] jbtac: add RVI late jump logic add read after write bypass add mask in jbtac hit judgement --- src/main/scala/xiangshan/frontend/btb.scala | 2 +- src/main/scala/xiangshan/frontend/jbtac.scala | 37 ++++++++++++++----- 2 files changed, 29 insertions(+), 10 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/btb.scala b/src/main/scala/xiangshan/frontend/btb.scala index 6cc42f3c8f0..26e21c1ccbf 100644 --- a/src/main/scala/xiangshan/frontend/btb.scala +++ b/src/main/scala/xiangshan/frontend/btb.scala @@ -51,7 +51,7 @@ class BTB extends XSModule { val in = new Bundle { val pc = Flipped(Decoupled(UInt(VAddrBits.W))) val pcLatch = Input(UInt(VAddrBits.W)) - val mask = Input(UInt((PredictWidth).W)) + val mask = Input(UInt(PredictWidth.W)) } val redirectValid = Input(Bool()) val flush = Input(Bool()) diff --git a/src/main/scala/xiangshan/frontend/jbtac.scala b/src/main/scala/xiangshan/frontend/jbtac.scala index b31a55c89bf..e8ecbc8aca7 100644 --- a/src/main/scala/xiangshan/frontend/jbtac.scala +++ b/src/main/scala/xiangshan/frontend/jbtac.scala @@ -14,12 +14,14 @@ class JBTACUpdateBundle extends XSBundle { val target = UInt(VAddrBits.W) val _type = UInt(2.W) val misPred = Bool() + val isRVC = Bool() } class JBTACPred extends XSBundle { val hit = Bool() val target = UInt(VAddrBits.W) val hitIdx = UInt(log2Up(PredictWidth).W) + val isRVILateJump = Bool() } class JBTAC extends XSModule { @@ -27,6 +29,7 @@ class JBTAC extends XSModule { val in = new Bundle { val pc = Flipped(Decoupled(UInt(VAddrBits.W))) val pcLatch = Input(UInt(VAddrBits.W)) + val mask = Input(UInt(PredictWidth.W)) val hist = Input(UInt(HistoryLength.W)) } val redirectValid = Input(Bool()) @@ -39,8 +42,6 @@ class JBTAC extends XSModule { io.in.pc.ready := true.B val fireLatch = RegNext(io.in.pc.fire()) - val nextFire = Wire(Bool()) - nextFire := fireLatch // JBTAC, divided into 8 banks, makes prediction for indirect jump except ret. val jbtacAddr = new TableAddr(log2Up(JbtacSize), JbtacBanks) @@ -50,6 +51,7 @@ class JBTAC extends XSModule { val tag = UInt(jbtacAddr.tagBits.W + jbtacAddr.idxBits.W) val target = UInt(VAddrBits.W) val offset = UInt(log2Up(PredictWidth).W) + val isRVC = Bool() } val jbtac = List.fill(JbtacBanks)(Module(new SRAMTemplate(jbtacEntry(), set = JbtacSize / JbtacBanks, shouldReset = true, holdRead = true, singlePort = false))) @@ -76,16 +78,18 @@ class JBTAC extends XSModule { val readBankLatch = jbtacAddr.getBank(histXORAddrLatch) val readRowLatch = jbtacAddr.getBankIdx(histXORAddrLatch) + val readMaskLatch = RegEnable(io.in.mask, io.in.pc.fire()) val outHit = readEntries(readBankLatch).valid && readEntries(readBankLatch).tag === Cat(jbtacAddr.getTag(io.in.pcLatch), jbtacAddr.getIdx(io.in.pcLatch)) && - !io.flush && readFire(readBankLatch) + !io.flush && readFire(readBankLatch) && readMaskLatch(readEntries(readBankLatch).offset).asBool io.out.hit := outHit - io.out.hitIdx := readEntries(readBankLatch).offset(log2Up(PredictWidth)-1, 1) - io.out.target := readEntries(readBankLatch).target + io.out.hitIdx := readEntries(readBankLatch).offset + io.out.target := readEntries(readBankLatch). + io.out.isRVILateJump := io.out.hit && io.out.hitIdx === OHToUInt(HighestBit(readMaskLatch, PredictWidth)) && !readEntries(readBankLatch).isRVC - // 2. update jbtac + // update jbtac val writeEntry = Wire(jbtacEntry()) // val updateHistXORAddr = updatefetchPC ^ Cat(r.hist, 0.U(2.W))(VAddrBits - 1, 0) val updateHistXORAddr = io.update.fetchPC ^ Cat(io.update.hist, 0.U(1.W))(VAddrBits - 1, 0) @@ -95,6 +99,7 @@ class JBTAC extends XSModule { writeEntry.target := io.update.target // writeEntry.offset := updateFetchIdx writeEntry.offset := io.update.fetchIdx + writeEntry.isRVC := io.update.isRVC val writeBank = jbtacAddr.getBank(updateHistXORAddr) val writeRow = jbtacAddr.getBankIdx(updateHistXORAddr) @@ -111,10 +116,24 @@ class JBTAC extends XSModule { } } - XSDebug(io.in.pc.fire(), "[JBTAC]read: pc=0x%x, histXORAddr=0x%x, bank=%d, row=%d, hist=%b\n", + // read-after-write bypass + for (b <- 0 until JbtacBanks) { + when (readBank === writeBank && readRow === writeRow && b.U === readBank) { + when (io.in.pc.fire() && writeValid) { + jbtac(b).io.r.req.valid := false.B + readEntries(b) := RegNext(writeEntry) + readFire(b) := true.B + + XSDebug("raw bypass hits: bank=%d, row=%d, tag=%x, tgt=%x, offet=%d, isRVC=%d\n", + b.U, readRow, writeEntry.tag, writeEntry.target, writeEntry.offset, writeEntry.isRVC) + } + } + } + + XSDebug(io.in.pc.fire(), "read: pc=0x%x, histXORAddr=0x%x, bank=%d, row=%d, hist=%b\n", io.in.pc.bits, histXORAddr, readBank, readRow, io.in.hist) - XSDebug(nextFire, "[JBTAC]read_resp: pc=0x%x, bank=%d, row=%d, target=0x%x, offset=%d, hit=%d\n", + XSDebug(fireLatch, "read_resp: pc=0x%x, bank=%d, row=%d, target=0x%x, offset=%d, hit=%d\n", io.in.pcLatch, readBankLatch, readRowLatch, readEntries(readBankLatch).target, readEntries(readBankLatch).offset, outHit) - XSDebug(io.redirectValid, "[JBTAC]update_req: fetchPC=0x%x, writeValid=%d, hist=%b, bank=%d, row=%d, target=0x%x, offset=%d, type=0x%d\n", + XSDebug(io.redirectValid, "update_req: fetchPC=0x%x, writeValid=%d, hist=%b, bank=%d, row=%d, target=0x%x, offset=%d, type=0x%d\n", io.update.fetchPC, writeValid, io.update.hist, writeBank, writeRow, io.update.target, io.update.fetchIdx, io.update._type) } \ No newline at end of file From f523fa794d6861011ca0e961ead36fe17f6cb671 Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Fri, 17 Jul 2020 20:33:20 +0800 Subject: [PATCH 05/56] bpu: modify FetchWidth into PredictWidth --- src/main/scala/xiangshan/Bundle.scala | 2 +- src/main/scala/xiangshan/frontend/BPU.scala | 102 +++++++++++--------- src/main/scala/xiangshan/frontend/IFU.scala | 8 -- 3 files changed, 57 insertions(+), 55 deletions(-) diff --git a/src/main/scala/xiangshan/Bundle.scala b/src/main/scala/xiangshan/Bundle.scala index a784225013f..0405a7c4941 100644 --- a/src/main/scala/xiangshan/Bundle.scala +++ b/src/main/scala/xiangshan/Bundle.scala @@ -50,7 +50,7 @@ class BranchPrediction extends XSBundle { val instrValid = Vec(FetchWidth*2, Bool()) // target of the first redirect instr in a fetch package val target = UInt(VAddrBits.W) - + val lateJump = Bool() // save these info in brq! // global history of each valid(or uncancelled) instruction, excluding branch's own prediction result val hist = Vec(FetchWidth*2, UInt(HistoryLength.W)) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 70b4bdddcf3..cc3e5a957d2 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -26,18 +26,18 @@ class TableAddr(val idxBits: Int, val banks: Int) extends XSBundle { class Stage1To2IO extends XSBundle { val pc = Output(UInt(VAddrBits.W)) val btb = new Bundle { - val hits = Output(UInt(FetchWidth.W)) - val targets = Output(Vec(FetchWidth, UInt(VAddrBits.W))) + val hits = Output(UInt(PredictWidth.W)) + val targets = Output(Vec(PredictWidth, UInt(VAddrBits.W))) } val jbtac = new Bundle { - val hitIdx = Output(UInt(FetchWidth.W)) + val hitIdx = Output(UInt(PredictWidth.W)) val target = Output(UInt(VAddrBits.W)) } val tage = new Bundle { val hits = Output(UInt(FetchWidth.W)) val takens = Output(Vec(FetchWidth, Bool())) } - val hist = Output(Vec(FetchWidth, UInt(HistoryLength.W))) + val hist = Output(Vec(PredictWidth, UInt(HistoryLength.W))) val btbPred = ValidIO(new BranchPrediction) } @@ -71,33 +71,34 @@ class BPUStage1 extends XSModule { val hist = Mux(updateGhr, newGhr, ghr) // Tage predictor - val tage = Module(new FakeTAGE) - // val tage = if(EnableBPD) Module(new Tage) else Module(new FakeTAGE) + val tage = if(EnableBPD) Module(new Tage) else Module(new FakeTAGE) tage.io.req.valid := io.in.pc.fire() tage.io.req.bits.pc := io.in.pc.bits tage.io.req.bits.hist := hist tage.io.redirectInfo <> io.redirectInfo io.out.bits.tage <> tage.io.out - io.s1OutPred.bits.tageMeta := tage.io.meta + // io.s1OutPred.bits.tageMeta := tage.io.meta // latch pc for 1 cycle latency when reading SRAM val pcLatch = RegEnable(io.in.pc.bits, io.in.pc.fire()) + // TODO: pass real mask in + val maskLatch = RegEnable(btb.io.in.mask, io.in.pc.fire()) val r = io.redirectInfo.redirect - val updateFetchpc = r.pc - (r.fetchIdx << 2.U) + val updateFetchpc = r.pc - (r.fetchIdx << 1.U) // BTB val btb = Module(new BTB) btb.io.in.pc <> io.in.pc btb.io.in.pcLatch := pcLatch // TODO: pass real mask in - btb.io.in.mask := "b1111111111111111".asUInt + btb.io.in.mask := Fill(PredictWidth, 1.U(1.W)) btb.io.redirectValid := io.redirectInfo.valid btb.io.flush := io.flush // btb.io.update.fetchPC := updateFetchpc // btb.io.update.fetchIdx := r.fetchIdx btb.io.update.pc := r.pc - btb.io.update.hit := r.btbHitWay + btb.io.update.hit := r.btbHit btb.io.update.misPred := io.redirectInfo.misPred // btb.io.update.writeWay := r.btbVictimWay btb.io.update.oldCtr := r.btbPredCtr @@ -105,9 +106,9 @@ class BPUStage1 extends XSModule { btb.io.update.target := r.brTarget btb.io.update._type := r._type // TODO: add RVC logic - btb.io.update.isRVC := DontCare + btb.io.update.isRVC := r.isRVC - val btbHit = btb.io.out.hit + // val btbHit = btb.io.out.hit val btbTaken = btb.io.out.taken val btbTakenIdx = btb.io.out.takenIdx val btbTakenTarget = btb.io.out.target @@ -122,12 +123,14 @@ class BPUStage1 extends XSModule { val jbtac = Module(new JBTAC) jbtac.io.in.pc <> io.in.pc jbtac.io.in.pcLatch := pcLatch + // TODO: pass real mask in + jbtac.io.in.mask := Fill(PredictWidth, 1.U(1.W)) jbtac.io.in.hist := hist jbtac.io.redirectValid := io.redirectInfo.valid jbtac.io.flush := io.flush jbtac.io.update.fetchPC := updateFetchpc - jbtac.io.update.fetchIdx := r.fetchIdx << 1 + jbtac.io.update.fetchIdx := r.fetchIdx jbtac.io.update.misPred := io.redirectInfo.misPred jbtac.io.update._type := r._type jbtac.io.update.target := r.target @@ -139,47 +142,47 @@ class BPUStage1 extends XSModule { // calculate global history of each instr val firstHist = RegNext(hist) - val histShift = Wire(Vec(FetchWidth, UInt(log2Up(FetchWidth).W))) - val shift = Wire(Vec(FetchWidth, Vec(FetchWidth, UInt(1.W)))) - (0 until FetchWidth).map(i => shift(i) := Mux(!btbNotTakens(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W)))) - for (j <- 0 until FetchWidth) { + val histShift = Wire(Vec(PredictWidth, UInt(log2Up(PredictWidth).W))) + val shift = Wire(Vec(PredictWidth, Vec(PredictWidth, UInt(1.W)))) + (0 until PredictWidth).map(i => shift(i) := Mux(!btbNotTakens(i), 0.U, ~LowerMask(UIntToOH(i.U), PredictWidth)).asTypeOf(Vec(PredictWidth, UInt(1.W)))) + for (j <- 0 until PredictWidth) { var tmp = 0.U - for (i <- 0 until FetchWidth) { + for (i <- 0 until PredictWidth) { tmp = tmp + shift(i)(j) } histShift(j) := tmp } - (0 until FetchWidth).map(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i)) + (0 until PredictWidth).map(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i)) // update ghr - updateGhr := io.s1OutPred.bits.redirect || io.flush - val brJumpIdx = Mux(!(btbHit && btbTaken), 0.U, UIntToOH(btbTakenIdx)) + updateGhr := io.flush || io.s1OutPred.bits.redirect || RegNext(io.in.pc.fire) && (btbNotTakens.asUInt & maskLatch).orR.asBool + val brJumpIdx = Mux(!btbTaken, 0.U, UIntToOH(btbTakenIdx)) val indirectIdx = Mux(!jbtacHit, 0.U, UIntToOH(jbtacHitIdx)) - //val newTaken = Mux(io.redirectInfo.flush(), !(r._type === BTBtype.B && !r.taken), ) newGhr := Mux(io.redirectInfo.flush(), (r.hist << 1.U) | !(r._type === BTBtype.B && !r.taken), Mux(io.flush, Mux(io.s3Taken, (io.s3RollBackHist << 1.U) | 1.U, io.s3RollBackHist), Mux(io.s1OutPred.bits.redirect, (PriorityMux(brJumpIdx | indirectIdx, io.s1OutPred.bits.hist) << 1.U | 1.U), - io.s1OutPred.bits.hist(0) << PopCount(btbNotTakens)))) + io.s1OutPred.bits.hist(0) << PopCount(btbNotTakens.asUInt & maskLatch)))) // redirect based on BTB and JBTAC - // io.out.valid := RegNext(io.in.pc.fire()) && !flushS1u + val takenIdx = LowestBit(brJumpIdx | indirectIdx, PredictWidth) io.out.valid := RegNext(io.in.pc.fire()) && !io.flush io.s1OutPred.valid := io.out.valid - io.s1OutPred.bits.redirect := btbHit && btbTaken || jbtacHit - // io.s1OutPred.bits.instrValid := LowerMask(UIntToOH(btbTakenIdx), FetchWidth) & LowerMask(UIntToOH(jbtacHitIdx), FetchWidth) - io.s1OutPred.bits.instrValid := Mux(io.s1OutPred.bits.redirect, LowerMask(LowestBit(brJumpIdx | indirectIdx, FetchWidth), FetchWidth), Fill(FetchWidth, 1.U(1.W))).asTypeOf(Vec(FetchWidth, Bool())) - io.s1OutPred.bits.target := Mux(brJumpIdx === LowestBit(brJumpIdx | indirectIdx, FetchWidth), btbTakenTarget, jbtacTarget) + io.s1OutPred.bits.redirect := btbTaken || jbtacHit + io.s1OutPred.bits.instrValid := Mux(io.s1OutPred.bits.redirect, LowerMask(takenIdx, PredictWidth), maskLatch).asTypeOf(Vec(PredictWidth, Bool())) + io.s1OutPred.bits.target := Mux(brJumpIdx === takenIdx, btbTakenTarget, Mux(indirectIdx === takenIdx, jbtacTarget, pcLatch + PopCount(maskLatch) << 1.U)) + io.s1OutPred.bits.lateJump := btb.io.out.isRVILateJump || jbtac.io.out.isRVILateJump // io.s1OutPred.bits.btbVictimWay := btbWriteWay io.s1OutPred.bits.predCtr := btbCtrs - io.s1OutPred.bits.btbHitWay := btbHit + io.s1OutPred.bits.btbHit := btbValids + io.s1OutPred.bits.tageMeta := DontCare io.s1OutPred.bits.rasSp := DontCare io.s1OutPred.bits.rasTopCtr := DontCare io.out.bits.pc := pcLatch io.out.bits.btb.hits := btbValids.asUInt - (0 until FetchWidth).map(i => io.out.bits.btb.targets(i) := btbTargets(i)) - io.out.bits.jbtac.hitIdx := UIntToOH(jbtacHitIdx) + (0 until PredictWidth).map(i => io.out.bits.btb.targets(i) := btbTargets(i)) + io.out.bits.jbtac.hitIdx := Mux(jbtacHit, UIntToOH(jbtacHitIdx), 0.U) io.out.bits.jbtac.target := jbtacTarget // TODO: we don't need this repeatedly! io.out.bits.hist := io.s1OutPred.bits.hist @@ -188,14 +191,14 @@ class BPUStage1 extends XSModule { // debug info - XSDebug(true.B, "[BPUS1]in:(%d %d) pc=%x ghr=%b\n", io.in.pc.valid, io.in.pc.ready, io.in.pc.bits, hist) - XSDebug(true.B, "[BPUS1]outPred:(%d) pc=0x%x, redirect=%d instrValid=%b tgt=%x\n", + XSDebug("in:(%d %d) pc=%x ghr=%b\n", io.in.pc.valid, io.in.pc.ready, io.in.pc.bits, hist) + XSDebug("outPred:(%d) pc=0x%x, redirect=%d instrValid=%b tgt=%x\n", io.s1OutPred.valid, pcLatch, io.s1OutPred.bits.redirect, io.s1OutPred.bits.instrValid.asUInt, io.s1OutPred.bits.target) XSDebug(io.flush && io.redirectInfo.flush(), - "[BPUS1]flush from backend: pc=%x tgt=%x brTgt=%x _type=%b taken=%d oldHist=%b fetchIdx=%d isExcpt=%d\n", + "flush from backend: pc=%x tgt=%x brTgt=%x _type=%b taken=%d oldHist=%b fetchIdx=%d isExcpt=%d\n", r.pc, r.target, r.brTarget, r._type, r.taken, r.hist, r.fetchIdx, r.isException) XSDebug(io.flush && !io.redirectInfo.flush(), - "[BPUS1]flush from Stage3: s3Taken=%d s3RollBackHist=%b\n", io.s3Taken, io.s3RollBackHist) + "flush from Stage3: s3Taken=%d s3RollBackHist=%b\n", io.s3Taken, io.s3RollBackHist) } @@ -230,10 +233,10 @@ class BPUStage2 extends XSModule { io.out.bits := inLatch // debug info - XSDebug(true.B, "[BPUS2]in:(%d %d) pc=%x out:(%d %d) pc=%x\n", + XSDebug("in:(%d %d) pc=%x out:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc, io.out.valid, io.out.ready, io.out.bits.pc) - XSDebug(true.B, "[BPUS2]validLatch=%d pc=%x\n", validLatch, inLatch.pc) - XSDebug(io.flush, "[BPUS2]flush!!!\n") + XSDebug("validLatch=%d pc=%x\n", validLatch, inLatch.pc) + XSDebug(io.flush, "flush!!!\n") } class BPUStage3 extends XSModule { @@ -281,14 +284,21 @@ class BPUStage3 extends XSModule { // brTakenIdx/jalIdx/callIdx/jalrIdx/retIdx/jmpIdx is one-hot encoded. // brNotTakenIdx indicates all the not-taken branches before the first jump instruction. val brIdx = inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & io.predecode.bits.mask - val brTakenIdx = LowestBit(brIdx & inLatch.tage.takens.asUInt, FetchWidth) - val jalIdx = LowestBit(inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & io.predecode.bits.mask, FetchWidth) - val callIdx = LowestBit(inLatch.btb.hits & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.call }).asUInt), FetchWidth) - val jalrIdx = LowestBit(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), FetchWidth) - val retIdx = LowestBit(io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), FetchWidth) - - val jmpIdx = LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, FetchWidth) - val brNotTakenIdx = brIdx & ~inLatch.tage.takens.asUInt & LowerMask(jmpIdx, FetchWidth) & io.predecode.bits.mask + val brTakenIdx = if(HasBPD) { + LowestBit(brIdx & Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt), PredictWidth) + } else { + LowestBit(brIdx & Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt), PredictWidth) + } + // TODO: btb doesn't need to hit, jalIdx/callIdx can be calculated based on instructions read in Cache + val jalIdx = LowestBit(inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & io.predecode.bits.mask, PredictWidth) + val callIdx = LowestBit(inLatch.btb.hits & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.call }).asUInt), PredictWidth) + val jalrIdx = LowestBit(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), PredictWidth) + val retIdx = LowestBit(io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), PredictWidth) + + val jmpIdx = LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, PredictWidth) + val brNotTakenIdx = brIdx & LowerMask(jmpIdx, PredictWidth) & ( + if(HasBPD) ~Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt) + else ~Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt)) io.out.bits.redirect := jmpIdx.orR.asBool io.out.bits.target := Mux(jmpIdx === retIdx, rasTopAddr, diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index ee10c0902c7..fa76eb0e0a0 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -163,14 +163,6 @@ class IFU extends XSModule with HasIFUConst //redirect: tage result differ btb if4_btb_missPre := (if4_tage_taken ^ if4_btb_taken) || (if4_tage_taken && if4_btb_taken && (if4_tage_target =/= if4_btb_target)) - /* - if(EnableBPD){ - when(!if4_tage_taken && if4_btb_taken && if4_valid){ - if1_npc := if4_pc + (PopCount(io.fetchPacket.bits.mask) >> 2.U) - } - } - */ - //redirect: miss predict when(io.redirectInfo.flush()){ if1_npc := io.redirectInfo.redirect.target From bf9968b29deaf6ddc4cc0defed7b216d758fe67d Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Sun, 19 Jul 2020 15:15:49 +0800 Subject: [PATCH 06/56] csr,roq: support interrupt to difftest --- src/main/scala/utils/LogUtils.scala | 3 + src/main/scala/xiangshan/Bundle.scala | 3 +- .../scala/xiangshan/backend/Backend.scala | 3 + .../xiangshan/backend/exu/JmpExeUnit.scala | 3 + .../xiangshan/backend/exu/MulDivExeUnit.scala | 1 + src/main/scala/xiangshan/backend/fu/CSR.scala | 138 +++++++++--------- .../scala/xiangshan/backend/roq/Roq.scala | 26 +++- src/test/csrc/difftest.cpp | 43 +++--- 8 files changed, 124 insertions(+), 96 deletions(-) diff --git a/src/main/scala/utils/LogUtils.scala b/src/main/scala/utils/LogUtils.scala index 8bfdee1dd81..2f2f6da6a6d 100644 --- a/src/main/scala/utils/LogUtils.scala +++ b/src/main/scala/utils/LogUtils.scala @@ -28,6 +28,9 @@ object XSLog { if(generateLog){ when (cond && logEnable) { printf((if (prefix) commonInfo else p"") + pable) + if (debugLevel >= XSLogLevel.ERROR) { + assert(false.B) + } } } } diff --git a/src/main/scala/xiangshan/Bundle.scala b/src/main/scala/xiangshan/Bundle.scala index 0d7e7b00671..2a43c795c9e 100644 --- a/src/main/scala/xiangshan/Bundle.scala +++ b/src/main/scala/xiangshan/Bundle.scala @@ -99,7 +99,8 @@ class ExuIO extends XSBundle { val in = Flipped(DecoupledIO(new ExuInput)) val redirect = Flipped(ValidIO(new Redirect)) val out = DecoupledIO(new ExuOutput) - + // for csr + val exception = Flipped(ValidIO(new MicroOp)) // for Lsu val dmem = new SimpleBusUC val scommit = Input(UInt(3.W)) diff --git a/src/main/scala/xiangshan/backend/Backend.scala b/src/main/scala/xiangshan/backend/Backend.scala index b2430c29a1c..76c2a5b6784 100644 --- a/src/main/scala/xiangshan/backend/Backend.scala +++ b/src/main/scala/xiangshan/backend/Backend.scala @@ -38,6 +38,7 @@ class Backend(implicit val p: XSConfig) extends XSModule // val fmiscDivSqrtExeUnits = Array.tabulate(exuParameters.FmiscDivSqrtCnt)(_ => Module(new FmiscDivSqrt)) val lsuExeUnits = Array.tabulate(exuParameters.StuCnt)(_ => Module(new LsExeUnit)) val exeUnits = jmpExeUnit +: (aluExeUnits ++ mulExeUnits ++ mduExeUnits ++ lsuExeUnits) + exeUnits.foreach(_.io.exception := DontCare) exeUnits.foreach(_.io.dmem := DontCare) exeUnits.foreach(_.io.scommit := DontCare) @@ -121,6 +122,8 @@ class Backend(implicit val p: XSConfig) extends XSModule lsuExeUnits.foreach(_.io.dmem <> io.dmem) lsuExeUnits.foreach(_.io.scommit <> roq.io.scommit) + jmpExeUnit.io.exception.valid := roq.io.redirect.valid + jmpExeUnit.io.exception.bits := roq.io.exception io.frontend.redirectInfo <> redirectInfo io.frontend.commits <> roq.io.commits diff --git a/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala b/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala index 158e0f9c43c..1a228157b9b 100644 --- a/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala @@ -9,6 +9,7 @@ class JmpExeUnit(implicit val p: XSConfig) extends Exu(Exu.jmpExeUnitCfg) { val jmp = Module(new Jump) jmp.io.out.ready := io.out.ready + jmp.io.exception <> DontCare jmp.io.dmem <> DontCare jmp.io.scommit := DontCare jmp.io.redirect := io.redirect @@ -16,6 +17,7 @@ class JmpExeUnit(implicit val p: XSConfig) extends Exu(Exu.jmpExeUnitCfg) { val csr = Module(new CSR) csr.io.cfIn := io.in.bits.uop.cf csr.io.fpu_csr := DontCare + csr.io.exception <> io.exception csr.io.instrValid := DontCare csr.io.imemMMU := DontCare csr.io.dmemMMU := DontCare @@ -30,6 +32,7 @@ class JmpExeUnit(implicit val p: XSConfig) extends Exu(Exu.jmpExeUnitCfg) { val csrExuOut = Wire(new ExuOutput) csrExuOut.uop := io.in.bits.uop + csrExuOut.uop.cf := csr.io.cfOut csrExuOut.data := csrOut csrExuOut.redirectValid := csr.io.redirectValid csrExuOut.redirect.brTag := io.in.bits.uop.brTag diff --git a/src/main/scala/xiangshan/backend/exu/MulDivExeUnit.scala b/src/main/scala/xiangshan/backend/exu/MulDivExeUnit.scala index a1018652cac..f1a69861d19 100644 --- a/src/main/scala/xiangshan/backend/exu/MulDivExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/MulDivExeUnit.scala @@ -18,6 +18,7 @@ class MulDivExeUnit extends Exu(Exu.mulDivExeUnitCfg){ val div = Module(new DivExeUnit) for(x <- Seq(mul.io, div.io)){ + x.exception <> DontCare x.scommit <> DontCare x.dmem <> DontCare x.in.bits := io.in.bits diff --git a/src/main/scala/xiangshan/backend/fu/CSR.scala b/src/main/scala/xiangshan/backend/fu/CSR.scala index 6279b1921c8..1868fa547c8 100644 --- a/src/main/scala/xiangshan/backend/fu/CSR.scala +++ b/src/main/scala/xiangshan/backend/fu/CSR.scala @@ -163,10 +163,13 @@ class CSRIO extends FunctionUnitIO { val redirect = Output(new Redirect) val redirectValid = Output(Bool()) val fpu_csr = Flipped(new FpuCsrIO) + val cfOut = Output(new CtrlFlow) + // from rob + val exception = Flipped(ValidIO(new MicroOp)) // for exception check val instrValid = Input(Bool()) // for differential testing - val intrNO = Output(UInt(XLEN.W)) +// val intrNO = Output(UInt(XLEN.W)) val imemMMU = Flipped(new MMUIO) val dmemMMU = Flipped(new MMUIO) val wenFix = Output(Bool()) @@ -175,6 +178,8 @@ class CSRIO extends FunctionUnitIO { class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRConst{ val io = IO(new CSRIO) + io.cfOut := io.cfIn + val (valid, src1, src2, func) = (io.in.valid, io.in.bits.src1, io.in.bits.src2, io.in.bits.func) def access(valid: Bool, src1: UInt, src2: UInt, func: UInt): UInt = { this.valid := valid @@ -510,12 +515,9 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons val isSret = addr === privSret && func === CSROpType.jmp val isUret = addr === privUret && func === CSROpType.jmp - Debug(false){ - when(wen){ - printf("[CSR] csr write: pc %x addr %x rdata %x wdata %x func %x\n", io.cfIn.pc, addr, rdata, wdata, func) - printf("[MST] time %d pc %x mstatus %x mideleg %x medeleg %x mode %x\n", GTimer(), io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) - } - } + XSDebug(wen, "csr write: pc %x addr %x rdata %x wdata %x func %x\n", io.cfIn.pc, addr, rdata, wdata, func) + XSDebug(wen, "pc %x mstatus %x mideleg %x medeleg %x mode %x\n", io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) + // MMU Permission Check @@ -550,19 +552,19 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons io.imemMMU.status_mxr := DontCare io.dmemMMU.status_mxr := mstatusStruct.mxr.asBool - val hasInstrPageFault = io.cfIn.exceptionVec(instrPageFault) && valid + val hasInstrPageFault = io.exception.bits.cf.exceptionVec(instrPageFault) && io.exception.valid val hasLoadPageFault = io.dmemMMU.loadPF val hasStorePageFault = io.dmemMMU.storePF - val hasStoreAddrMisaligned = io.cfIn.exceptionVec(storeAddrMisaligned) - val hasLoadAddrMisaligned = io.cfIn.exceptionVec(loadAddrMisaligned) + val hasStoreAddrMisaligned = io.exception.bits.cf.exceptionVec(storeAddrMisaligned) + val hasLoadAddrMisaligned = io.exception.bits.cf.exceptionVec(loadAddrMisaligned) when(hasInstrPageFault || hasLoadPageFault || hasStorePageFault){ val tval = Mux( hasInstrPageFault, Mux( - io.cfIn.crossPageIPFFix, - SignExt(io.cfIn.pc + 2.U, XLEN), - SignExt(io.cfIn.pc, XLEN) + io.exception.bits.cf.crossPageIPFFix, + SignExt(io.exception.bits.cf.pc + 2.U, XLEN), + SignExt(io.exception.bits.cf.pc, XLEN) ), SignExt(io.dmemMMU.addr, XLEN) ) @@ -594,9 +596,11 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons ExcitingUtils.addSource(intrVec, "intrVecIDU") // val intrNO = PriorityEncoder(intrVec) - val intrNO = IntPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(io.cfIn.intrVec(i), i.U, sum)) + val intrNO = IntPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(intrVec(i), i.U, sum)) // val intrNO = PriorityEncoder(io.cfIn.intrVec) - val raiseIntr = io.cfIn.intrVec.asUInt.orR +// val raiseIntr = io.cfIn.intrVec.asUInt.orR + val raiseIntr = intrVec.asUInt.orR && io.exception.valid + XSDebug(raiseIntr, "interrupt: pc=0x%x, %d\n", io.exception.bits.cf.pc, intrNO) val mtip = WireInit(false.B) val meip = WireInit(false.B) @@ -618,28 +622,35 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons csrExceptionVec(loadPageFault) := hasLoadPageFault csrExceptionVec(storePageFault) := hasStorePageFault val iduExceptionVec = io.cfIn.exceptionVec - val raiseExceptionVec = csrExceptionVec.asUInt() | iduExceptionVec.asUInt() - val raiseException = raiseExceptionVec.orR + val exceptionVec = csrExceptionVec.asUInt() | iduExceptionVec.asUInt() + io.cfOut.exceptionVec.zipWithIndex.map{case (e, i) => e := exceptionVec(i) } +// val raiseException = raiseExceptionVec.orR +// val exceptionNO = ExcPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(raiseExceptionVec(i), i.U, sum)) + io.wenFix := DontCare +// val causeNO = (raiseIntr << (XLEN-1)).asUInt() | Mux(raiseIntr, intrNO, exceptionNO) + + val raiseExceptionVec = io.exception.bits.cf.exceptionVec.asUInt() val exceptionNO = ExcPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(raiseExceptionVec(i), i.U, sum)) - io.wenFix := raiseException - val causeNO = (raiseIntr << (XLEN-1)).asUInt() | Mux(raiseIntr, intrNO, exceptionNO) - io.intrNO := Mux(raiseIntr, causeNO, 0.U) + val difftestIntrNO = Mux(raiseIntr, causeNO, 0.U) + ExcitingUtils.addSource(difftestIntrNO, "difftestIntrNOfromCSR") - val raiseExceptionIntr = (raiseException || raiseIntr) && io.instrValid + val raiseExceptionIntr = io.exception.valid +// val raiseExceptionIntr = (raiseException || raiseIntr) && io.instrValid val retTarget = Wire(UInt(VAddrBits.W)) val trapTarget = Wire(UInt(VAddrBits.W)) + ExcitingUtils.addSource(trapTarget, "trapTarget") io.redirect := DontCare - io.redirectValid := (valid && func === CSROpType.jmp) || raiseExceptionIntr || resetSatp + io.redirectValid := (valid && func === CSROpType.jmp) || resetSatp //TODO: use pred pc instead pc+4 io.redirect.target := Mux( resetSatp, io.cfIn.pc+4.U, - Mux( - raiseExceptionIntr, - trapTarget, +// Mux( +// raiseExceptionIntr, +// trapTarget, retTarget - ) +// ) ) XSDebug( @@ -649,33 +660,17 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons io.cfIn.pc ) -// Debug(){ -// when(raiseExceptionIntr){ -// printf("[CSR] int/exc: pc %x int (%d):%x exc: (%d):%x\n",io.cfIn.pc, intrNO, io.cfIn.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) -// printf("[MST] time %d pc %x mstatus %x mideleg %x medeleg %x mode %x\n", GTimer(), io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) -// } -// when(io.redirect.valid){ -// printf("[CSR] redirect to %x\n", io.redirect.target) -// } -// } + XSDebug(raiseExceptionIntr, "int/exc: pc %x int (%d):%x exc: (%d):%x\n",io.exception.bits.cf.pc, intrNO, io.exception.bits.cf.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) + XSDebug(raiseExceptionIntr, "pc %x mstatus %x mideleg %x medeleg %x mode %x\n", io.exception.bits.cf.pc, mstatus, mideleg, medeleg, priviledgeMode) - // Debug(false){ - // when(raiseExceptionIntr){ - // printf("[CSR] raiseExceptionIntr!\n[CSR] int/exc: pc %x int (%d):%x exc: (%d):%x\n",io.cfIn.pc, intrNO, io.cfIn.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) - // printf("[MST] time %d pc %x mstatus %x mideleg %x medeleg %x mode %x\n", GTimer(), io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) - // } + XSDebug(io.redirectValid, "redirect to %x\n", io.redirect.target) - // when(valid && isMret){ - // printf("[CSR] Mret to %x!\n[CSR] int/exc: pc %x int (%d):%x exc: (%d):%x\n",retTarget, io.cfIn.pc, intrNO, io.cfIn.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) - // printf("[MST] time %d pc %x mstatus %x mideleg %x medeleg %x mode %x\n", GTimer(), io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) - // } + XSDebug(valid && isMret, "Mret to %x!\n[CSR] int/exc: pc %x int (%d):%x exc: (%d):%x\n",retTarget, io.cfIn.pc, intrNO, io.cfIn.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) + XSDebug(valid && isMret, "[MST] pc %x mstatus %x mideleg %x medeleg %x mode %x\n", io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) - // when(valid && isSret){ - // printf("[CSR] Sret to %x!\n[CSR] int/exc: pc %x int (%d):%x exc: (%d):%x\n",retTarget, io.cfIn.pc, intrNO, io.cfIn.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) - // printf("[MST] time %d pc %x mstatus %x mideleg %x medeleg %x mode %x\n", GTimer(), io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) - // } - //printf("[CSR] Red(%d, %x) raiseExcepIntr:%d valid:%d instrValid:%x \n", io.redirect.valid, io.redirect.target, raiseExceptionIntr, valid, io.instrValid) - // } + XSDebug(valid && isSret, "Sret to %x!\n[CSR] int/exc: pc %x int (%d):%x exc: (%d):%x\n",retTarget, io.cfIn.pc, intrNO, io.cfIn.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) + XSDebug(valid && isSret, "pc %x mstatus %x mideleg %x medeleg %x mode %x\n", io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) + XSDebug("Redirect(%d, %x) raiseExcepIntr:%d valid:%d instrValid:%x \n", io.redirectValid, io.redirect.target, raiseExceptionIntr, valid, io.instrValid) // Branch control @@ -687,7 +682,6 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons ret := isMret || isSret || isUret trapTarget := Mux(delegS, stvec, mtvec)(VAddrBits-1, 0) retTarget := DontCare - // TODO redirect target // val illegalEret = TODO when (valid && isMret) { @@ -733,7 +727,7 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons when (delegS) { scause := causeNO - sepc := SignExt(io.cfIn.pc, XLEN) + sepc := SignExt(io.exception.bits.cf.pc, XLEN) mstatusNew.spp := priviledgeMode mstatusNew.pie.s := mstatusOld.ie.s mstatusNew.ie.s := false.B @@ -743,7 +737,7 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons // trapTarget := stvec(VAddrBits-1. 0) }.otherwise { mcause := causeNO - mepc := SignExt(io.cfIn.pc, XLEN) + mepc := SignExt(io.exception.bits.cf.pc, XLEN) mstatusNew.mpp := priviledgeMode mstatusNew.pie.m := mstatusOld.ie.m mstatusNew.ie.m := false.B @@ -764,16 +758,13 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons io.in.ready := true.B io.out.valid := valid -// Debug(false) { -// printf("[CSR2] Red(%d, %x) raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", io.redirect.valid, io.redirect.target, raiseExceptionIntr, isSret, retTarget, sepc, delegS, deleg, io.cfIn.pc, valid, io.instrValid) -// } -// -// Debug(false) { -// when(raiseExceptionIntr && delegS ) { -// printf("[CSR2] Red(%d, %x) raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", io.redirect.valid, io.redirect.target, raiseExceptionIntr, isSret, retTarget, sepc, delegS, deleg, io.cfIn.pc, valid, io.instrValid) -// printf("[CSR3] sepc is writen!!! pc:%x time:%d\n", io.cfIn.pc, GTimer()) -// } -// } + + XSDebug("[CSR2] Red(%d, %x) raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", + io.redirectValid, io.redirect.target, raiseExceptionIntr, isSret, retTarget, sepc, delegS, deleg, io.cfIn.pc, valid, io.instrValid) + XSDebug(raiseExceptionIntr && delegS, "[CSR2] Red(%d, %x) raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", + io.redirectValid, io.redirect.target, raiseExceptionIntr, isSret, retTarget, sepc, delegS, deleg, io.cfIn.pc, valid, io.instrValid) + XSDebug(raiseExceptionIntr && delegS, "[CSR3] sepc is writen!!! pc:%x\n", io.cfIn.pc) + // perfcnt @@ -842,13 +833,20 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons // } // for differential testing - BoringUtils.addSource(RegNext(priviledgeMode), "difftestMode") - BoringUtils.addSource(RegNext(mstatus), "difftestMstatus") - BoringUtils.addSource(RegNext(mstatus & sstatusRmask), "difftestSstatus") - BoringUtils.addSource(RegNext(mepc), "difftestMepc") - BoringUtils.addSource(RegNext(sepc), "difftestSepc") - BoringUtils.addSource(RegNext(mcause), "difftestMcause") - BoringUtils.addSource(RegNext(scause), "difftestScause") +// BoringUtils.addSource(RegNext(priviledgeMode), "difftestMode") +// BoringUtils.addSource(RegNext(mstatus), "difftestMstatus") +// BoringUtils.addSource(RegNext(mstatus & sstatusRmask), "difftestSstatus") +// BoringUtils.addSource(RegNext(mepc), "difftestMepc") +// BoringUtils.addSource(RegNext(sepc), "difftestSepc") +// BoringUtils.addSource(RegNext(mcause), "difftestMcause") +// BoringUtils.addSource(RegNext(scause), "difftestScause") + BoringUtils.addSource(priviledgeMode, "difftestMode") + BoringUtils.addSource(mstatus, "difftestMstatus") + BoringUtils.addSource(mstatus & sstatusRmask, "difftestSstatus") + BoringUtils.addSource(mepc, "difftestMepc") + BoringUtils.addSource(sepc, "difftestSepc") + BoringUtils.addSource(mcause, "difftestMcause") + BoringUtils.addSource(scause, "difftestScause") } else { // BoringUtils.addSource(readWithScala(perfCntList("Minstret")._1), "ilaInstrCnt") } diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 130f053f494..ecac20c498d 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -14,6 +14,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { val dp1Req = Vec(RenameWidth, Flipped(DecoupledIO(new MicroOp))) val roqIdxs = Output(Vec(RenameWidth, UInt(RoqIdxWidth.W))) val redirect = Output(Valid(new Redirect)) + val exception = Output(new MicroOp) // exu + brq val exeWbResults = Vec(exuParameters.ExuCnt + 1, Flipped(ValidIO(new ExuOutput))) val commits = Vec(CommitWidth, Valid(new RoqCommit)) @@ -48,7 +49,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { // Dispatch val csrEnRoq = io.dp1Req.map(i => i.bits.ctrl.fuType === FuType.csr) val hasCsr = RegInit(false.B) - XSError(!(hasCsr && state === s_idle), "CSR block should only happen in s_idle") +// XSError(hasCsr && state =/= s_idle, "CSR block should only happen in s_idle: state %b\n", state) when(ringBufferEmpty){ hasCsr:= false.B } val validDispatch = VecInit((0 until RenameWidth).map(io.dp1Req(_).valid)).asUInt XSDebug("(ready, valid): ") @@ -109,7 +110,8 @@ class Roq(implicit val p: XSConfig) extends XSModule { switch(state){ is(s_idle){ val canCommit = if(i!=0) io.commits(i-1).valid else true.B - io.commits(i).valid := valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit + io.commits(i).valid := (if (i == 0) ((valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U)) || io.redirect.valid) && canCommit + else valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit) io.commits(i).bits.uop := microOp(ringBufferTail+i.U) when(io.commits(i).valid){valid(ringBufferTail+i.U) := false.B} XSInfo(io.commits(i).valid, @@ -192,12 +194,25 @@ class Roq(implicit val p: XSConfig) extends XSModule { XSDebug("roq full, switched to s_extrawalk. needExtraSpaceForMPR: %b\n", needExtraSpaceForMPR.asUInt) } + // when exception occurs, cancels all + when (io.redirect.valid) { + ringBufferHeadExtended := ringBufferTailExtended + } + // TODO: roq redirect only used for exception val intrVec = WireInit(0.U(12.W)) ExcitingUtils.addSink(intrVec, "intrVecIDU") + val trapTarget = WireInit(0.U(VAddrBits.W)) + ExcitingUtils.addSink(trapTarget, "trapTarget") + val intrEnable = intrVec.orR // io.out.cf.intrVec.zip(intrVec.asBools).map{ case(x, y) => x := y } io.redirect := DontCare - io.redirect.valid := intrVec.orR + io.redirect.valid := intrEnable && (state === s_idle) && !hasCsr && !ringBufferEmpty + io.redirect.bits.isException := true.B + io.redirect.bits.target := trapTarget + io.exception := microOp(ringBufferTail) + + XSDebug(io.redirect.valid, "generate exception: pc 0x%x target 0x%x\n", io.exception.cf.pc, trapTarget) // debug info XSDebug("head %d:%d tail %d:%d\n", ringBufferHeadExtended(InnerRoqIdxWidth), ringBufferHead, ringBufferTailExtended(InnerRoqIdxWidth), ringBufferTail) @@ -245,6 +260,9 @@ class Roq(implicit val p: XSConfig) extends XSModule { val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) val trapPC = PriorityMux(wpc.zip(trapVec).map(x => x._2 ->x._1)) + val difftestIntrNO = WireInit(0.U(XLEN.W)) + ExcitingUtils.addSink(difftestIntrNO, "difftestIntrNOfromCSR") + XSDebug(difftestIntrNO =/= 0.U, "difftest intrNO set %d\n", difftestIntrNO) if(!p.FPGAPlatform){ BoringUtils.addSource(RegNext(retireCounter), "difftestCommit") BoringUtils.addSource(RegNext(microOp(firstValidCommit).cf.pc), "difftestThisPC")//first valid PC @@ -255,7 +273,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { BoringUtils.addSource(RegNext(wpc), "difftestWpc") BoringUtils.addSource(RegNext(wdata), "difftestWdata") BoringUtils.addSource(RegNext(wdst), "difftestWdst") - BoringUtils.addSource(RegNext(0.U), "difftestIntrNO") + BoringUtils.addSource(RegNext(difftestIntrNO), "difftestIntrNO") //TODO: skip insts that commited in the same cycle ahead of exception class Monitor extends BlackBox { diff --git a/src/test/csrc/difftest.cpp b/src/test/csrc/difftest.cpp index 36390b80d4c..8469a79b6e9 100644 --- a/src/test/csrc/difftest.cpp +++ b/src/test/csrc/difftest.cpp @@ -90,7 +90,7 @@ static const char *reg_name[DIFFTEST_NR_REG] = { int difftest_step(int commit, uint64_t *reg_scala, uint32_t this_inst, int skip, int isRVC, uint64_t *wpc, uint64_t *wdata, uint32_t *wdst, int wen, uint64_t intrNO, int priviledgeMode) { - assert(!isRVC && intrNO == 0); + assert(!isRVC); #define DEBUG_RETIRE_TRACE_SIZE 16 #define DEBUG_WB_TRACE_SIZE 16 @@ -125,28 +125,29 @@ int difftest_step(int commit, uint64_t *reg_scala, uint32_t this_inst, if (intrNO) { ref_difftest_raise_intr(intrNO); - ref_difftest_exec(1);//TODO + // ref_difftest_exec(1);//TODO } - - assert(commit > 0 && commit <= 6); - for(int i = 0; i < commit; i++){ - pc_wb_queue[wb_pointer] = wpc[i]; - wen_wb_queue[wb_pointer] = selectBit(wen, i); - wdst_wb_queue[wb_pointer] = wdst[i]; - wdata_wb_queue[wb_pointer] = wdata[i]; - wb_pointer = (wb_pointer+1) % DEBUG_WB_TRACE_SIZE; - if(selectBit(skip, i)){ - // MMIO accessing should not be a branch or jump, just +2/+4 to get the next pc - // printf("SKIP %d\n", i); - // to skip the checking of an instruction, just copy the reg state to reference design - ref_difftest_getregs(&ref_r); - ref_r[DIFFTEST_THIS_PC] += 4; //TODO: RVC - if(selectBit(wen, i)){ - ref_r[wdst[i]] = wdata[i]; + else { + assert(commit > 0 && commit <= 6); + for(int i = 0; i < commit; i++){ + pc_wb_queue[wb_pointer] = wpc[i]; + wen_wb_queue[wb_pointer] = selectBit(wen, i); + wdst_wb_queue[wb_pointer] = wdst[i]; + wdata_wb_queue[wb_pointer] = wdata[i]; + wb_pointer = (wb_pointer+1) % DEBUG_WB_TRACE_SIZE; + if(selectBit(skip, i)){ + // MMIO accessing should not be a branch or jump, just +2/+4 to get the next pc + // printf("SKIP %d\n", i); + // to skip the checking of an instruction, just copy the reg state to reference design + ref_difftest_getregs(&ref_r); + ref_r[DIFFTEST_THIS_PC] += 4; //TODO: RVC + if(selectBit(wen, i)){ + ref_r[wdst[i]] = wdata[i]; + } + ref_difftest_setregs(ref_r); + }else{ + ref_difftest_exec(1); } - ref_difftest_setregs(ref_r); - }else{ - ref_difftest_exec(1); } } ref_difftest_getregs(&ref_r); From 8d22bbae737677f99c224a91c149117f0a1b8788 Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Sun, 19 Jul 2020 15:56:14 +0800 Subject: [PATCH 07/56] bpu: support prediction of RVC --- src/main/scala/xiangshan/frontend/BPU.scala | 68 +++++++++++++-------- src/main/scala/xiangshan/frontend/IFU.scala | 2 +- 2 files changed, 42 insertions(+), 28 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index cc3e5a957d2..82fa223127c 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -118,6 +118,7 @@ class BPUStage1 extends XSModule { val btbValids = btb.io.out.hits val btbTargets = VecInit(btb.io.out.dEntries.map(_.target)) val btbTypes = VecInit(btb.io.out.dEntries.map(_._type)) + val btbIsRVCs = VecInit(btb.io.out.dEntries.map(_.isRVC)) val jbtac = Module(new JBTAC) @@ -158,6 +159,10 @@ class BPUStage1 extends XSModule { updateGhr := io.flush || io.s1OutPred.bits.redirect || RegNext(io.in.pc.fire) && (btbNotTakens.asUInt & maskLatch).orR.asBool val brJumpIdx = Mux(!btbTaken, 0.U, UIntToOH(btbTakenIdx)) val indirectIdx = Mux(!jbtacHit, 0.U, UIntToOH(jbtacHitIdx)) + // if backend redirects, restore history from backend; + // if stage3 redirects, restore history from stage3; + // if stage1 redirects, speculatively update history; + // if none of above happens, check if stage1 has not-taken branches and shift zeroes accordingly newGhr := Mux(io.redirectInfo.flush(), (r.hist << 1.U) | !(r._type === BTBtype.B && !r.taken), Mux(io.flush, Mux(io.s3Taken, (io.s3RollBackHist << 1.U) | 1.U, io.s3RollBackHist), Mux(io.s1OutPred.bits.redirect, (PriorityMux(brJumpIdx | indirectIdx, io.s1OutPred.bits.hist) << 1.U | 1.U), @@ -169,8 +174,10 @@ class BPUStage1 extends XSModule { io.s1OutPred.valid := io.out.valid io.s1OutPred.bits.redirect := btbTaken || jbtacHit - io.s1OutPred.bits.instrValid := Mux(io.s1OutPred.bits.redirect, LowerMask(takenIdx, PredictWidth), maskLatch).asTypeOf(Vec(PredictWidth, Bool())) - io.s1OutPred.bits.target := Mux(brJumpIdx === takenIdx, btbTakenTarget, Mux(indirectIdx === takenIdx, jbtacTarget, pcLatch + PopCount(maskLatch) << 1.U)) + io.s1OutPred.bits.instrValid := Mux(!io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump, maskLatch, + Mux(!btbIsRVCs(OHToUInt(takenIdx)), LowerMask(takenIdx << 1.U, PredictWidth), + LowerMask(takenIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) + io.s1OutPred.bits.target := Mux(takenIdx === 0.U, pcLatch + (PopCount(maskLatch) << 1.U), Mux(takenIdx === brJumpIdx, btbTakenTarget, jbtacTarget)) io.s1OutPred.bits.lateJump := btb.io.out.isRVILateJump || jbtac.io.out.isRVILateJump // io.s1OutPred.bits.btbVictimWay := btbWriteWay io.s1OutPred.bits.predCtr := btbCtrs @@ -300,15 +307,20 @@ class BPUStage3 extends XSModule { if(HasBPD) ~Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt) else ~Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt)) - io.out.bits.redirect := jmpIdx.orR.asBool - io.out.bits.target := Mux(jmpIdx === retIdx, rasTopAddr, - Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, - Mux(jmpIdx === 0.U, inLatch.pc + 32.U, // TODO: RVC - PriorityMux(jmpIdx, inLatch.btb.targets)))) - io.out.bits.instrValid := Mux(jmpIdx.orR, LowerMask(jmpIdx, FetchWidth), Fill(FetchWidth, 1.U(1.W))).asTypeOf(Vec(FetchWidth, Bool())) + val lateJump = jmpIdx === HighestBit(io.predecode.bits.mask, PredictWidth) && !io.predecode.bits.isRVC(OHToUInt(jmpIdx)) + + io.out.bits.target := Mux(jmpIdx === 0.U, inLatch.pc + (PopCount(io.predecode.bits.mask) << 1.U), + Mux(jmpIdx === retIdx, rasTopAddr, + Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, + PriorityMux(jmpIdx, inLatch.btb.targets)))) // TODO: jal and call's target can be calculated here + + io.out.bits.instrValid := Mux(!jmpIdx.orR || lateJump, io.predecode.bits.mask, + Mux(!io.predecode.bits.isRVC(OHToUInt(jmpIdx)), LowerMask(jmpIdx << 1.U, PredictWidth), + LowerMask(jmpIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) + // io.out.bits.btbVictimWay := inLatch.btbPred.bits.btbVictimWay io.out.bits.predCtr := inLatch.btbPred.bits.predCtr - io.out.bits.btbHitWay := inLatch.btbPred.bits.btbHitWay + io.out.bits.btbHit := inLatch.btbPred.bits.btbHit io.out.bits.tageMeta := inLatch.btbPred.bits.tageMeta //io.out.bits._type := Mux(jmpIdx === retIdx, BTBtype.R, // Mux(jmpIdx === jalrIdx, BTBtype.I, @@ -317,33 +329,35 @@ class BPUStage3 extends XSModule { // there may be several notTaken branches before the first jump instruction, // so we need to calculate how many zeroes should each instruction shift in its global history. // each history is exclusive of instruction's own jump direction. - val histShift = Wire(Vec(FetchWidth, UInt(log2Up(FetchWidth).W))) - val shift = Wire(Vec(FetchWidth, Vec(FetchWidth, UInt(1.W)))) - (0 until FetchWidth).map(i => shift(i) := Mux(!brNotTakenIdx(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W)))) - for (j <- 0 until FetchWidth) { + val histShift = Wire(Vec(PredictWidth, UInt(log2Up(PredictWidth).W))) + val shift = Wire(Vec(PredictWidth, Vec(PredictWidth, UInt(1.W)))) + (0 until PredictWidth).map(i => shift(i) := Mux(!brNotTakenIdx(i), 0.U, ~LowerMask(UIntToOH(i.U), PredictWidth)).asTypeOf(Vec(PredictWidth, UInt(1.W)))) + for (j <- 0 until PredictWidth) { var tmp = 0.U - for (i <- 0 until FetchWidth) { + for (i <- 0 until PredictWidth) { tmp = tmp + shift(i)(j) } histShift(j) := tmp } - (0 until FetchWidth).map(i => io.out.bits.hist(i) := firstHist << histShift(i)) + (0 until PredictWidth).map(i => io.out.bits.hist(i) := firstHist << histShift(i)) // save ras checkpoint info io.out.bits.rasSp := sp.value io.out.bits.rasTopCtr := rasTop.ctr // flush BPU and redirect when target differs from the target predicted in Stage1 - io.out.bits.redirect := (if(EnableBPD) (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool || - inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target) - else false.B) + // io.out.bits.redirect := (if(EnableBPD) (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool || + // inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target) + // else false.B) + io.out.bits.redirect := inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool || + inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target io.flushBPU := io.out.bits.redirect && io.out.valid // speculative update RAS val rasWrite = WireInit(0.U.asTypeOf(rasEntry())) - rasWrite.retAddr := inLatch.pc + (OHToUInt(callIdx) << 2.U) + 4.U + rasWrite.retAddr := inLatch.pc + (OHToUInt(callIdx) << 1.U) + Mux(PriorityMux(callIdx, io.predecode.bits.isRVC), 2.U, 4.U) val allocNewEntry = rasWrite.retAddr =/= rasTopAddr rasWrite.ctr := Mux(allocNewEntry, 1.U, rasTop.ctr + 1.U) - when (io.out.valid) { + when (io.out.valid && jmpIdx =/= 0.U) { when (jmpIdx === callIdx) { ras(Mux(allocNewEntry, sp.value + 1.U, sp.value)) := rasWrite when (allocNewEntry) { sp.value := sp.value + 1.U } @@ -358,23 +372,23 @@ class BPUStage3 extends XSModule { // use checkpoint to recover RAS val recoverSp = io.redirectInfo.redirect.rasSp val recoverCtr = io.redirectInfo.redirect.rasTopCtr - when (io.redirectInfo.valid && io.redirectInfo.misPred) { + when (io.redirectInfo.flush()) { sp.value := recoverSp ras(recoverSp) := Cat(recoverCtr, ras(recoverSp).retAddr).asTypeOf(rasEntry()) } // roll back global history in S1 if S3 redirects - io.s1RollBackHist := Mux(io.s3Taken, PriorityMux(jmpIdx, io.out.bits.hist), io.out.bits.hist(0) << PopCount(brIdx & ~inLatch.tage.takens.asUInt)) + io.s1RollBackHist := Mux(io.s3Taken, PriorityMux(jmpIdx, io.out.bits.hist), io.out.bits.hist(0) << PopCount(brNotTakenIdx)) // whether Stage3 has a taken jump io.s3Taken := jmpIdx.orR.asBool // debug info - XSDebug(io.in.fire(), "[BPUS3]in:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc) - XSDebug(io.out.valid, "[BPUS3]out:%d pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n", + XSDebug(io.in.fire(), "in:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc) + XSDebug(io.out.valid, "out:%d pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n", io.out.valid, inLatch.pc, io.out.bits.redirect, io.predecode.bits.mask, io.out.bits.instrValid.asUInt, io.out.bits.target) - XSDebug(true.B, "[BPUS3]flushS3=%d\n", flushS3) - XSDebug(true.B, "[BPUS3]validLatch=%d predecode.valid=%d\n", validLatch, io.predecode.valid) - XSDebug(true.B, "[BPUS3]brIdx=%b brTakenIdx=%b brNTakenIdx=%b jalIdx=%b jalrIdx=%b callIdx=%b retIdx=%b\n", + XSDebug("flushS3=%d\n", flushS3) + XSDebug("validLatch=%d predecode.valid=%d\n", validLatch, io.predecode.valid) + XSDebug("brIdx=%b brTakenIdx=%b brNTakenIdx=%b jalIdx=%b jalrIdx=%b callIdx=%b retIdx=%b\n", brIdx, brTakenIdx, brNotTakenIdx, jalIdx, jalrIdx, callIdx, retIdx) // BPU's TEMP Perf Cnt diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index fa76eb0e0a0..75d3cb0231d 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -54,7 +54,7 @@ class IFU extends XSModule with HasIFUConst val if1_pc = RegInit(resetVector.U(VAddrBits.W)) //next val if2_ready = WireInit(false.B) - val if2_snpc = snpc(if1_pc) //TODO: this is ugly + val if2_snpc = snpc(if1_pc) //TODO: calculate snpc according to mask of current fetch packet val needflush = WireInit(false.B) //pipe fire From bf29565978ef510a4a1f831f753b0065968d03c2 Mon Sep 17 00:00:00 2001 From: Lingrui98 Date: Sun, 19 Jul 2020 16:22:13 +0800 Subject: [PATCH 08/56] BPU: try to get rid of Lowermask and Lowerbit, replace map with foreach when no return values are needed, remove useless log titles --- Makefile | 2 +- src/main/scala/xiangshan/frontend/BPU.scala | 120 +++++++++++------- src/main/scala/xiangshan/frontend/btb.scala | 27 +--- src/main/scala/xiangshan/frontend/jbtac.scala | 6 +- 4 files changed, 83 insertions(+), 72 deletions(-) diff --git a/Makefile b/Makefile index a5cdd81dff0..1d1cafe3427 100644 --- a/Makefile +++ b/Makefile @@ -11,7 +11,7 @@ IMAGE ?= temp # remote machine with high frequency to speedup verilog generation REMOTE ?= localhost -REMOTE_PREFIX ?= /nfs/24 +REMOTE_PREFIX ?= /nfs/25 REMOTE_PRJ_HOME = $(REMOTE_PREFIX)/$(abspath .)/ .DEFAULT_GOAL = verilog diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 70b4bdddcf3..f7de2d4ef1e 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -141,7 +141,7 @@ class BPUStage1 extends XSModule { val firstHist = RegNext(hist) val histShift = Wire(Vec(FetchWidth, UInt(log2Up(FetchWidth).W))) val shift = Wire(Vec(FetchWidth, Vec(FetchWidth, UInt(1.W)))) - (0 until FetchWidth).map(i => shift(i) := Mux(!btbNotTakens(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W)))) + (0 until FetchWidth).foreach(i => shift(i) := Mux(!btbNotTakens(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W)))) for (j <- 0 until FetchWidth) { var tmp = 0.U for (i <- 0 until FetchWidth) { @@ -149,7 +149,7 @@ class BPUStage1 extends XSModule { } histShift(j) := tmp } - (0 until FetchWidth).map(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i)) + (0 until FetchWidth).foreach(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i)) // update ghr updateGhr := io.s1OutPred.bits.redirect || io.flush @@ -158,7 +158,7 @@ class BPUStage1 extends XSModule { //val newTaken = Mux(io.redirectInfo.flush(), !(r._type === BTBtype.B && !r.taken), ) newGhr := Mux(io.redirectInfo.flush(), (r.hist << 1.U) | !(r._type === BTBtype.B && !r.taken), Mux(io.flush, Mux(io.s3Taken, (io.s3RollBackHist << 1.U) | 1.U, io.s3RollBackHist), - Mux(io.s1OutPred.bits.redirect, (PriorityMux(brJumpIdx | indirectIdx, io.s1OutPred.bits.hist) << 1.U | 1.U), + Mux(io.s1OutPred.bits.redirect, ((PriorityMux(brJumpIdx | indirectIdx, io.s1OutPred.bits.hist) << 1.U) | 1.U), io.s1OutPred.bits.hist(0) << PopCount(btbNotTakens)))) // redirect based on BTB and JBTAC @@ -167,10 +167,23 @@ class BPUStage1 extends XSModule { io.s1OutPred.valid := io.out.valid io.s1OutPred.bits.redirect := btbHit && btbTaken || jbtacHit - // io.s1OutPred.bits.instrValid := LowerMask(UIntToOH(btbTakenIdx), FetchWidth) & LowerMask(UIntToOH(jbtacHitIdx), FetchWidth) - io.s1OutPred.bits.instrValid := Mux(io.s1OutPred.bits.redirect, LowerMask(LowestBit(brJumpIdx | indirectIdx, FetchWidth), FetchWidth), Fill(FetchWidth, 1.U(1.W))).asTypeOf(Vec(FetchWidth, Bool())) + + + def getInstrValid(i: Int): UInt = { + val mask = Wire(UInt(FetchWidth.W)) + val vec = Wire(Vec(FetchWidth, UInt(1.W))) + for (j <- 0 until FetchWidth) { + if (j <= i) + vec(j) := 1.U + else + vec(j) := 0.U + } + mask := vec.asUInt + mask + } + io.s1OutPred.bits.instrValid := (Fill(FetchWidth, ~io.s1OutPred.bits.redirect).asUInt | + PriorityMux(brJumpIdx | indirectIdx, (0 until FetchWidth).map(getInstrValid(_)))).asTypeOf(Vec(FetchWidth, Bool())) io.s1OutPred.bits.target := Mux(brJumpIdx === LowestBit(brJumpIdx | indirectIdx, FetchWidth), btbTakenTarget, jbtacTarget) - // io.s1OutPred.bits.btbVictimWay := btbWriteWay io.s1OutPred.bits.predCtr := btbCtrs io.s1OutPred.bits.btbHitWay := btbHit io.s1OutPred.bits.rasSp := DontCare @@ -178,7 +191,7 @@ class BPUStage1 extends XSModule { io.out.bits.pc := pcLatch io.out.bits.btb.hits := btbValids.asUInt - (0 until FetchWidth).map(i => io.out.bits.btb.targets(i) := btbTargets(i)) + (0 until FetchWidth).foreach(i => io.out.bits.btb.targets(i) := btbTargets(i)) io.out.bits.jbtac.hitIdx := UIntToOH(jbtacHitIdx) io.out.bits.jbtac.target := jbtacTarget // TODO: we don't need this repeatedly! @@ -188,14 +201,14 @@ class BPUStage1 extends XSModule { // debug info - XSDebug(true.B, "[BPUS1]in:(%d %d) pc=%x ghr=%b\n", io.in.pc.valid, io.in.pc.ready, io.in.pc.bits, hist) - XSDebug(true.B, "[BPUS1]outPred:(%d) pc=0x%x, redirect=%d instrValid=%b tgt=%x\n", + XSDebug(true.B, "in:(%d %d) pc=%x ghr=%b\n", io.in.pc.valid, io.in.pc.ready, io.in.pc.bits, hist) + XSDebug(true.B, "outPred:(%d) pc=0x%x, redirect=%d instrValid=%b tgt=%x\n", io.s1OutPred.valid, pcLatch, io.s1OutPred.bits.redirect, io.s1OutPred.bits.instrValid.asUInt, io.s1OutPred.bits.target) XSDebug(io.flush && io.redirectInfo.flush(), - "[BPUS1]flush from backend: pc=%x tgt=%x brTgt=%x _type=%b taken=%d oldHist=%b fetchIdx=%d isExcpt=%d\n", + "flush from backend: pc=%x tgt=%x brTgt=%x _type=%b taken=%d oldHist=%b fetchIdx=%d isExcpt=%d\n", r.pc, r.target, r.brTarget, r._type, r.taken, r.hist, r.fetchIdx, r.isException) XSDebug(io.flush && !io.redirectInfo.flush(), - "[BPUS1]flush from Stage3: s3Taken=%d s3RollBackHist=%b\n", io.s3Taken, io.s3RollBackHist) + "flush from Stage3: s3Taken=%d s3RollBackHist=%b\n", io.s3Taken, io.s3RollBackHist) } @@ -230,10 +243,10 @@ class BPUStage2 extends XSModule { io.out.bits := inLatch // debug info - XSDebug(true.B, "[BPUS2]in:(%d %d) pc=%x out:(%d %d) pc=%x\n", + XSDebug(true.B, "in:(%d %d) pc=%x out:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc, io.out.valid, io.out.ready, io.out.bits.pc) - XSDebug(true.B, "[BPUS2]validLatch=%d pc=%x\n", validLatch, inLatch.pc) - XSDebug(io.flush, "[BPUS2]flush!!!\n") + XSDebug(true.B, "validLatch=%d pc=%x\n", validLatch, inLatch.pc) + XSDebug(io.flush, "flush!!!\n") } class BPUStage3 extends XSModule { @@ -278,25 +291,38 @@ class BPUStage3 extends XSModule { val rasTopAddr = rasTop.retAddr // get the first taken branch/jal/call/jalr/ret in a fetch line - // brTakenIdx/jalIdx/callIdx/jalrIdx/retIdx/jmpIdx is one-hot encoded. - // brNotTakenIdx indicates all the not-taken branches before the first jump instruction. - val brIdx = inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & io.predecode.bits.mask - val brTakenIdx = LowestBit(brIdx & inLatch.tage.takens.asUInt, FetchWidth) - val jalIdx = LowestBit(inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & io.predecode.bits.mask, FetchWidth) - val callIdx = LowestBit(inLatch.btb.hits & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.call }).asUInt), FetchWidth) - val jalrIdx = LowestBit(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), FetchWidth) - val retIdx = LowestBit(io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), FetchWidth) - - val jmpIdx = LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, FetchWidth) - val brNotTakenIdx = brIdx & ~inLatch.tage.takens.asUInt & LowerMask(jmpIdx, FetchWidth) & io.predecode.bits.mask - - io.out.bits.redirect := jmpIdx.orR.asBool - io.out.bits.target := Mux(jmpIdx === retIdx, rasTopAddr, + // brNotTakenIdx indicates all the not-taken branches before the first jump instruction + val brs = inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & io.predecode.bits.mask + val brTakenIdx = PriorityMux(brs & inLatch.tage.takens.asUInt, (0 until FetchWidth).map(_.U)) + val jalIdx = PriorityMux(inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & io.predecode.bits.mask, (0 until FetchWidth).map(_.U)) + val callIdx = PriorityMux(inLatch.btb.hits & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.call }).asUInt), (0 until FetchWidth).map(_.U)) + val jalrIdx = PriorityMux(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), (0 until FetchWidth).map(_.U)) + val retIdx = PriorityMux(io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), (0 until FetchWidth).map(_.U)) + + val jmpIdx = Wire(UInt(log2Up(FetchWidth).W)) + var idx = 0.U + io.s3Taken := false.B + for (i <- FetchWidth-1 to 0) { + val isBrTaken = brs(i) && inLatch.tage.takens(i) + val isJal = inLatch.btb.hits(i) && io.predecode.bits.fuOpTypes(i) === JumpOpType.jal && io.predecode.bits.mask(i) + val isCall = inLatch.btb.hits(i) && io.predecode.bits.fuOpTypes(i) === JumpOpType.call && io.predecode.bits.mask(i) + val isJalr = inLatch.jbtac.hitIdx(i) && io.predecode.bits.fuOpTypes(i) === JumpOpType.jalr && io.predecode.bits.mask(i) + val isRet = io.predecode.bits.fuOpTypes(i) === JumpOpType.ret && io.predecode.bits.mask(i) + when (isBrTaken || isJal || isCall || isJalr || isRet) { + idx = i.U + io.s3Taken := true.B + } + } + jmpIdx := idx + val brNotTakens = VecInit((0 until FetchWidth).map(i => brs(i) && ~inLatch.tage.takens(i) && i.U <= jmpIdx && io.predecode.bits.mask(i))) + + io.out.bits.target := Mux(!io.s3Taken, inLatch.pc + (PopCount(io.predecode.bits.mask) << 2.U), // TODO: RVC + Mux(jmpIdx === retIdx, rasTopAddr, Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, - Mux(jmpIdx === 0.U, inLatch.pc + 32.U, // TODO: RVC - PriorityMux(jmpIdx, inLatch.btb.targets)))) - io.out.bits.instrValid := Mux(jmpIdx.orR, LowerMask(jmpIdx, FetchWidth), Fill(FetchWidth, 1.U(1.W))).asTypeOf(Vec(FetchWidth, Bool())) - // io.out.bits.btbVictimWay := inLatch.btbPred.bits.btbVictimWay + inLatch.btb.targets(jmpIdx)))) + for (i <- 0 until FetchWidth) { + io.out.bits.instrValid(i) := ~io.s3Taken || i.U <= jmpIdx + } io.out.bits.predCtr := inLatch.btbPred.bits.predCtr io.out.bits.btbHitWay := inLatch.btbPred.bits.btbHitWay io.out.bits.tageMeta := inLatch.btbPred.bits.tageMeta @@ -309,7 +335,7 @@ class BPUStage3 extends XSModule { // each history is exclusive of instruction's own jump direction. val histShift = Wire(Vec(FetchWidth, UInt(log2Up(FetchWidth).W))) val shift = Wire(Vec(FetchWidth, Vec(FetchWidth, UInt(1.W)))) - (0 until FetchWidth).map(i => shift(i) := Mux(!brNotTakenIdx(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W)))) + (0 until FetchWidth).foreach(i => shift(i) := Mux(!brNotTakens(i), 0.U, ~LowerMask(UIntToOH(i.U), FetchWidth)).asTypeOf(Vec(FetchWidth, UInt(1.W)))) for (j <- 0 until FetchWidth) { var tmp = 0.U for (i <- 0 until FetchWidth) { @@ -317,20 +343,20 @@ class BPUStage3 extends XSModule { } histShift(j) := tmp } - (0 until FetchWidth).map(i => io.out.bits.hist(i) := firstHist << histShift(i)) + (0 until FetchWidth).foreach(i => io.out.bits.hist(i) := firstHist << histShift(i)) // save ras checkpoint info io.out.bits.rasSp := sp.value io.out.bits.rasTopCtr := rasTop.ctr // flush BPU and redirect when target differs from the target predicted in Stage1 - io.out.bits.redirect := (if(EnableBPD) (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool || - inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target) + io.out.bits.redirect := (if(EnableBPD) (inLatch.btbPred.bits.redirect ^ io.s3Taken || + inLatch.btbPred.bits.redirect && io.s3Taken && io.out.bits.target =/= inLatch.btbPred.bits.target) else false.B) io.flushBPU := io.out.bits.redirect && io.out.valid // speculative update RAS val rasWrite = WireInit(0.U.asTypeOf(rasEntry())) - rasWrite.retAddr := inLatch.pc + (OHToUInt(callIdx) << 2.U) + 4.U + rasWrite.retAddr := inLatch.pc + (callIdx << 2.U) + 4.U val allocNewEntry = rasWrite.retAddr =/= rasTopAddr rasWrite.ctr := Mux(allocNewEntry, 1.U, rasTop.ctr + 1.U) when (io.out.valid) { @@ -354,25 +380,23 @@ class BPUStage3 extends XSModule { } // roll back global history in S1 if S3 redirects - io.s1RollBackHist := Mux(io.s3Taken, PriorityMux(jmpIdx, io.out.bits.hist), io.out.bits.hist(0) << PopCount(brIdx & ~inLatch.tage.takens.asUInt)) - // whether Stage3 has a taken jump - io.s3Taken := jmpIdx.orR.asBool + io.s1RollBackHist := Mux(io.s3Taken, io.out.bits.hist(jmpIdx), io.out.bits.hist(0) << PopCount(brs & ~inLatch.tage.takens.asUInt)) // debug info - XSDebug(io.in.fire(), "[BPUS3]in:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc) - XSDebug(io.out.valid, "[BPUS3]out:%d pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n", + XSDebug(io.in.fire(), "in:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc) + XSDebug(io.out.valid, "out:%d pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n", io.out.valid, inLatch.pc, io.out.bits.redirect, io.predecode.bits.mask, io.out.bits.instrValid.asUInt, io.out.bits.target) - XSDebug(true.B, "[BPUS3]flushS3=%d\n", flushS3) - XSDebug(true.B, "[BPUS3]validLatch=%d predecode.valid=%d\n", validLatch, io.predecode.valid) - XSDebug(true.B, "[BPUS3]brIdx=%b brTakenIdx=%b brNTakenIdx=%b jalIdx=%b jalrIdx=%b callIdx=%b retIdx=%b\n", - brIdx, brTakenIdx, brNotTakenIdx, jalIdx, jalrIdx, callIdx, retIdx) + XSDebug(true.B, "flushS3=%d\n", flushS3) + XSDebug(true.B, "validLatch=%d predecode.valid=%d\n", validLatch, io.predecode.valid) + XSDebug(true.B, "brs=%b brTakenIdx=%d brNTakens=%b jalIdx=%d jalrIdx=%d callIdx=%d retIdx=%d\n", + brs, brTakenIdx, brNotTakens.asUInt, jalIdx, jalrIdx, callIdx, retIdx) // BPU's TEMP Perf Cnt BoringUtils.addSource(io.out.valid, "MbpS3Cnt") BoringUtils.addSource(io.out.valid && io.out.bits.redirect, "MbpS3TageRed") - BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool), "MbpS3TageRedDir") + BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect ^ io.s3Taken), "MbpS3TageRedDir") BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect - && jmpIdx.orR.asBool && (io.out.bits.target =/= inLatch.btbPred.bits.target)), "MbpS3TageRedTar") + && io.s3Taken && (io.out.bits.target =/= inLatch.btbPred.bits.target)), "MbpS3TageRedTar") } class BPU extends XSModule { diff --git a/src/main/scala/xiangshan/frontend/btb.scala b/src/main/scala/xiangshan/frontend/btb.scala index 2e6378ee4c9..cbb69a0e017 100644 --- a/src/main/scala/xiangshan/frontend/btb.scala +++ b/src/main/scala/xiangshan/frontend/btb.scala @@ -79,8 +79,6 @@ class BTB extends XSModule { // BTB read requests val baseBank = btbAddr.getBank(io.in.pc.bits) - // val baseTag = btbAddr.getTag(io.in.pc.bits) - // val isAligned = baseBank === 0.U // circular shifting def circularShiftLeft(source: UInt, len: Int, shamt: UInt): UInt = { val res = Wire(UInt(len.W)) @@ -110,8 +108,6 @@ class BTB extends XSModule { } - // // latch pc for 1 cycle latency when reading SRAM - // val pcLatch = RegEnable(io.in.pc.bits, io.in.pc.valid) // Entries read from SRAM val metaRead = Wire(Vec(BtbBanks, btbMetaEntry())) val dataRead = Wire(Vec(BtbBanks, btbDataEntry())) @@ -145,15 +141,6 @@ class BTB extends XSModule { // e.g: baseBank == 5 => (5, 6,..., 15, 0, 1, 2, 3, 4) val bankIdxInOrder = VecInit((0 until BtbBanks).map(b => (baseBankLatch + b.U) % BtbBanks.U)) - - - // Let predTakens(0) be in correspond with the first instruction in fetchPC - // val predUInt = predTakens.asUInt - // val realPreds = Mux(isAlignedLatch, predUInt, Cat(predUInt(BtbBanks-baseBankLatch-1, 0), predUInt(BtbBanks-1, BtbBanks-baseBankLatch)) - // val realPredsVec = VecInit((0 until BtbBanks).map(realPreds(_).asBool)) - // val ntbUInt = notTakenBranches.asUInt - // val realNtb = Mux(isAlignedLatch, ntbUInt, Cat(ntbUInt(BtbBanks-baseBankLatch-1, 0), ntbUInt(BtbBanks-1, BtbBanks-baseBankLatch)) - // val realNtbVec = VecInit((0 until BtbBanks).map(realNtb(_).asBool)) val isTaken = predTakens.reduce(_||_) // Priority mux which corresponds with inst orders @@ -218,18 +205,18 @@ class BTB extends XSModule { io.out.dEntries := VecInit((0 until BtbBanks by 2).map(b => dataRead(bankIdxInOrder(b)))) io.out.hits := VecInit((0 until BtbBanks by 2).map(b => bankHits(bankIdxInOrder(b)))) - XSDebug(io.in.pc.fire(), "[BTB]read: pc=0x%x, baseBank=%d, realMask=%b\n", io.in.pc.bits, baseBank, realMask) - XSDebug(nextFire, "[BTB]read_resp: pc=0x%x, readIdx=%d-------------------------------\n", + XSDebug(io.in.pc.fire(), "read: pc=0x%x, baseBank=%d, realMask=%b\n", io.in.pc.bits, baseBank, realMask) + XSDebug(nextFire, "read_resp: pc=0x%x, readIdx=%d-------------------------------\n", io.in.pcLatch, btbAddr.getIdx(io.in.pcLatch)) for (i <- 0 until BtbBanks){ - XSDebug(nextFire, "[BTB]read_resp[b=%d][r=%d]: valid=%d, tag=0x%x, target=0x%x, type=%d, ctr=%d\n", + XSDebug(nextFire, "read_resp[b=%d][r=%d]: valid=%d, tag=0x%x, target=0x%x, type=%d, ctr=%d\n", i.U, realRowLatch(i), metaRead(i).valid, metaRead(i).tag, dataRead(i).target, dataRead(i)._type, dataRead(i).pred) } - XSDebug(nextFire, "[BTB]bankIdxInOrder:") - for (i <- 0 until BtbBanks){ XSDebug(nextFire, "%d ", bankIdxInOrder(i))} + XSDebug(nextFire, "bankIdxInOrder:") + for (i <- 0 until BtbBanks){ XSDebug(false, nextFire, "%d ", bankIdxInOrder(i))} XSDebug(nextFire, "\n") - XSDebug(io.redirectValid, "[BTB]update_req: pc=0x%x, hit=%d, misPred=%d, oldCtr=%d, taken=%d, target=0x%x, _type=%d\n", + XSDebug(io.redirectValid, "update_req: pc=0x%x, hit=%d, misPred=%d, oldCtr=%d, taken=%d, target=0x%x, _type=%d\n", u.pc, u.hit, u.misPred, u.oldCtr, u.taken, u.target, u._type) - XSDebug(io.redirectValid, "[BTB]update: noNeedToUpdate=%d, writeValid=%d, bank=%d, row=%d, newCtr=%d\n", + XSDebug(io.redirectValid, "update: noNeedToUpdate=%d, writeValid=%d, bank=%d, row=%d, newCtr=%d\n", noNeedToUpdate, btbWriteValid, updateBankIdx, updateRow, newCtr) } \ No newline at end of file diff --git a/src/main/scala/xiangshan/frontend/jbtac.scala b/src/main/scala/xiangshan/frontend/jbtac.scala index b31a55c89bf..b01355d9096 100644 --- a/src/main/scala/xiangshan/frontend/jbtac.scala +++ b/src/main/scala/xiangshan/frontend/jbtac.scala @@ -111,10 +111,10 @@ class JBTAC extends XSModule { } } - XSDebug(io.in.pc.fire(), "[JBTAC]read: pc=0x%x, histXORAddr=0x%x, bank=%d, row=%d, hist=%b\n", + XSDebug(io.in.pc.fire(), "read: pc=0x%x, histXORAddr=0x%x, bank=%d, row=%d, hist=%b\n", io.in.pc.bits, histXORAddr, readBank, readRow, io.in.hist) - XSDebug(nextFire, "[JBTAC]read_resp: pc=0x%x, bank=%d, row=%d, target=0x%x, offset=%d, hit=%d\n", + XSDebug(nextFire, "read_resp: pc=0x%x, bank=%d, row=%d, target=0x%x, offset=%d, hit=%d\n", io.in.pcLatch, readBankLatch, readRowLatch, readEntries(readBankLatch).target, readEntries(readBankLatch).offset, outHit) - XSDebug(io.redirectValid, "[JBTAC]update_req: fetchPC=0x%x, writeValid=%d, hist=%b, bank=%d, row=%d, target=0x%x, offset=%d, type=0x%d\n", + XSDebug(io.redirectValid, "update_req: fetchPC=0x%x, writeValid=%d, hist=%b, bank=%d, row=%d, target=0x%x, offset=%d, type=0x%d\n", io.update.fetchPC, writeValid, io.update.hist, writeBank, writeRow, io.update.target, io.update.fetchIdx, io.update._type) } \ No newline at end of file From e43855a9ca830e257120ed8b5937a1d1e87a4b75 Mon Sep 17 00:00:00 2001 From: GouLingrui Date: Sun, 19 Jul 2020 16:27:36 +0800 Subject: [PATCH 09/56] BPU: TAGE enabled but RAS kept disabled, fix satUpdate logic in btb, fix logic of if4_btb_missPre in IFU --- src/main/scala/xiangshan/XSCore.scala | 3 +- src/main/scala/xiangshan/frontend/BPU.scala | 37 ++++++++++++-------- src/main/scala/xiangshan/frontend/IFU.scala | 14 +++----- src/main/scala/xiangshan/frontend/Tage.scala | 14 ++++---- src/main/scala/xiangshan/frontend/btb.scala | 4 +-- src/test/scala/IFUtest/IFUtest.scala | 2 +- 6 files changed, 41 insertions(+), 33 deletions(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index 2c78167e0ae..f8badffcb40 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -28,7 +28,8 @@ trait HasXSParameter { val FetchWidth = 8 val PredictWidth = FetchWidth * 2 val EnableBPU = true - val EnableBPD = false // enable backing predictor(like Tage) in BPUStage3 + val EnableBPD = true // enable backing predictor(like Tage) in BPUStage3 + val EnableRAS = false val HistoryLength = 64 val BtbSize = 256 // val BtbWays = 4 diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 70b4bdddcf3..706fd076dc7 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -71,8 +71,8 @@ class BPUStage1 extends XSModule { val hist = Mux(updateGhr, newGhr, ghr) // Tage predictor - val tage = Module(new FakeTAGE) - // val tage = if(EnableBPD) Module(new Tage) else Module(new FakeTAGE) + // val tage = Module(new FakeTAGE) + val tage = if(EnableBPD) Module(new Tage) else Module(new FakeTAGE) tage.io.req.valid := io.in.pc.fire() tage.io.req.bits.pc := io.in.pc.bits tage.io.req.bits.hist := hist @@ -287,15 +287,11 @@ class BPUStage3 extends XSModule { val jalrIdx = LowestBit(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), FetchWidth) val retIdx = LowestBit(io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), FetchWidth) - val jmpIdx = LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, FetchWidth) + val jmpIdx = if (EnableRAS) LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, FetchWidth) + else LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx, FetchWidth) val brNotTakenIdx = brIdx & ~inLatch.tage.takens.asUInt & LowerMask(jmpIdx, FetchWidth) & io.predecode.bits.mask - io.out.bits.redirect := jmpIdx.orR.asBool - io.out.bits.target := Mux(jmpIdx === retIdx, rasTopAddr, - Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, - Mux(jmpIdx === 0.U, inLatch.pc + 32.U, // TODO: RVC - PriorityMux(jmpIdx, inLatch.btb.targets)))) - io.out.bits.instrValid := Mux(jmpIdx.orR, LowerMask(jmpIdx, FetchWidth), Fill(FetchWidth, 1.U(1.W))).asTypeOf(Vec(FetchWidth, Bool())) + // io.out.bits.btbVictimWay := inLatch.btbPred.bits.btbVictimWay io.out.bits.predCtr := inLatch.btbPred.bits.predCtr io.out.bits.btbHitWay := inLatch.btbPred.bits.btbHitWay @@ -323,19 +319,29 @@ class BPUStage3 extends XSModule { io.out.bits.rasTopCtr := rasTop.ctr // flush BPU and redirect when target differs from the target predicted in Stage1 - io.out.bits.redirect := (if(EnableBPD) (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool || - inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target) - else false.B) + val tToNt = inLatch.btbPred.bits.redirect && ~jmpIdx.orR.asBool + val ntToT = ~inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool + val dirDiffers = tToNt || ntToT + val tgtDiffers = inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target + io.out.bits.redirect := (if (EnableBPD) {dirDiffers || tgtDiffers} else false.B) + io.out.bits.target := Mux(jmpIdx === 0.U, inLatch.pc + (PopCount(io.predecode.bits.mask) << 2.U), // TODO: RVC + Mux(jmpIdx === retIdx, rasTopAddr, + Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, + PriorityMux(jmpIdx, inLatch.btb.targets)))) + io.out.bits.instrValid := Mux(ntToT || tgtDiffers, LowerMask(jmpIdx, FetchWidth), io.predecode.bits.mask).asTypeOf(Vec(FetchWidth, Bool())) + io.flushBPU := io.out.bits.redirect && io.out.valid // speculative update RAS val rasWrite = WireInit(0.U.asTypeOf(rasEntry())) - rasWrite.retAddr := inLatch.pc + (OHToUInt(callIdx) << 2.U) + 4.U + val retAddr = inLatch.pc + (OHToUInt(callIdx) << 2.U) + 4.U + rasWrite.retAddr := retAddr val allocNewEntry = rasWrite.retAddr =/= rasTopAddr rasWrite.ctr := Mux(allocNewEntry, 1.U, rasTop.ctr + 1.U) + val rasWritePosition = Mux(allocNewEntry, sp.value + 1.U, sp.value) when (io.out.valid) { when (jmpIdx === callIdx) { - ras(Mux(allocNewEntry, sp.value + 1.U, sp.value)) := rasWrite + ras(rasWritePosition) := rasWrite when (allocNewEntry) { sp.value := sp.value + 1.U } }.elsewhen (jmpIdx === retIdx) { when (rasTop.ctr === 1.U) { @@ -358,6 +364,9 @@ class BPUStage3 extends XSModule { // whether Stage3 has a taken jump io.s3Taken := jmpIdx.orR.asBool + XSDebug(io.in.fire() && callIdx.orR, "[RAS]:pc=0x%x, rasWritePosition=%d, rasWriteAddr=0x%x", + io.in.bits.pc, rasWritePosition, retAddr) + // debug info XSDebug(io.in.fire(), "[BPUS3]in:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc) XSDebug(io.out.valid, "[BPUS3]out:%d pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n", diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 09657c6d222..1a754eada4b 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -161,13 +161,8 @@ class IFU extends XSModule with HasIFUConst if1_npc := if4_tage_target } //redirect: tage result differ btb - if4_btb_missPre := (if4_tage_taken ^ if4_btb_taken) || (if4_tage_taken && if4_btb_taken && (if4_tage_target =/= if4_btb_target)) + if4_btb_missPre := if4_tage_taken - if(EnableBPD){ - when(!if4_tage_taken && if4_btb_taken && if4_valid){ - if1_npc := if4_pc + (PopCount(io.fetchPacket.bits.mask) >> 2.U) - } - } //redirect: miss predict when(io.redirectInfo.flush()){ @@ -199,7 +194,7 @@ class IFU extends XSModule with HasIFUConst } else{ io.fetchPacket.bits.mask := Fill(FetchWidth*2, 1.U(1.W)) //TODO : consider cross cacheline fetch - } + } io.fetchPacket.bits.pc := if4_pc XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] starPC:0x%x GroupPC:0x%xn\n",if4_pc.asUInt,groupPC(if4_pc).asUInt) @@ -207,9 +202,10 @@ class IFU extends XSModule with HasIFUConst for(i <- 0 until FetchWidth){ //io.fetchPacket.bits.pnpc(i) := if1_npc when (if4_btb_taken && !if4_tage_taken && i.U === OHToUInt(HighestBit(if4_btb_insMask.asUInt, FetchWidth))) { - if(EnableBPD){io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) } //tage not taken use snpc - else{io.fetchPacket.bits.pnpc(i) := if4_btb_target}//use fetch PC + // When tage agrees with btb, use btb targets + io.fetchPacket.bits.pnpc(i) := if4_btb_target }.elsewhen (if4_tage_taken && i.U === OHToUInt(HighestBit(if4_tage_insMask.asUInt, FetchWidth))) { + // When tage disagrees with btb, use tage targets io.fetchPacket.bits.pnpc(i) := if1_npc }.otherwise { io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) //use fetch PC diff --git a/src/main/scala/xiangshan/frontend/Tage.scala b/src/main/scala/xiangshan/frontend/Tage.scala index 1eb93f37998..557f0730e23 100644 --- a/src/main/scala/xiangshan/frontend/Tage.scala +++ b/src/main/scala/xiangshan/frontend/Tage.scala @@ -109,9 +109,9 @@ class TageTable(val nRows: Int, val histLen: Int, val tagLen: Int, val uBitPerio val (hashed_idx, tag) = compute_tag_and_hash(io.req.bits.pc >> (2 + log2Ceil(FetchWidth)), io.req.bits.hist) - val hi_us = List.fill(BankWidth)(Module(new SRAMTemplate(Bool(), set=nRows, shouldReset=true, holdRead=true, singlePort=false))) - val lo_us = List.fill(BankWidth)(Module(new SRAMTemplate(Bool(), set=nRows, shouldReset=true, holdRead=true, singlePort=false))) - val table = List.fill(BankWidth)(Module(new SRAMTemplate(new TageEntry, set=nRows, shouldReset=true, holdRead=true, singlePort=false))) + val hi_us = List.fill(BankWidth)(Module(new SRAMTemplate(Bool(), set=nRows, shouldReset=false, holdRead=true, singlePort=false))) + val lo_us = List.fill(BankWidth)(Module(new SRAMTemplate(Bool(), set=nRows, shouldReset=false, holdRead=true, singlePort=false))) + val table = List.fill(BankWidth)(Module(new SRAMTemplate(new TageEntry, set=nRows, shouldReset=false, holdRead=true, singlePort=false))) val hi_us_r = Wire(Vec(BankWidth, Bool())) val lo_us_r = Wire(Vec(BankWidth, Bool())) @@ -133,9 +133,9 @@ class TageTable(val nRows: Int, val histLen: Int, val tagLen: Int, val uBitPerio lo_us_r(b) := lo_us(b).io.r.resp.data(0) table_r(b) := table(b).io.r.resp.data(0) - io.resp(b).valid := table_r(b).valid && table_r(b).tag === tag // Missing reset logic - io.resp(b).bits.ctr := table_r(b).ctr - io.resp(b).bits.u := Cat(hi_us_r(b),lo_us_r(b)) + // io.resp(b).valid := table_r(b).valid && table_r(b).tag === tag // Missing reset logic + // io.resp(b).bits.ctr := table_r(b).ctr + // io.resp(b).bits.u := Cat(hi_us_r(b),lo_us_r(b)) } ) @@ -374,4 +374,6 @@ class Tage extends TageModule { io.out.hits := outHits.asUInt + XSDebug(io.req.valid, "[TAGE]req: pc=0x%x, hist=%b", io.req.bits.pc, io.req.bits.hist) + } \ No newline at end of file diff --git a/src/main/scala/xiangshan/frontend/btb.scala b/src/main/scala/xiangshan/frontend/btb.scala index 2e6378ee4c9..7f33b666f69 100644 --- a/src/main/scala/xiangshan/frontend/btb.scala +++ b/src/main/scala/xiangshan/frontend/btb.scala @@ -168,7 +168,7 @@ class BTB extends XSModule { def satUpdate(old: UInt, len: Int, taken: Bool): UInt = { val oldSatTaken = old === ((1 << len)-1).U val oldSatNotTaken = old === 0.U - Mux(oldSatTaken && taken, ((1 << len)-1-1).U, + Mux(oldSatTaken && taken, ((1 << len)-1).U, Mux(oldSatNotTaken && !taken, 0.U, Mux(taken, old + 1.U, old - 1.U))) } @@ -195,7 +195,7 @@ class BTB extends XSModule { val notBrOrJ = u._type =/= BTBtype.B && u._type =/= BTBtype.J // Do not update BTB on indirect or return, or correctly predicted J or saturated counters - val noNeedToUpdate = (!u.misPred && (isBr && updateOnSaturated || isJ)) || (u.misPred && notBrOrJ) + val noNeedToUpdate = (!u.misPred && (isBr && updateOnSaturated || isJ)) || (notBrOrJ) // do not update on saturated ctrs val btbWriteValid = io.redirectValid && !noNeedToUpdate diff --git a/src/test/scala/IFUtest/IFUtest.scala b/src/test/scala/IFUtest/IFUtest.scala index 9aaca9d8585..7c843f63643 100644 --- a/src/test/scala/IFUtest/IFUtest.scala +++ b/src/test/scala/IFUtest/IFUtest.scala @@ -7,7 +7,7 @@ import chisel3.experimental.BundleLiterals._ import chiseltest._ import xiangshan._ import xiangshan.frontend.IFU -import xiangshan.utils._ +import utils._ import xiangshan.CtrlFlow class IFUTest extends FlatSpec with ChiselScalatestTester with Matchers { From ca60337c4279e68dee17ba4abbc5252039fea879 Mon Sep 17 00:00:00 2001 From: GouLingrui Date: Sun, 19 Jul 2020 16:49:28 +0800 Subject: [PATCH 10/56] BPU: fix typos --- Makefile | 2 +- src/main/scala/xiangshan/frontend/BPU.scala | 5 +++-- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/Makefile b/Makefile index 1d1cafe3427..a5cdd81dff0 100644 --- a/Makefile +++ b/Makefile @@ -11,7 +11,7 @@ IMAGE ?= temp # remote machine with high frequency to speedup verilog generation REMOTE ?= localhost -REMOTE_PREFIX ?= /nfs/25 +REMOTE_PREFIX ?= /nfs/24 REMOTE_PRJ_HOME = $(REMOTE_PREFIX)/$(abspath .)/ .DEFAULT_GOAL = verilog diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 7b42a33a7b5..63d57a8c78f 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -353,13 +353,14 @@ class BPUStage3 extends XSModule { Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, inLatch.btb.targets(jmpIdx)))) for (i <- 0 until FetchWidth) { - io.out.bits.instrValid(i) := (~(ntToT || tgtDiffers) || i.U <= jmpIdx) && io.predecode.mask(i) + io.out.bits.instrValid(i) := (~(ntToT || tgtDiffers) || i.U <= jmpIdx) && io.predecode.bits.mask(i) } io.flushBPU := io.out.bits.redirect && io.out.valid // speculative update RAS val rasWrite = WireInit(0.U.asTypeOf(rasEntry())) - rasWrite.retAddr := inLatch.pc + (callIdx << 2.U) + 4.U + val retAddr = inLatch.pc + (callIdx << 2.U) + 4.U + rasWrite.retAddr := retAddr val allocNewEntry = rasWrite.retAddr =/= rasTopAddr rasWrite.ctr := Mux(allocNewEntry, 1.U, rasTop.ctr + 1.U) val rasWritePosition = Mux(allocNewEntry, sp.value + 1.U, sp.value) From ff88c304207aeada6c8fefb13305c9db900f84b3 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Sun, 19 Jul 2020 19:18:26 +0800 Subject: [PATCH 11/56] decode: mret,sret as jump --- .../xiangshan/backend/decode/Decoder.scala | 3 ++- .../backend/dispatch/Dispatch1.scala | 2 +- src/main/scala/xiangshan/backend/fu/CSR.scala | 10 +++++----- .../xiangshan/backend/rename/Rename.scala | 2 +- .../scala/xiangshan/backend/roq/Roq.scala | 20 ++++++++++++------- 5 files changed, 22 insertions(+), 15 deletions(-) diff --git a/src/main/scala/xiangshan/backend/decode/Decoder.scala b/src/main/scala/xiangshan/backend/decode/Decoder.scala index 3cd54cf221a..c5c6fa174e2 100644 --- a/src/main/scala/xiangshan/backend/decode/Decoder.scala +++ b/src/main/scala/xiangshan/backend/decode/Decoder.scala @@ -27,7 +27,8 @@ class Decoder extends XSModule with HasInstrType { // todo: remove this when fetch stage can decide if an instr is br/jmp io.out.cf.isBr := (instrType === InstrB || (fuOpType === JumpOpType.jal && instrType === InstrJ && fuType === FuType.jmp) || - (fuOpType === JumpOpType.jalr && instrType === InstrI && fuType === FuType.jmp)) + (fuOpType === JumpOpType.jalr && instrType === InstrI && fuType === FuType.jmp) || + (fuOpType === CSROpType.jmp && instrType === InstrI && fuType === FuType.csr)) // val isRVC = instr(1, 0) =/= "b11".U // val rvcImmType :: rvcSrc1Type :: rvcSrc2Type :: rvcDestType :: Nil = // ListLookup(instr, CInstructions.DecodeDefault, CInstructions.CExtraDecodeTable) diff --git a/src/main/scala/xiangshan/backend/dispatch/Dispatch1.scala b/src/main/scala/xiangshan/backend/dispatch/Dispatch1.scala index 7fa237ae622..79472f92ffd 100644 --- a/src/main/scala/xiangshan/backend/dispatch/Dispatch1.scala +++ b/src/main/scala/xiangshan/backend/dispatch/Dispatch1.scala @@ -99,7 +99,7 @@ class Dispatch1 extends XSModule{ "roq handshake not continuous %d", i.U) } io.fromRename(i).ready := all_recv - XSDebug("v:%d r:%d pc 0x%x of type %b is in %d-th slot\n", + XSDebug(io.fromRename(i).valid, "v:%d r:%d pc 0x%x of type %b is in %d-th slot\n", io.fromRename(i).valid, io.fromRename(i).ready, io.fromRename(i).bits.cf.pc, io.fromRename(i).bits.ctrl.fuType, i.U) } } diff --git a/src/main/scala/xiangshan/backend/fu/CSR.scala b/src/main/scala/xiangshan/backend/fu/CSR.scala index 1868fa547c8..574ef7800d6 100644 --- a/src/main/scala/xiangshan/backend/fu/CSR.scala +++ b/src/main/scala/xiangshan/backend/fu/CSR.scala @@ -670,7 +670,7 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons XSDebug(valid && isSret, "Sret to %x!\n[CSR] int/exc: pc %x int (%d):%x exc: (%d):%x\n",retTarget, io.cfIn.pc, intrNO, io.cfIn.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) XSDebug(valid && isSret, "pc %x mstatus %x mideleg %x medeleg %x mode %x\n", io.cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode) - XSDebug("Redirect(%d, %x) raiseExcepIntr:%d valid:%d instrValid:%x \n", io.redirectValid, io.redirect.target, raiseExceptionIntr, valid, io.instrValid) + XSDebug(io.redirectValid, "Redirect %x raiseExcepIntr:%d valid:%d instrValid:%x \n", io.redirect.target, raiseExceptionIntr, valid, io.instrValid) // Branch control @@ -759,11 +759,11 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons io.out.valid := valid - XSDebug("[CSR2] Red(%d, %x) raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", + XSDebug(io.redirectValid, "Rediret %x raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", + io.redirect.target, raiseExceptionIntr, isSret, retTarget, sepc, delegS, deleg, io.cfIn.pc, valid, io.instrValid) + XSDebug(raiseExceptionIntr && delegS, "Red(%d, %x) raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", io.redirectValid, io.redirect.target, raiseExceptionIntr, isSret, retTarget, sepc, delegS, deleg, io.cfIn.pc, valid, io.instrValid) - XSDebug(raiseExceptionIntr && delegS, "[CSR2] Red(%d, %x) raiseExcepIntr:%d isSret:%d retTarget:%x sepc:%x delegs:%d deleg:%x cfInpc:%x valid:%d instrValid:%x \n", - io.redirectValid, io.redirect.target, raiseExceptionIntr, isSret, retTarget, sepc, delegS, deleg, io.cfIn.pc, valid, io.instrValid) - XSDebug(raiseExceptionIntr && delegS, "[CSR3] sepc is writen!!! pc:%x\n", io.cfIn.pc) + XSDebug(raiseExceptionIntr && delegS, "sepc is writen!!! pc:%x\n", io.cfIn.pc) // perfcnt diff --git a/src/main/scala/xiangshan/backend/rename/Rename.scala b/src/main/scala/xiangshan/backend/rename/Rename.scala index 8b6ababf9e8..eca1b684ac6 100644 --- a/src/main/scala/xiangshan/backend/rename/Rename.scala +++ b/src/main/scala/xiangshan/backend/rename/Rename.scala @@ -29,7 +29,7 @@ class Rename extends XSModule { def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = { XSInfo( - debug_norm, + debug_norm && in.valid && in.ready, p"pc:${Hexadecimal(in.bits.cf.pc)} in v:${in.valid} in rdy:${in.ready} " + p"lsrc1:${in.bits.ctrl.lsrc1} -> psrc1:${out.bits.psrc1} " + p"lsrc2:${in.bits.ctrl.lsrc2} -> psrc2:${out.bits.psrc2} " + diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index ecac20c498d..8e89556e2a6 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -110,8 +110,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { switch(state){ is(s_idle){ val canCommit = if(i!=0) io.commits(i-1).valid else true.B - io.commits(i).valid := (if (i == 0) ((valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U)) || io.redirect.valid) && canCommit - else valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit) + io.commits(i).valid := valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit io.commits(i).bits.uop := microOp(ringBufferTail+i.U) when(io.commits(i).valid){valid(ringBufferTail+i.U) := false.B} XSInfo(io.commits(i).valid, @@ -196,7 +195,11 @@ class Roq(implicit val p: XSConfig) extends XSModule { // when exception occurs, cancels all when (io.redirect.valid) { - ringBufferHeadExtended := ringBufferTailExtended + ringBufferHeadExtended := 0.U + ringBufferTailExtended := 0.U + for (i <- 0 until RoqSize) { + valid(i) := false.B + } } // TODO: roq redirect only used for exception @@ -262,11 +265,14 @@ class Roq(implicit val p: XSConfig) extends XSModule { val difftestIntrNO = WireInit(0.U(XLEN.W)) ExcitingUtils.addSink(difftestIntrNO, "difftestIntrNOfromCSR") - XSDebug(difftestIntrNO =/= 0.U, "difftest intrNO set %d\n", difftestIntrNO) + XSDebug(difftestIntrNO =/= 0.U, "difftest intrNO set %x\n", difftestIntrNO) + val retireCounterFix = Mux(io.redirect.valid, 1.U, retireCounter) + val retirePCFix = Mux(io.redirect.valid, microOp(ringBufferTail).cf.pc, microOp(firstValidCommit).cf.pc) + val retireInstFix = Mux(io.redirect.valid, microOp(ringBufferTail).cf.instr, microOp(firstValidCommit).cf.instr) if(!p.FPGAPlatform){ - BoringUtils.addSource(RegNext(retireCounter), "difftestCommit") - BoringUtils.addSource(RegNext(microOp(firstValidCommit).cf.pc), "difftestThisPC")//first valid PC - BoringUtils.addSource(RegNext(microOp(firstValidCommit).cf.instr), "difftestThisINST")//first valid inst + BoringUtils.addSource(RegNext(retireCounterFix), "difftestCommit") + BoringUtils.addSource(RegNext(retirePCFix), "difftestThisPC")//first valid PC + BoringUtils.addSource(RegNext(retireInstFix), "difftestThisINST")//first valid inst BoringUtils.addSource(RegNext(skip.asUInt), "difftestSkip") BoringUtils.addSource(RegNext(false.B), "difftestIsRVC")//FIXIT BoringUtils.addSource(RegNext(wen.asUInt), "difftestWen") From 2f931f37633162f8edadae653165cce3c4e6465b Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Sun, 19 Jul 2020 20:41:14 +0800 Subject: [PATCH 12/56] ifu: support RVC prediction and late jump of RVI --- src/main/scala/xiangshan/Bundle.scala | 2 +- .../xiangshan/backend/exu/AluExeUnit.scala | 5 +- .../xiangshan/backend/exu/JmpExeUnit.scala | 3 +- .../scala/xiangshan/backend/fu/Jump.scala | 3 +- src/main/scala/xiangshan/frontend/BPU.scala | 11 ++- .../scala/xiangshan/frontend/FakeICache.scala | 7 +- src/main/scala/xiangshan/frontend/IFU.scala | 90 +++++++++++++------ .../scala/xiangshan/frontend/Ibuffer.scala | 22 ++--- src/main/scala/xiangshan/frontend/jbtac.scala | 2 +- 9 files changed, 93 insertions(+), 52 deletions(-) diff --git a/src/main/scala/xiangshan/Bundle.scala b/src/main/scala/xiangshan/Bundle.scala index ad73d628174..4d447ac38f1 100644 --- a/src/main/scala/xiangshan/Bundle.scala +++ b/src/main/scala/xiangshan/Bundle.scala @@ -68,7 +68,7 @@ class BranchPrediction extends XSBundle { // Save predecode info in icache class Predecode extends XSBundle { - val mask = UInt(FetchWidth*2.W) + val mask = UInt((FetchWidth*2).W) val isRVC = Vec(FetchWidth*2, Bool()) val fuTypes = Vec(FetchWidth*2, FuType()) val fuOpTypes = Vec(FetchWidth*2, FuOpType()) diff --git a/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala b/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala index 5ef4c38c2ef..34e31c00610 100644 --- a/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala @@ -62,14 +62,15 @@ class AluExeUnit extends Exu(Exu.aluExeUnitCfg) { io.out.bits.redirect.target := Mux(!taken && isBranch, pcLatchSlot, target) io.out.bits.redirect.brTarget := target io.out.bits.redirect.brTag := uop.brTag - io.out.bits.redirect._type := "b00".U + io.out.bits.redirect._type := "b00".U + io.out.bits.redirect.isRVC := isRVC io.out.bits.redirect.taken := isBranch && taken io.out.bits.redirect.hist := uop.cf.hist io.out.bits.redirect.tageMeta := uop.cf.tageMeta io.out.bits.redirect.fetchIdx := uop.cf.fetchOffset >> 2.U //TODO: consider RVC // io.out.bits.redirect.btbVictimWay := uop.cf.btbVictimWay io.out.bits.redirect.btbPredCtr := uop.cf.btbPredCtr - io.out.bits.redirect.btbHitWay := uop.cf.btbHitWay + io.out.bits.redirect.btbHit := uop.cf.btbHit io.out.bits.redirect.rasSp := uop.cf.rasSp io.out.bits.redirect.rasTopCtr := uop.cf.rasTopCtr io.out.bits.redirect.isException := DontCare // false.B diff --git a/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala b/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala index 912a2559ec7..f59a8f4631a 100644 --- a/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala @@ -44,12 +44,13 @@ class JmpExeUnit(implicit val p: XSConfig) extends Exu(Exu.jmpExeUnitCfg) { csrExuOut.redirect.pc := uop.cf.pc csrExuOut.redirect.brTarget := DontCare // DontCare csrExuOut.redirect._type := LookupTree(uop.ctrl.fuOpType, RV32I_BRUInstr.bruFuncTobtbTypeTable) + csrExuOut.redirect.isRVC := uop.cf.isRVC csrExuOut.redirect.taken := false.B csrExuOut.redirect.hist := uop.cf.hist csrExuOut.redirect.tageMeta := uop.cf.tageMeta csrExuOut.redirect.fetchIdx := uop.cf.fetchOffset >> 2.U //TODO: consider RVC csrExuOut.redirect.btbPredCtr := uop.cf.btbPredCtr - csrExuOut.redirect.btbHitWay := uop.cf.btbHitWay + csrExuOut.redirect.btbHit := uop.cf.btbHit csrExuOut.redirect.rasSp := uop.cf.rasSp csrExuOut.redirect.rasTopCtr := uop.cf.rasTopCtr diff --git a/src/main/scala/xiangshan/backend/fu/Jump.scala b/src/main/scala/xiangshan/backend/fu/Jump.scala index 6f485ee2713..be090b8b6f1 100644 --- a/src/main/scala/xiangshan/backend/fu/Jump.scala +++ b/src/main/scala/xiangshan/backend/fu/Jump.scala @@ -26,12 +26,13 @@ class Jump extends FunctionUnit(jmpCfg){ io.out.bits.redirect.brTarget := target // DontCare io.out.bits.redirect.brTag := uop.brTag io.out.bits.redirect._type := LookupTree(func, RV32I_BRUInstr.bruFuncTobtbTypeTable) + io.out.bits.redirect.isRVC := isRVC io.out.bits.redirect.taken := true.B io.out.bits.redirect.hist := uop.cf.hist io.out.bits.redirect.tageMeta := uop.cf.tageMeta io.out.bits.redirect.fetchIdx := uop.cf.fetchOffset >> 2.U //TODO: consider RVC io.out.bits.redirect.btbPredCtr := uop.cf.btbPredCtr - io.out.bits.redirect.btbHitWay := uop.cf.btbHitWay + io.out.bits.redirect.btbHit := uop.cf.btbHit io.out.bits.redirect.rasSp := uop.cf.rasSp io.out.bits.redirect.rasTopCtr := uop.cf.rasTopCtr io.out.bits.redirect.isException := false.B diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 82fa223127c..0e31bcb99cf 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -82,7 +82,8 @@ class BPUStage1 extends XSModule { // latch pc for 1 cycle latency when reading SRAM val pcLatch = RegEnable(io.in.pc.bits, io.in.pc.fire()) // TODO: pass real mask in - val maskLatch = RegEnable(btb.io.in.mask, io.in.pc.fire()) + // val maskLatch = RegEnable(btb.io.in.mask, io.in.pc.fire()) + val maskLatch = Fill(PredictWidth, 1.U(1.W)) val r = io.redirectInfo.redirect val updateFetchpc = r.pc - (r.fetchIdx << 1.U) @@ -136,6 +137,7 @@ class BPUStage1 extends XSModule { jbtac.io.update._type := r._type jbtac.io.update.target := r.target jbtac.io.update.hist := r.hist + jbtac.io.update.isRVC := r.isRVC val jbtacHit = jbtac.io.out.hit val jbtacTarget = jbtac.io.out.target @@ -182,7 +184,7 @@ class BPUStage1 extends XSModule { // io.s1OutPred.bits.btbVictimWay := btbWriteWay io.s1OutPred.bits.predCtr := btbCtrs io.s1OutPred.bits.btbHit := btbValids - io.s1OutPred.bits.tageMeta := DontCare + io.s1OutPred.bits.tageMeta := DontCare // TODO: enableBPD io.s1OutPred.bits.rasSp := DontCare io.s1OutPred.bits.rasTopCtr := DontCare @@ -291,7 +293,7 @@ class BPUStage3 extends XSModule { // brTakenIdx/jalIdx/callIdx/jalrIdx/retIdx/jmpIdx is one-hot encoded. // brNotTakenIdx indicates all the not-taken branches before the first jump instruction. val brIdx = inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & io.predecode.bits.mask - val brTakenIdx = if(HasBPD) { + val brTakenIdx = if(EnableBPD) { LowestBit(brIdx & Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt), PredictWidth) } else { LowestBit(brIdx & Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt), PredictWidth) @@ -304,7 +306,7 @@ class BPUStage3 extends XSModule { val jmpIdx = LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, PredictWidth) val brNotTakenIdx = brIdx & LowerMask(jmpIdx, PredictWidth) & ( - if(HasBPD) ~Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt) + if(EnableBPD) ~Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt) else ~Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt)) val lateJump = jmpIdx === HighestBit(io.predecode.bits.mask, PredictWidth) && !io.predecode.bits.isRVC(OHToUInt(jmpIdx)) @@ -319,6 +321,7 @@ class BPUStage3 extends XSModule { LowerMask(jmpIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) // io.out.bits.btbVictimWay := inLatch.btbPred.bits.btbVictimWay + io.out.bits.lateJump := lateJump io.out.bits.predCtr := inLatch.btbPred.bits.predCtr io.out.bits.btbHit := inLatch.btbPred.bits.btbHit io.out.bits.tageMeta := inLatch.btbPred.bits.tageMeta diff --git a/src/main/scala/xiangshan/frontend/FakeICache.scala b/src/main/scala/xiangshan/frontend/FakeICache.scala index 789e920652f..9222b35489d 100644 --- a/src/main/scala/xiangshan/frontend/FakeICache.scala +++ b/src/main/scala/xiangshan/frontend/FakeICache.scala @@ -34,11 +34,14 @@ class TempPreDecoder extends XSModule { for (i <- 0 until FetchWidth) { tempPreDecoders(i).io.in <> DontCare tempPreDecoders(i).io.in.instr <> io.in(i) - io.out.fuTypes(i) := tempPreDecoders(i).io.out.ctrl.fuType - io.out.fuOpTypes(i) := tempPreDecoders(i).io.out.ctrl.fuOpType + io.out.fuTypes(2*i) := tempPreDecoders(i).io.out.ctrl.fuType + io.out.fuTypes(2*i+1) := tempPreDecoders(i).io.out.ctrl.fuType + io.out.fuOpTypes(2*i) := tempPreDecoders(i).io.out.ctrl.fuOpType + io.out.fuOpTypes(2*i+1) := tempPreDecoders(i).io.out.ctrl.fuOpType } io.out.mask := DontCare + io.out.isRVC := DontCare } diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 75d3cb0231d..25b52b532e9 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -56,6 +56,13 @@ class IFU extends XSModule with HasIFUConst val if2_ready = WireInit(false.B) val if2_snpc = snpc(if1_pc) //TODO: calculate snpc according to mask of current fetch packet val needflush = WireInit(false.B) + // when an RVI instruction is predicted as taken and it crosses over two fetch packets, + // IFU should not take this branch but fetch the latter half of the instruction sequentially, + // and take the jump target in the next fetch cycle + val if2_lateJumpLatch = WireInit(false.B) + val if2_lateJumpTarget = RegInit(0.U(VAddrBits.W)) + val if4_lateJumpLatch = WireInit(false.B) + val if4_lateJumpTarget = RegInit(0.U(VAddrBits.W)) //pipe fire val if1_fire = if1_valid && if2_ready @@ -66,7 +73,7 @@ class IFU extends XSModule with HasIFUConst XSDebug("RESET....\n") if1_npc := resetVector.U(VAddrBits.W) } .otherwise{ - if1_npc := if2_snpc + if1_npc := Mux(if4_lateJumpLatch, if4_lateJumpTarget, Mux(if2_lateJumpLatch, if2_lateJumpTarget, if2_snpc)) } when(if1_pcUpdate) @@ -90,8 +97,15 @@ class IFU extends XSModule with HasIFUConst val if2_valid = RegEnable(next=if1_valid,init=false.B,enable=if1_fire) val if2_pc = if1_pc val if2_btb_taken = bpu.io.btbOut.valid && bpu.io.btbOut.bits.redirect - val if2_btb_insMask = bpu.io.btbOut.bits.instrValid - val if2_btb_target = bpu.io.btbOut.bits.target + val if2_btb_lateJump = WireInit(false.B) + val if2_btb_insMask = Mux(if2_btb_taken, bpu.io.btbOut.bits.instrValid.asUInt, Fill(FetchWidth*2, 1.U(1.W))) // TODO: FIX THIS + val if2_btb_target = Mux(if2_btb_lateJump, if2_snpc, bpu.io.btbOut.bits.target) + + if2_lateJumpLatch := BoolStopWatch(if2_btb_lateJump, if1_fire, startHighPriority = true) + // since late jump target should be taken after the latter half of late jump instr is fetched, we need to latch this target + when (if2_btb_lateJump) { + if2_lateJumpTarget := bpu.io.btbOut.bits.target + } //next val if3_ready = WireInit(false.B) @@ -108,6 +122,8 @@ class IFU extends XSModule with HasIFUConst if1_npc := if2_btb_target } + bpu.io.in.pc.valid := if1_fire && !if2_btb_lateJump + XSDebug("[IF2]if2_valid:%d || if2_pc:0x%x || if3_ready:%d ",if2_valid,if2_pc,if3_ready) XSDebug(false,if2_fire,"------IF2->fire!!!") XSDebug(false,true.B,"\n") @@ -119,10 +135,10 @@ class IFU extends XSModule with HasIFUConst //local val if3_valid = RegEnable(next=if2_valid,init=false.B,enable=if2_fire) val if3_pc = RegEnable(if2_pc,if2_fire) - val if3_npc = RegEnable(if1_npc,if2_fire) - val if3_btb_target = RegEnable(if2_btb_target,if2_fire) - val if3_btb_taken = RegEnable(if2_btb_taken,if2_fire) - val if3_btb_insMask = RegEnable(if2_btb_insMask, if2_fire) + val if3_npc = RegEnable(if1_npc, if2_fire) + val if3_btb_target = RegEnable(Mux(if2_lateJumpLatch, if2_lateJumpTarget, Mux(if2_btb_lateJump, bpu.io.btbOut.bits.target, if2_btb_target)), if2_fire) + val if3_btb_taken = RegEnable(Mux(if2_lateJumpLatch, true.B, if2_btb_taken), if2_fire) + val if3_btb_insMask = RegEnable(Mux(if2_lateJumpLatch, 1.U((FetchWidth*2).W), if2_btb_insMask), if2_fire) //next val if4_ready = WireInit(false.B) @@ -147,10 +163,20 @@ class IFU extends XSModule with HasIFUConst val if4_btb_target = RegEnable(if3_btb_target,if3_fire) val if4_btb_taken = RegEnable(if3_btb_taken,if3_fire) val if4_btb_insMask = RegEnable(if3_btb_insMask, if3_fire) - val if4_tage_target = bpu.io.tageOut.bits.target val if4_tage_taken = bpu.io.tageOut.valid && bpu.io.tageOut.bits.redirect + val if4_tage_lateJump = if4_tage_taken && bpu.io.tageOut.bits.lateJump && !io.redirectInfo.flush() val if4_tage_insMask = bpu.io.tageOut.bits.instrValid - val if4_btb_missPre = WireInit(false.B) + val if4_snpc = if4_pc + (PopCount(if4_tage_insMask) << 1.U) + val if4_tage_target = Mux(if4_tage_lateJump, if4_snpc, bpu.io.tageOut.bits.target) + + if2_btb_lateJump := if2_btb_taken && bpu.io.btbOut.bits.lateJump && !io.redirectInfo.flush() && !if4_tage_taken + + if4_lateJumpLatch := BoolStopWatch(if4_tage_lateJump, if1_fire, startHighPriority = true) + when (if4_tage_lateJump) { + if4_lateJumpTarget := bpu.io.tageOut.bits.target + } + + bpu.io.in.pc.valid := if1_fire && !if2_btb_lateJump && !if4_tage_lateJump XSDebug("[IF4]if4_valid:%d || if4_pc:0x%x if4_npc:0x%x\n",if4_valid,if4_pc,if4_npc) XSDebug("[IF4-TAGE-out]if4_tage_taken:%d || if4_btb_insMask:%b || if4_tage_target:0x%x \n",if4_tage_taken,if4_tage_insMask.asUInt,if4_tage_target) @@ -160,8 +186,6 @@ class IFU extends XSModule with HasIFUConst { if1_npc := if4_tage_target } - //redirect: tage result differ btb - if4_btb_missPre := (if4_tage_taken ^ if4_btb_taken) || (if4_tage_taken && if4_btb_taken && (if4_tage_target =/= if4_btb_target)) //redirect: miss predict when(io.redirectInfo.flush()){ @@ -171,8 +195,9 @@ class IFU extends XSModule with HasIFUConst //flush pipline - if(EnableBPD){needflush := (if4_valid && if4_btb_missPre) || io.redirectInfo.flush() } - else {needflush := io.redirectInfo.flush()} + // if(EnableBPD){needflush := (if4_valid && if4_tage_taken) || io.redirectInfo.flush() } + // else {needflush := io.redirectInfo.flush()} + needflush := (if4_valid && if4_tage_taken) || io.redirectInfo.flush() when(needflush){ if3_valid := false.B if4_valid := false.B @@ -185,35 +210,40 @@ class IFU extends XSModule with HasIFUConst if4_ready := io.fetchPacket.ready && (io.icacheResp.valid || !if4_valid) && (GTimer() > 500.U) io.fetchPacket.valid := if4_valid && !io.redirectInfo.flush() io.fetchPacket.bits.instrs := io.icacheResp.bits.icacheOut + /* if(EnableBPU){ - io.fetchPacket.bits.mask := Mux(if4_tage_taken,(Fill(FetchWidth*2, 1.U(1.W)) & Reverse(Cat(if4_tage_insMask.map(i => Fill(2, i.asUInt))).asUInt)), - Mux(if4_btb_taken, Fill(FetchWidth*2, 1.U(1.W)) & Reverse(Cat(if4_btb_insMask.map(i => Fill(2, i.asUInt))).asUInt), - Fill(FetchWidth*2, 1.U(1.W))) + io.fetchPacket.bits.mask := Mux(if4_tage_taken, Fill(FetchWidth*2, 1.U(1.W)) & if4_tage_insMask.asUInt, + Mux(if4_btb_taken, Fill(FetchWidth*2, 1.U(1.W)) & if4_btb_insMask.asUInt, + Fill(FetchWidth*2, 1.U(1.W))) ) } else{ io.fetchPacket.bits.mask := Fill(FetchWidth*2, 1.U(1.W)) //TODO : consider cross cacheline fetch - } + } + */ + io.fetchPacket.bits.mask := Mux(if4_lateJumpLatch, 1.U((FetchWidth*2).W), + Mux(if4_tage_taken, Fill(FetchWidth*2, 1.U(1.W)) & if4_tage_insMask.asUInt, + Fill(FetchWidth*2, 1.U(1.W)) & if4_btb_insMask.asUInt)) io.fetchPacket.bits.pc := if4_pc XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] starPC:0x%x GroupPC:0x%xn\n",if4_pc.asUInt,groupPC(if4_pc).asUInt) XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instrmask %b\n",io.fetchPacket.bits.mask.asUInt) - for(i <- 0 until FetchWidth){ - //io.fetchPacket.bits.pnpc(i) := if1_npc - when (if4_btb_taken && !if4_tage_taken && i.U === OHToUInt(HighestBit(if4_btb_insMask.asUInt, FetchWidth))) { - if(EnableBPD){io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) } //tage not taken use snpc - else{io.fetchPacket.bits.pnpc(i) := if4_btb_target}//use fetch PC - }.elsewhen (if4_tage_taken && i.U === OHToUInt(HighestBit(if4_tage_insMask.asUInt, FetchWidth))) { - io.fetchPacket.bits.pnpc(i) := if1_npc + for(i <- 0 until (FetchWidth*2)){ + when (if4_btb_taken && !if4_tage_taken && i.U === OHToUInt(HighestBit(if4_btb_insMask.asUInt, FetchWidth*2))) { + io.fetchPacket.bits.pnpc(i) := if4_btb_target + }.elsewhen (if4_tage_taken && i.U === OHToUInt(HighestBit(if4_tage_insMask.asUInt, FetchWidth*2))) { + io.fetchPacket.bits.pnpc(i) := Mux(if4_tage_lateJump, bpu.io.tageOut.bits.target, if4_tage_target) }.otherwise { - io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) //use fetch PC + io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << Mux(io.icacheResp.bits.predecode.isRVC(i), 1.U, 2.U)) } - XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instruction %x pnpc:0x%x\n",io.fetchPacket.bits.instrs(i).asUInt,io.fetchPacket.bits.pnpc(i).asUInt) - } + XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instruction %x pnpc:0x%x\n", + Mux((i.U)(0), io.fetchPacket.bits.instrs(i>>1)(31,16), io.fetchPacket.bits.instrs(i>>1)(15,0)), + io.fetchPacket.bits.pnpc(i)) + } io.fetchPacket.bits.hist := bpu.io.tageOut.bits.hist // io.fetchPacket.bits.btbVictimWay := bpu.io.tageOut.bits.btbVictimWay io.fetchPacket.bits.predCtr := bpu.io.tageOut.bits.predCtr - io.fetchPacket.bits.btbHitWay := bpu.io.tageOut.bits.btbHitWay + io.fetchPacket.bits.btbHit := bpu.io.tageOut.bits.btbHit io.fetchPacket.bits.tageMeta := bpu.io.tageOut.bits.tageMeta io.fetchPacket.bits.rasSp := bpu.io.tageOut.bits.rasSp io.fetchPacket.bits.rasTopCtr := bpu.io.tageOut.bits.rasTopCtr @@ -221,7 +251,9 @@ class IFU extends XSModule with HasIFUConst //to BPU bpu.io.predecode.valid := io.icacheResp.fire() && if4_valid bpu.io.predecode.bits <> io.icacheResp.bits.predecode - bpu.io.predecode.bits.mask := Fill(FetchWidth, 1.U(1.W)) //TODO: consider RVC && consider cross cacheline fetch + //TODO: consider RVC && consider cross cacheline fetch + bpu.io.predecode.bits.mask := Fill(FetchWidth, 1.U(1.W)) + bpu.io.predecode.bits.isRVC := 0.U.asTypeOf(Vec(FetchWidth*2, Bool())) bpu.io.redirectInfo := io.redirectInfo io.icacheResp.ready := io.fetchPacket.ready && (GTimer() > 500.U) diff --git a/src/main/scala/xiangshan/frontend/Ibuffer.scala b/src/main/scala/xiangshan/frontend/Ibuffer.scala index f3096d899f1..c7619ee2463 100644 --- a/src/main/scala/xiangshan/frontend/Ibuffer.scala +++ b/src/main/scala/xiangshan/frontend/Ibuffer.scala @@ -33,7 +33,7 @@ class Ibuffer extends XSModule { val ibuf_hist = Reg(Vec(IBufSize*2, UInt(HistoryLength.W))) // val ibuf_btbVictimWay = Reg(Vec(IBufSize*2, UInt(log2Up(BtbWays).W))) val ibuf_btbPredCtr = Reg(Vec(IBufSize*2, UInt(2.W))) - val ibuf_btbHitWay = Reg(Vec(IBufSize*2, Bool())) + val ibuf_btbHit = Reg(Vec(IBufSize*2, Bool())) val ibuf_tageMeta = Reg(Vec(IBufSize*2, (new TageMeta))) val ibuf_rasSp = Reg(Vec(IBufSize*2, UInt(log2Up(RasSize).W))) val ibuf_rasTopCtr = Reg(Vec(IBufSize*2, UInt(8.W))) @@ -58,13 +58,13 @@ class Ibuffer extends XSModule { when(io.in.bits.mask(i)) { ibuf(tail_ptr + enq_idx) := Mux(i.U(0), io.in.bits.instrs(i>>1)(31,16), io.in.bits.instrs(i>>1)(15,0)) ibuf_pc(tail_ptr + enq_idx) := io.in.bits.pc + (enq_idx<<1).asUInt - ibuf_pnpc(tail_ptr + enq_idx) := io.in.bits.pnpc(i>>1) + ibuf_pnpc(tail_ptr + enq_idx) := io.in.bits.pnpc(i) ibuf_fetchOffset(tail_ptr + enq_idx) := (enq_idx << 1).asUInt - ibuf_hist(tail_ptr + enq_idx) := io.in.bits.hist(i>>1) + ibuf_hist(tail_ptr + enq_idx) := io.in.bits.hist(i) // ibuf_btbVictimWay(tail_ptr + enq_idx) := io.in.bits.btbVictimWay - ibuf_btbPredCtr(tail_ptr + enq_idx) := io.in.bits.predCtr(i>>1) - ibuf_btbHitWay(tail_ptr + enq_idx) := io.in.bits.btbHitWay - ibuf_tageMeta(tail_ptr + enq_idx) := io.in.bits.tageMeta(i>>1) + ibuf_btbPredCtr(tail_ptr + enq_idx) := io.in.bits.predCtr(i) + ibuf_btbHit(tail_ptr + enq_idx) := io.in.bits.btbHit(i) + ibuf_tageMeta(tail_ptr + enq_idx) := io.in.bits.tageMeta(i) ibuf_rasSp(tail_ptr + enq_idx) := io.in.bits.rasSp ibuf_rasTopCtr(tail_ptr + enq_idx) := io.in.bits.rasTopCtr ibuf_valid(tail_ptr + enq_idx) := true.B @@ -91,7 +91,7 @@ class Ibuffer extends XSModule { io.out(i).bits.hist := ibuf_hist(head_ptr + deq_idx) // io.out(i).bits.btbVictimWay := ibuf_btbVictimWay(head_ptr + deq_idx) io.out(i).bits.btbPredCtr := ibuf_btbPredCtr(head_ptr + deq_idx) - io.out(i).bits.btbHitWay := ibuf_btbHitWay(head_ptr + deq_idx) + io.out(i).bits.btbHit := ibuf_btbHit(head_ptr + deq_idx) io.out(i).bits.tageMeta := ibuf_tageMeta(head_ptr + deq_idx) io.out(i).bits.rasSp := ibuf_rasSp(head_ptr + deq_idx) io.out(i).bits.rasTopCtr := ibuf_rasTopCtr(head_ptr + deq_idx) @@ -106,7 +106,7 @@ class Ibuffer extends XSModule { io.out(i).bits.hist := ibuf_hist(head_ptr + deq_idx) // io.out(i).bits.btbVictimWay := ibuf_btbVictimWay(head_ptr + deq_idx) io.out(i).bits.btbPredCtr := ibuf_btbPredCtr(head_ptr + deq_idx) - io.out(i).bits.btbHitWay := ibuf_btbHitWay(head_ptr + deq_idx) + io.out(i).bits.btbHit := ibuf_btbHit(head_ptr + deq_idx) io.out(i).bits.tageMeta := ibuf_tageMeta(head_ptr + deq_idx) io.out(i).bits.rasSp := ibuf_rasSp(head_ptr + deq_idx) io.out(i).bits.rasTopCtr := ibuf_rasTopCtr(head_ptr + deq_idx) @@ -122,7 +122,7 @@ class Ibuffer extends XSModule { io.out(i).bits.hist := 0.U(HistoryLength.W) // io.out(i).bits.btbVictimWay := 0.U(log2Up(BtbWays).W) io.out(i).bits.btbPredCtr := 0.U(2.W) - io.out(i).bits.btbHitWay := false.B + io.out(i).bits.btbHit := false.B io.out(i).bits.tageMeta := 0.U.asTypeOf(new TageMeta) io.out(i).bits.rasSp := 0.U(log2Up(RasSize)) io.out(i).bits.rasTopCtr := 0.U(8.W) @@ -137,7 +137,7 @@ class Ibuffer extends XSModule { io.out(i).bits.hist := ibuf_hist(head_ptr + (i<<1).U) // io.out(i).bits.btbVictimWay := ibuf_btbVictimWay(head_ptr + (i<<1).U) io.out(i).bits.btbPredCtr := ibuf_btbPredCtr(head_ptr + (i<<1).U) - io.out(i).bits.btbHitWay := ibuf_btbHitWay(head_ptr + (i<<1).U) + io.out(i).bits.btbHit := ibuf_btbHit(head_ptr + (i<<1).U) io.out(i).bits.tageMeta := ibuf_tageMeta(head_ptr + (i<<1).U) io.out(i).bits.rasSp := ibuf_rasSp(head_ptr + (i<<1).U) io.out(i).bits.rasTopCtr := ibuf_rasTopCtr(head_ptr + (i<<1).U) @@ -166,7 +166,7 @@ class Ibuffer extends XSModule { io.out(i).bits.hist := 0.U(HistoryLength.W) // io.out(i).bits.btbVictimWay := 0.U(log2Up(BtbWays).W) io.out(i).bits.btbPredCtr := 0.U(2.W) - io.out(i).bits.btbHitWay := false.B + io.out(i).bits.btbHit := false.B io.out(i).bits.tageMeta := 0.U.asTypeOf(new TageMeta) io.out(i).bits.rasSp := 0.U(log2Up(RasSize)) io.out(i).bits.rasTopCtr := 0.U(8.W) diff --git a/src/main/scala/xiangshan/frontend/jbtac.scala b/src/main/scala/xiangshan/frontend/jbtac.scala index e8ecbc8aca7..21d3c37dfdf 100644 --- a/src/main/scala/xiangshan/frontend/jbtac.scala +++ b/src/main/scala/xiangshan/frontend/jbtac.scala @@ -86,7 +86,7 @@ class JBTAC extends XSModule { io.out.hit := outHit io.out.hitIdx := readEntries(readBankLatch).offset - io.out.target := readEntries(readBankLatch). + io.out.target := readEntries(readBankLatch).target io.out.isRVILateJump := io.out.hit && io.out.hitIdx === OHToUInt(HighestBit(readMaskLatch, PredictWidth)) && !readEntries(readBankLatch).isRVC // update jbtac From 04771bb8c0df5432e447ef4354237d0bdb97eeb1 Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Sun, 19 Jul 2020 21:33:20 +0800 Subject: [PATCH 13/56] Disable BPU; Fix Freelist bug --- src/main/scala/xiangshan/XSCore.scala | 2 +- src/main/scala/xiangshan/backend/rename/FreeList.scala | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index 2c78167e0ae..19d54c1accb 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -27,7 +27,7 @@ trait HasXSParameter { val HasFPU = true val FetchWidth = 8 val PredictWidth = FetchWidth * 2 - val EnableBPU = true + val EnableBPU = false val EnableBPD = false // enable backing predictor(like Tage) in BPUStage3 val HistoryLength = 64 val BtbSize = 256 diff --git a/src/main/scala/xiangshan/backend/rename/FreeList.scala b/src/main/scala/xiangshan/backend/rename/FreeList.scala index 043a11ab2e9..4c759bcd1d4 100644 --- a/src/main/scala/xiangshan/backend/rename/FreeList.scala +++ b/src/main/scala/xiangshan/backend/rename/FreeList.scala @@ -99,7 +99,7 @@ class FreeList extends XSModule with HasFreeListConsts { headPtr := Mux(io.redirect.valid, // mispredict or exception happen Mux(io.redirect.bits.isException, - tailPtr, + FreeListPtr(!tailPtr.flag, tailPtr.value), checkPoints(io.redirect.bits.brTag.value) ), headPtrNext From 2d2e12da29fdf1818df8fe22f91da69a6dd38d56 Mon Sep 17 00:00:00 2001 From: GouLingrui Date: Sun, 19 Jul 2020 22:39:28 +0800 Subject: [PATCH 14/56] BPU: fix mask in stage 3 --- src/main/scala/xiangshan/frontend/BPU.scala | 46 ++++++++++----------- src/main/scala/xiangshan/frontend/btb.scala | 2 +- 2 files changed, 23 insertions(+), 25 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 63d57a8c78f..fbdd03e6056 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -292,28 +292,25 @@ class BPUStage3 extends XSModule { // get the first taken branch/jal/call/jalr/ret in a fetch line // brNotTakenIdx indicates all the not-taken branches before the first jump instruction + + val brs = inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & io.predecode.bits.mask - val brTakenIdx = PriorityMux(brs & inLatch.tage.takens.asUInt, (0 until FetchWidth).map(_.U)) - val jalIdx = PriorityMux(inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & io.predecode.bits.mask, (0 until FetchWidth).map(_.U)) - val callIdx = PriorityMux(inLatch.btb.hits & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.call }).asUInt), (0 until FetchWidth).map(_.U)) - val jalrIdx = PriorityMux(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), (0 until FetchWidth).map(_.U)) - val retIdx = PriorityMux(io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), (0 until FetchWidth).map(_.U)) - - val jmpIdx = Wire(UInt(log2Up(FetchWidth).W)) - var idx = 0.U - io.s3Taken := false.B - for (i <- FetchWidth-1 to 0) { - val isBrTaken = brs(i) && inLatch.tage.takens(i) - val isJal = inLatch.btb.hits(i) && io.predecode.bits.fuOpTypes(i) === JumpOpType.jal && io.predecode.bits.mask(i) - val isCall = inLatch.btb.hits(i) && io.predecode.bits.fuOpTypes(i) === JumpOpType.call && io.predecode.bits.mask(i) - val isJalr = inLatch.jbtac.hitIdx(i) && io.predecode.bits.fuOpTypes(i) === JumpOpType.jalr && io.predecode.bits.mask(i) - val isRet = io.predecode.bits.fuOpTypes(i) === JumpOpType.ret && io.predecode.bits.mask(i) && EnableRAS.B - when (isBrTaken || isJal || isCall || isJalr || isRet) { - idx = i.U - io.s3Taken := true.B - } - } - jmpIdx := idx + val brTakens = brs & inLatch.tage.takens.asUInt + val jals = inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & io.predecode.bits.mask + val calls = inLatch.btb.hits & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.call }).asUInt) + val jalrs = inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt) + val rets = io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt) + + val brTakenIdx = PriorityMux(brTakens, (0 until FetchWidth).map(_.U)) + val jalIdx = PriorityMux(jals, (0 until FetchWidth).map(_.U)) + val callIdx = PriorityMux(calls, (0 until FetchWidth).map(_.U)) + val jalrIdx = PriorityMux(jalrs, (0 until FetchWidth).map(_.U)) + val retIdx = PriorityMux(rets, (0 until FetchWidth).map(_.U)) + + val jmps = (if (EnableRAS) {brTakens | jals | calls | jalrs | rets} else {brTakens | jals | calls | jalrs}) + val jmpIdx = MuxCase(0.U, (0 until FetchWidth).map(i => (jmps(i), i.U))) + io.s3Taken := MuxCase(false.B, (0 until FetchWidth).map(i => (jmps(i), true.B))) + val brNotTakens = VecInit((0 until FetchWidth).map(i => brs(i) && ~inLatch.tage.takens(i) && i.U <= jmpIdx && io.predecode.bits.mask(i))) @@ -353,7 +350,7 @@ class BPUStage3 extends XSModule { Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, inLatch.btb.targets(jmpIdx)))) for (i <- 0 until FetchWidth) { - io.out.bits.instrValid(i) := (~(ntToT || tgtDiffers) || i.U <= jmpIdx) && io.predecode.bits.mask(i) + io.out.bits.instrValid(i) := ((io.s3Taken && i.U <= jmpIdx) || ~io.s3Taken) && io.predecode.bits.mask(i) } io.flushBPU := io.out.bits.redirect && io.out.valid @@ -396,8 +393,9 @@ class BPUStage3 extends XSModule { io.out.valid, inLatch.pc, io.out.bits.redirect, io.predecode.bits.mask, io.out.bits.instrValid.asUInt, io.out.bits.target) XSDebug(true.B, "flushS3=%d\n", flushS3) XSDebug(true.B, "validLatch=%d predecode.valid=%d\n", validLatch, io.predecode.valid) - XSDebug(true.B, "brs=%b brTakenIdx=%d brNTakens=%b jalIdx=%d jalrIdx=%d callIdx=%d retIdx=%d\n", - brs, brTakenIdx, brNotTakens.asUInt, jalIdx, jalrIdx, callIdx, retIdx) + XSDebug(true.B, "jmpIdx=%d, brs=%b brTakenIdx=%d brNTakens=%b jalIdx=%d jalrIdx=%d callIdx=%d retIdx=%d\n", + jmpIdx, brs, brTakenIdx, brNotTakens.asUInt, jalIdx, jalrIdx, callIdx, retIdx) + XSDebug(true.B, "tgtDiffers:%d, dirDiffers:%d, s3taken=%d\n", tgtDiffers, dirDiffers, io.s3Taken) // BPU's TEMP Perf Cnt BoringUtils.addSource(io.out.valid, "MbpS3Cnt") diff --git a/src/main/scala/xiangshan/frontend/btb.scala b/src/main/scala/xiangshan/frontend/btb.scala index 7eb63b0f4ad..8d3442b35e8 100644 --- a/src/main/scala/xiangshan/frontend/btb.scala +++ b/src/main/scala/xiangshan/frontend/btb.scala @@ -214,7 +214,7 @@ class BTB extends XSModule { } XSDebug(nextFire, "bankIdxInOrder:") for (i <- 0 until BtbBanks){ XSDebug(false, nextFire, "%d ", bankIdxInOrder(i))} - XSDebug(nextFire, "\n") + XSDebug(false, nextFire, "\n") XSDebug(io.redirectValid, "update_req: pc=0x%x, hit=%d, misPred=%d, oldCtr=%d, taken=%d, target=0x%x, _type=%d\n", u.pc, u.hit, u.misPred, u.oldCtr, u.taken, u.target, u._type) XSDebug(io.redirectValid, "update: noNeedToUpdate=%d, writeValid=%d, bank=%d, row=%d, newCtr=%d\n", From c58cc81795dde734668505ddbadee134f9ee11d0 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Mon, 20 Jul 2020 11:24:56 +0800 Subject: [PATCH 15/56] roq: support exceptions --- src/main/scala/xiangshan/backend/roq/Roq.scala | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 75c30e9400d..375fc1148d8 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -81,6 +81,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { for(i <- 0 until numWbPorts){ when(io.exeWbResults(i).fire()){ writebacked(io.exeWbResults(i).bits.uop.roqIdx) := true.B + microOp(io.exeWbResults(i).bits.uop.roqIdx).cf.exceptionVec := io.exeWbResults(i).bits.uop.cf.exceptionVec exuData(io.exeWbResults(i).bits.uop.roqIdx) := io.exeWbResults(i).bits.data exuDebug(io.exeWbResults(i).bits.uop.roqIdx) := io.exeWbResults(i).bits.debug XSInfo(io.exeWbResults(i).valid, "writebacked pc 0x%x wen %d data 0x%x ldst %d pdst %d skip %x\n", @@ -109,7 +110,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { io.commits(i) := DontCare switch(state){ is(s_idle){ - val canCommit = if(i!=0) io.commits(i-1).valid else true.B + val canCommit = (if(i!=0) io.commits(i-1).valid else true.B) && !Cat(microOp(ringBufferTail+i.U).cf.exceptionVec).orR() io.commits(i).valid := valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit io.commits(i).bits.uop := microOp(ringBufferTail+i.U) when(io.commits(i).valid){valid(ringBufferTail+i.U) := false.B} @@ -207,10 +208,11 @@ class Roq(implicit val p: XSConfig) extends XSModule { ExcitingUtils.addSink(intrVec, "intrVecIDU") val trapTarget = WireInit(0.U(VAddrBits.W)) ExcitingUtils.addSink(trapTarget, "trapTarget") - val intrEnable = intrVec.orR + val intrEnable = intrVec.orR || Cat(microOp(ringBufferTail).cf.exceptionVec).orR() // io.out.cf.intrVec.zip(intrVec.asBools).map{ case(x, y) => x := y } io.redirect := DontCare - io.redirect.valid := intrEnable && (state === s_idle) && !hasCsr && !ringBufferEmpty + val isEcall = microOp(ringBufferTail).cf.exceptionVec(ecallM) || microOp(ringBufferTail).cf.exceptionVec(ecallS) || microOp(ringBufferTail).cf.exceptionVec(ecallU) + io.redirect.valid := intrEnable && (state === s_idle) && !ringBufferEmpty && (!hasCsr || isEcall) io.redirect.bits.isException := true.B io.redirect.bits.target := trapTarget io.exception := microOp(ringBufferTail) From 989069c13cd85140f4ce3cd69ab885c4a842dfc8 Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Mon, 20 Jul 2020 11:40:40 +0800 Subject: [PATCH 16/56] btb/jbtac: fix combinational loop in raw bypass --- src/main/scala/xiangshan/frontend/btb.scala | 15 +++++++++++++-- src/main/scala/xiangshan/frontend/jbtac.scala | 10 +++++++++- 2 files changed, 22 insertions(+), 3 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/btb.scala b/src/main/scala/xiangshan/frontend/btb.scala index d3a939db243..5a93f9af334 100644 --- a/src/main/scala/xiangshan/frontend/btb.scala +++ b/src/main/scala/xiangshan/frontend/btb.scala @@ -215,19 +215,30 @@ class BTB extends XSModule { io.out.isRVILateJump := io.out.taken && takenIdx === OHToUInt(HighestBit(maskLatch, PredictWidth)) && !dataRead(bankIdxInOrder(takenIdx)).isRVC // read-after-write bypass + val rawBypassHit = Wire(Vec(BtbBanks, Bool())) for (b <- 0 until BtbBanks) { when (b.U === updateBankIdx && realRow(b) === updateRow) { // read and write to the same address when (realMask(b) && io.in.pc.valid && btbWriteValid) { // both read and write valid + rawBypassHit(b) := true.B btbMeta(b).io.r.req.valid := false.B btbData(b).io.r.req.valid := false.B - metaRead(b) := RegNext(btbMetaWrite) - dataRead(b) := RegNext(btbDataWrite) + // metaRead(b) := RegNext(btbMetaWrite) + // dataRead(b) := RegNext(btbDataWrite) readFire(b) := true.B XSDebug("raw bypass hits: bank=%d, row=%d, meta: %d %x, data: tgt=%x pred=%b btbType=%b isRVC=%d\n", b.U, updateRow, btbMetaWrite.valid, btbMetaWrite.tag, btbDataWrite.target, btbDataWrite.pred, btbDataWrite.btbType, btbDataWrite.isRVC) + }.otherwise { + rawBypassHit(b) := false.B } + }.otherwise { + rawBypassHit(b) := false.B + } + + when (RegNext(rawBypassHit(b))) { + metaRead(b) := RegNext(btbMetaWrite) + dataRead(b) := RegNext(btbDataWrite) } } diff --git a/src/main/scala/xiangshan/frontend/jbtac.scala b/src/main/scala/xiangshan/frontend/jbtac.scala index 3d55ca5bac7..830de2a6954 100644 --- a/src/main/scala/xiangshan/frontend/jbtac.scala +++ b/src/main/scala/xiangshan/frontend/jbtac.scala @@ -117,17 +117,25 @@ class JBTAC extends XSModule { } // read-after-write bypass + val rawBypassHit = Wire(Vec(JbtacBanks, Bool())) for (b <- 0 until JbtacBanks) { when (readBank === writeBank && readRow === writeRow && b.U === readBank) { when (io.in.pc.fire() && writeValid) { + rawBypassHit(b) := true.B jbtac(b).io.r.req.valid := false.B - readEntries(b) := RegNext(writeEntry) + // readEntries(b) := RegNext(writeEntry) readFire(b) := true.B XSDebug("raw bypass hits: bank=%d, row=%d, tag=%x, tgt=%x, offet=%d, isRVC=%d\n", b.U, readRow, writeEntry.tag, writeEntry.target, writeEntry.offset, writeEntry.isRVC) + }.otherwise { + rawBypassHit(b) := false.B } + }.otherwise { + rawBypassHit(b) := false.B } + + when (RegNext(rawBypassHit(b))) { readEntries(b) := RegNext(writeEntry) } } XSDebug(io.in.pc.fire(), "read: pc=0x%x, histXORAddr=0x%x, bank=%d, row=%d, hist=%b\n", From 3b84e556f7b65cfd5eecfd6979ce40dabf11ea7b Mon Sep 17 00:00:00 2001 From: William Wang Date: Mon, 20 Jul 2020 18:31:05 +0800 Subject: [PATCH 17/56] crossbar: import NutShell simplebus crossbar --- src/main/scala/bus/simplebus/Crossbar.scala | 33 +++++++++++++++++---- 1 file changed, 28 insertions(+), 5 deletions(-) diff --git a/src/main/scala/bus/simplebus/Crossbar.scala b/src/main/scala/bus/simplebus/Crossbar.scala index 0963e4dd198..96b4271e7d6 100644 --- a/src/main/scala/bus/simplebus/Crossbar.scala +++ b/src/main/scala/bus/simplebus/Crossbar.scala @@ -1,3 +1,19 @@ +/************************************************************************************** +* Copyright (c) 2020 Institute of Computing Technology, CAS +* Copyright (c) 2020 University of Chinese Academy of Sciences +* +* NutShell is licensed under Mulan PSL v2. +* You can use this software according to the terms and conditions of the Mulan PSL v2. +* You may obtain a copy of Mulan PSL v2 at: +* http://license.coscl.org.cn/MulanPSL2 +* +* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, EITHER +* EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, MERCHANTABILITY OR +* FIT FOR A PARTICULAR PURPOSE. +* +* See the Mulan PSL v2 for more details. +***************************************************************************************/ + package bus.simplebus import chisel3._ @@ -11,7 +27,7 @@ class SimpleBusCrossbar1toN(addressSpace: List[(Long, Long)]) extends Module { val out = Vec(addressSpace.length, new SimpleBusUC) }) - val s_idle :: s_resp :: Nil = Enum(2) + val s_idle :: s_resp :: s_error :: Nil = Enum(3) val state = RegInit(s_idle) // select the output channel according to the address @@ -22,8 +38,10 @@ class SimpleBusCrossbar1toN(addressSpace: List[(Long, Long)]) extends Module { val outSel = io.out(outSelIdx) val outSelIdxResp = RegEnable(outSelIdx, outSel.req.fire() && (state === s_idle)) val outSelResp = io.out(outSelIdxResp) + val reqInvalidAddr = io.in.req.valid && !outSelVec.asUInt.orR - assert(!io.in.req.valid || outSelVec.asUInt.orR, "address decode error, bad addr = 0x%x\n", addr) + when(!(!io.in.req.valid || outSelVec.asUInt.orR) || !(!(io.in.req.valid && outSelVec.asUInt.andR))){printf("[ERROR] bad addr %x, time %d\n", addr, GTimer())} + // assert(!io.in.req.valid || outSelVec.asUInt.orR, "address decode error, bad addr = 0x%x\n", addr) assert(!(io.in.req.valid && outSelVec.asUInt.andR), "address decode error, bad addr = 0x%x\n", addr) // bind out.req channel @@ -34,14 +52,19 @@ class SimpleBusCrossbar1toN(addressSpace: List[(Long, Long)]) extends Module { }} switch (state) { - is (s_idle) { when (outSel.req.fire()) { state := s_resp } } + is (s_idle) { + when (outSel.req.fire()) { state := s_resp } + when (reqInvalidAddr) { state := s_error } + } is (s_resp) { when (outSelResp.resp.fire()) { state := s_idle } } + is (s_error) { when(io.in.resp.fire()){ state := s_idle } } } - io.in.resp.valid := outSelResp.resp.fire() + io.in.resp.valid := outSelResp.resp.fire() || state === s_error io.in.resp.bits <> outSelResp.resp.bits + // io.in.resp.bits.exc.get := state === s_error outSelResp.resp.ready := io.in.resp.ready - io.in.req.ready := outSel.req.ready + io.in.req.ready := outSel.req.ready || reqInvalidAddr Debug() { when (state === s_idle && io.in.req.valid) { From efa58996a027b60b46c11e17f6b123876d42f0e9 Mon Sep 17 00:00:00 2001 From: William Wang Date: Mon, 20 Jul 2020 19:22:21 +0800 Subject: [PATCH 18/56] Lsu: fix store writeback FSM --- src/main/scala/xiangshan/backend/exu/LsExeUnit.scala | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala index 55416893b44..809a31f226d 100644 --- a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala @@ -48,7 +48,7 @@ class LsExeUnit extends Exu(Exu.lsuExeUnitCfg){ Mux(retiringStore, stqData(stqTail).src3, src3In), Mux(retiringStore, stqData(stqTail).func, funcIn) ) - assert(!(retiringStore && !stqValid(stqTail))) + // assert(!(retiringStore && !stqValid(stqTail))) def genWmask(addr: UInt, sizeEncode: UInt): UInt = { LookupTree(sizeEncode, List( @@ -149,7 +149,7 @@ class LsExeUnit extends Exu(Exu.lsuExeUnitCfg){ ){ retiringStore := true.B } - when(state === s_partialLoad && retiringStore){ + when(dmem.resp.fire() && retiringStore){ retiringStore := false.B } From ae7c6ced5cd6c4eab92619dade38c08b3ff5364a Mon Sep 17 00:00:00 2001 From: William Wang Date: Mon, 20 Jul 2020 19:36:39 +0800 Subject: [PATCH 19/56] Makefile: update debug/Makefile --- debug/Makefile | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) diff --git a/debug/Makefile b/debug/Makefile index 6e3e197bde7..bb21298747e 100644 --- a/debug/Makefile +++ b/debug/Makefile @@ -20,7 +20,7 @@ cpu: # ------------------------------------------------------------------ cputest: - $(MAKE) -C $(AM_HOME)/tests/cputest $(ARCH) $(EMU_ARGS) run 2>&1 | tee > cpu.log + $(MAKE) -C $(AM_HOME)/tests/cputest $(ARCH) $(EMU_ARGS) run 2 > cpu.log cat cpu.log | grep different cat cpu.log | grep IPC @@ -28,32 +28,32 @@ cputest: # $(MAKE) -C $(AM_HOME)/tests/bputest $(ARCH) run 2>&1 | tee > bpu.log # cat bpu.log | grep different bputest: - $(MAKE) -C $(AM_HOME)/tests/bputest $(ARCH) run 2>&1 | tee > bpu.log + $(MAKE) -C $(AM_HOME)/tests/bputest $(ARCH) run 2 > bpu.log cat bpu.log | grep Mbp amtest: - $(MAKE) -C $(AM_HOME)/tests/cputest $(ARCH) $(SINGLETEST) run 2>&1 | tee > test.log + $(MAKE) -C $(AM_HOME)/tests/cputest $(ARCH) $(SINGLETEST) run 2 > test.log cat test.log | grep different cat test.log | grep ISU > isu.log microbench: - $(MAKE) -C $(AM_HOME)/apps/microbench $(ARCH) mainargs=test run 2>&1 | tee > microbench.log + $(MAKE) -C $(AM_HOME)/apps/microbench $(ARCH) $(EMU_ARGS) mainargs=test run 2 > microbench.log cat microbench.log | grep IPC microbench_train: - $(MAKE) -C $(AM_HOME)/apps/microbench $(ARCH) mainargs=train run 2>&1 | tee > microbench.log + $(MAKE) -C $(AM_HOME)/apps/microbench $(ARCH) $(EMU_ARGS) mainargs=train run 2 > microbench.log cat microbench.log | grep IPC coremark: - $(MAKE) -C $(AM_HOME)/apps/coremark $(ARCH) mainargs=test run 2>&1 | tee > coremark.log + $(MAKE) -C $(AM_HOME)/apps/coremark $(ARCH) $(EMU_ARGS) mainargs=test run 2 > coremark.log cat coremark.log | grep IPC dhrystone: - $(MAKE) -C $(AM_HOME)/apps/dhrystone $(ARCH) mainargs=test run 2>&1 | tee > dhrystone.log + $(MAKE) -C $(AM_HOME)/apps/dhrystone $(ARCH) $(EMU_ARGS) mainargs=test run 2 > dhrystone.log cat dhrystone.log | grep IPC xj: - $(MAKE) -C $(NANOS_HOME) $(ARCH) run + $(MAKE) -C $(NANOS_HOME) $(ARCH) $(EMU_ARGS) run xjnemu: $(MAKE) -C $(NANOS_HOME) ARCH=riscv64-nemu run @@ -74,7 +74,7 @@ xv6-debug: $(MAKE) -C $(XV6_HOME) noop 2>&1 | tee > xv6.log linux: - $(MAKE) -C $(BBL_LINUX_HOME) noop + $(MAKE) -C $(BBL_LINUX_HOME) $(EMU_ARGS) noop # ------------------------------------------------------------------ # get disassembled test src # ------------------------------------------------------------------ From b5d0eb3c6ed0f063361c37135cf553ad99d7a4ee Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Mon, 20 Jul 2020 19:43:52 +0800 Subject: [PATCH 20/56] bpu: block stage3 when ibuffer is not ready ifu: fix pnpc of fetchPacket --- src/main/scala/xiangshan/frontend/BPU.scala | 60 ++++++++++++--------- src/main/scala/xiangshan/frontend/IFU.scala | 17 +++++- 2 files changed, 51 insertions(+), 26 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index c14beb09b50..b8643bc43bd 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -252,7 +252,7 @@ class BPUStage3 extends XSModule { val io = IO(new Bundle() { val flush = Input(Bool()) val in = Flipped(Decoupled(new Stage2To3IO)) - val out = ValidIO(new BranchPrediction) + val out = Decoupled(new BranchPrediction) // from icache val predecode = Flipped(ValidIO(new Predecode)) // from backend @@ -267,16 +267,28 @@ class BPUStage3 extends XSModule { val flushS3 = BoolStopWatch(io.flush, io.in.fire(), startHighPriority = true) val inLatch = RegInit(0.U.asTypeOf(io.in.bits)) val validLatch = RegInit(false.B) + val predecodeLatch = RegInit(0.U.asTypeOf(io.predecode.bits)) + val predecodeValidLatch = RegInit(false.B) when (io.in.fire()) { inLatch := io.in.bits } when (io.flush) { validLatch := false.B }.elsewhen (io.in.fire()) { validLatch := true.B - }.elsewhen (io.out.valid) { + }.elsewhen (io.out.fire()) { validLatch := false.B } - io.out.valid := validLatch && io.predecode.valid && !flushS3 && !io.flush - io.in.ready := !validLatch || io.out.valid + + when (io.predecode.valid) { predecodeLatch := io.predecode.bits } + when (io.flush || io.out.fire()) { + predecodeValidLatch := false.B + }.elsewhen (io.predecode.valid) { + predecodeValidLatch := true.B + } + + val predecodeValid = io.predecode.valid || predecodeValidLatch + val predecode = Mux(io.predecode.valid, io.predecode.bits, predecodeLatch) + io.out.valid := validLatch && predecodeValid && !flushS3 && !io.flush + io.in.ready := !validLatch || io.out.fire() // RAS // TODO: split retAddr and ctr @@ -292,32 +304,32 @@ class BPUStage3 extends XSModule { // get the first taken branch/jal/call/jalr/ret in a fetch line // brTakenIdx/jalIdx/callIdx/jalrIdx/retIdx/jmpIdx is one-hot encoded. // brNotTakenIdx indicates all the not-taken branches before the first jump instruction. - val brIdx = inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & io.predecode.bits.mask + val brIdx = inLatch.btb.hits & Reverse(Cat(predecode.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & predecode.mask val brTakenIdx = if(EnableBPD) { LowestBit(brIdx & Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt), PredictWidth) } else { LowestBit(brIdx & Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt), PredictWidth) } // TODO: btb doesn't need to hit, jalIdx/callIdx can be calculated based on instructions read in Cache - val jalIdx = LowestBit(inLatch.btb.hits & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & io.predecode.bits.mask, PredictWidth) - val callIdx = LowestBit(inLatch.btb.hits & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.call }).asUInt), PredictWidth) - val jalrIdx = LowestBit(inLatch.jbtac.hitIdx & io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), PredictWidth) - val retIdx = LowestBit(io.predecode.bits.mask & Reverse(Cat(io.predecode.bits.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), PredictWidth) + val jalIdx = LowestBit(inLatch.btb.hits & Reverse(Cat(predecode.fuOpTypes.map { t => t === JumpOpType.jal }).asUInt) & predecode.mask, PredictWidth) + val callIdx = LowestBit(inLatch.btb.hits & predecode.mask & Reverse(Cat(predecode.fuOpTypes.map { t => t === JumpOpType.call }).asUInt), PredictWidth) + val jalrIdx = LowestBit(inLatch.jbtac.hitIdx & predecode.mask & Reverse(Cat(predecode.fuOpTypes.map { t => t === JumpOpType.jalr }).asUInt), PredictWidth) + val retIdx = LowestBit(predecode.mask & Reverse(Cat(predecode.fuOpTypes.map { t => t === JumpOpType.ret }).asUInt), PredictWidth) val jmpIdx = LowestBit(brTakenIdx | jalIdx | callIdx | jalrIdx | retIdx, PredictWidth) val brNotTakenIdx = brIdx & LowerMask(jmpIdx, PredictWidth) & ( if(EnableBPD) ~Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt) else ~Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt)) - val lateJump = jmpIdx === HighestBit(io.predecode.bits.mask, PredictWidth) && !io.predecode.bits.isRVC(OHToUInt(jmpIdx)) + val lateJump = jmpIdx === HighestBit(predecode.mask, PredictWidth) && !predecode.isRVC(OHToUInt(jmpIdx)) - io.out.bits.target := Mux(jmpIdx === 0.U, inLatch.pc + (PopCount(io.predecode.bits.mask) << 1.U), + io.out.bits.target := Mux(jmpIdx === 0.U, inLatch.pc + (PopCount(predecode.mask) << 1.U), Mux(jmpIdx === retIdx, rasTopAddr, Mux(jmpIdx === jalrIdx, inLatch.jbtac.target, PriorityMux(jmpIdx, inLatch.btb.targets)))) // TODO: jal and call's target can be calculated here - io.out.bits.instrValid := Mux(!jmpIdx.orR || lateJump, io.predecode.bits.mask, - Mux(!io.predecode.bits.isRVC(OHToUInt(jmpIdx)), LowerMask(jmpIdx << 1.U, PredictWidth), + io.out.bits.instrValid := Mux(!jmpIdx.orR || lateJump, predecode.mask, + Mux(!predecode.isRVC(OHToUInt(jmpIdx)), LowerMask(jmpIdx << 1.U, PredictWidth), LowerMask(jmpIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) // io.out.bits.btbVictimWay := inLatch.btbPred.bits.btbVictimWay @@ -353,14 +365,14 @@ class BPUStage3 extends XSModule { // else false.B) io.out.bits.redirect := inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool || inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && io.out.bits.target =/= inLatch.btbPred.bits.target - io.flushBPU := io.out.bits.redirect && io.out.valid + io.flushBPU := io.out.bits.redirect && io.out.fire() // speculative update RAS val rasWrite = WireInit(0.U.asTypeOf(rasEntry())) - rasWrite.retAddr := inLatch.pc + (OHToUInt(callIdx) << 1.U) + Mux(PriorityMux(callIdx, io.predecode.bits.isRVC), 2.U, 4.U) + rasWrite.retAddr := inLatch.pc + (OHToUInt(callIdx) << 1.U) + Mux(PriorityMux(callIdx, predecode.isRVC), 2.U, 4.U) val allocNewEntry = rasWrite.retAddr =/= rasTopAddr rasWrite.ctr := Mux(allocNewEntry, 1.U, rasTop.ctr + 1.U) - when (io.out.valid && jmpIdx =/= 0.U) { + when (io.out.fire() && jmpIdx =/= 0.U) { when (jmpIdx === callIdx) { ras(Mux(allocNewEntry, sp.value + 1.U, sp.value)) := rasWrite when (allocNewEntry) { sp.value := sp.value + 1.U } @@ -387,18 +399,18 @@ class BPUStage3 extends XSModule { // debug info XSDebug(io.in.fire(), "in:(%d %d) pc=%x\n", io.in.valid, io.in.ready, io.in.bits.pc) - XSDebug(io.out.valid, "out:%d pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n", - io.out.valid, inLatch.pc, io.out.bits.redirect, io.predecode.bits.mask, io.out.bits.instrValid.asUInt, io.out.bits.target) + XSDebug(io.out.fire(), "out:(%d %d) pc=%x redirect=%d predcdMask=%b instrValid=%b tgt=%x\n", + io.out.valid, io.out.ready, inLatch.pc, io.out.bits.redirect, predecode.mask, io.out.bits.instrValid.asUInt, io.out.bits.target) XSDebug("flushS3=%d\n", flushS3) - XSDebug("validLatch=%d predecode.valid=%d\n", validLatch, io.predecode.valid) + XSDebug("validLatch=%d predecodeValid=%d\n", validLatch, predecodeValid) XSDebug("brIdx=%b brTakenIdx=%b brNTakenIdx=%b jalIdx=%b jalrIdx=%b callIdx=%b retIdx=%b\n", brIdx, brTakenIdx, brNotTakenIdx, jalIdx, jalrIdx, callIdx, retIdx) // BPU's TEMP Perf Cnt - BoringUtils.addSource(io.out.valid, "MbpS3Cnt") - BoringUtils.addSource(io.out.valid && io.out.bits.redirect, "MbpS3TageRed") - BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool), "MbpS3TageRedDir") - BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect + BoringUtils.addSource(io.out.fire(), "MbpS3Cnt") + BoringUtils.addSource(io.out.fire() && io.out.bits.redirect, "MbpS3TageRed") + BoringUtils.addSource(io.out.fire() && (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool), "MbpS3TageRedDir") + BoringUtils.addSource(io.out.fire() && (inLatch.btbPred.bits.redirect && jmpIdx.orR.asBool && (io.out.bits.target =/= inLatch.btbPred.bits.target)), "MbpS3TageRedTar") } @@ -411,7 +423,7 @@ class BPU extends XSModule { val in = new Bundle { val pc = Flipped(Valid(UInt(VAddrBits.W))) } val btbOut = ValidIO(new BranchPrediction) - val tageOut = ValidIO(new BranchPrediction) + val tageOut = Decoupled(new BranchPrediction) // predecode info from icache // TODO: simplify this after implement predecode unit diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 25b52b532e9..b670437f6cb 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -27,7 +27,7 @@ class FakeBPU extends XSModule{ val redirectInfo = Input(new RedirectInfo) val in = new Bundle { val pc = Flipped(Valid(UInt(VAddrBits.W))) } val btbOut = ValidIO(new BranchPrediction) - val tageOut = ValidIO(new BranchPrediction) + val tageOut = Decoupled(new BranchPrediction) val predecode = Flipped(ValidIO(new Predecode)) }) @@ -139,6 +139,7 @@ class IFU extends XSModule with HasIFUConst val if3_btb_target = RegEnable(Mux(if2_lateJumpLatch, if2_lateJumpTarget, Mux(if2_btb_lateJump, bpu.io.btbOut.bits.target, if2_btb_target)), if2_fire) val if3_btb_taken = RegEnable(Mux(if2_lateJumpLatch, true.B, if2_btb_taken), if2_fire) val if3_btb_insMask = RegEnable(Mux(if2_lateJumpLatch, 1.U((FetchWidth*2).W), if2_btb_insMask), if2_fire) + val if3_btb_lateJump = RegEnable(if2_btb_lateJump, if2_fire) //next val if4_ready = WireInit(false.B) @@ -163,6 +164,7 @@ class IFU extends XSModule with HasIFUConst val if4_btb_target = RegEnable(if3_btb_target,if3_fire) val if4_btb_taken = RegEnable(if3_btb_taken,if3_fire) val if4_btb_insMask = RegEnable(if3_btb_insMask, if3_fire) + val if4_btb_lateJump = RegEnable(if3_btb_lateJump, if3_fire) val if4_tage_taken = bpu.io.tageOut.valid && bpu.io.tageOut.bits.redirect val if4_tage_lateJump = if4_tage_taken && bpu.io.tageOut.bits.lateJump && !io.redirectInfo.flush() val if4_tage_insMask = bpu.io.tageOut.bits.instrValid @@ -231,10 +233,20 @@ class IFU extends XSModule with HasIFUConst for(i <- 0 until (FetchWidth*2)){ when (if4_btb_taken && !if4_tage_taken && i.U === OHToUInt(HighestBit(if4_btb_insMask.asUInt, FetchWidth*2))) { io.fetchPacket.bits.pnpc(i) := if4_btb_target + if (i != 0) { + when (!io.icacheResp.bits.predecode.isRVC(i) && !if4_btb_lateJump) { + io.fetchPacket.bits.pnpc(i-1) := if4_btb_target + } + } }.elsewhen (if4_tage_taken && i.U === OHToUInt(HighestBit(if4_tage_insMask.asUInt, FetchWidth*2))) { io.fetchPacket.bits.pnpc(i) := Mux(if4_tage_lateJump, bpu.io.tageOut.bits.target, if4_tage_target) + if (i != 0) { + when (!io.icacheResp.bits.predecode.isRVC(i) && !if4_tage_lateJump) { + io.fetchPacket.bits.pnpc(i-1) := if4_tage_target + } + } }.otherwise { - io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << Mux(io.icacheResp.bits.predecode.isRVC(i), 1.U, 2.U)) + io.fetchPacket.bits.pnpc(i) := if4_pc + (i.U << 1.U) + Mux(io.icacheResp.bits.predecode.isRVC(i), 2.U, 4.U) } XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instruction %x pnpc:0x%x\n", Mux((i.U)(0), io.fetchPacket.bits.instrs(i>>1)(31,16), io.fetchPacket.bits.instrs(i>>1)(15,0)), @@ -247,6 +259,7 @@ class IFU extends XSModule with HasIFUConst io.fetchPacket.bits.tageMeta := bpu.io.tageOut.bits.tageMeta io.fetchPacket.bits.rasSp := bpu.io.tageOut.bits.rasSp io.fetchPacket.bits.rasTopCtr := bpu.io.tageOut.bits.rasTopCtr + bpu.io.tageOut.ready := io.fetchPacket.ready //to BPU bpu.io.predecode.valid := io.icacheResp.fire() && if4_valid From e295a90260f122f144c2280ff8c84b9e67358a22 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Mon, 20 Jul 2020 19:58:43 +0800 Subject: [PATCH 21/56] decode: support ecall --- src/main/scala/xiangshan/backend/decode/DecodeHelper.scala | 3 ++- .../scala/xiangshan/backend/decode/isa/RVZifencei.scala | 6 +++++- src/main/scala/xiangshan/backend/roq/Roq.scala | 2 +- 3 files changed, 8 insertions(+), 3 deletions(-) diff --git a/src/main/scala/xiangshan/backend/decode/DecodeHelper.scala b/src/main/scala/xiangshan/backend/decode/DecodeHelper.scala index fbff3920ca5..19d3eec8e4a 100644 --- a/src/main/scala/xiangshan/backend/decode/DecodeHelper.scala +++ b/src/main/scala/xiangshan/backend/decode/DecodeHelper.scala @@ -52,6 +52,7 @@ object Instructions extends HasInstrType with HasXSParameter { RVIInstr.table ++ XSTrap.table ++ RVZicsrInstr.table ++ + RVZifenceiInstr.table ++ Privileged.table ++ RVFInstr.table ++ RVDInstr.table ++ @@ -60,7 +61,7 @@ object Instructions extends HasInstrType with HasXSParameter { // (if (HasFPU) RVFInstr.table ++ RVDInstr.table else Nil) ++ // Privileged.table ++ // RVAInstr.table ++ -// RVZicsrInstr.table ++ RVZifenceiInstr.table +// RVZicsrInstr.table } object CInstructions extends HasInstrType with HasXSParameter { diff --git a/src/main/scala/xiangshan/backend/decode/isa/RVZifencei.scala b/src/main/scala/xiangshan/backend/decode/isa/RVZifencei.scala index 1f332efd008..cabf248dce9 100644 --- a/src/main/scala/xiangshan/backend/decode/isa/RVZifencei.scala +++ b/src/main/scala/xiangshan/backend/decode/isa/RVZifencei.scala @@ -3,12 +3,16 @@ package xiangshan.backend.decode.isa import chisel3._ import chisel3.util._ import xiangshan.FuType +import xiangshan.backend.ALUOpType import xiangshan.backend.decode._ +import xiangshan.backend.decode.isa.RV64IInstr.InstrI object RVZifenceiInstr extends HasInstrType { def FENCEI = BitPat("b000000000000_00000_001_00000_0001111") // fixme: add rvzifencei inst - val table = Array() + val table = Array( + FENCEI -> List(InstrI, FuType.alu, ALUOpType.add) + ) } diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 375fc1148d8..cb8fb36f73d 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -217,7 +217,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { io.redirect.bits.target := trapTarget io.exception := microOp(ringBufferTail) - XSDebug(io.redirect.valid, "generate exception: pc 0x%x target 0x%x\n", io.exception.cf.pc, trapTarget) + XSDebug(io.redirect.valid, "generate exception: pc 0x%x target 0x%x exceptionVec %b\n", io.exception.cf.pc, trapTarget, Cat(microOp(ringBufferTail).cf.exceptionVec)) // debug info XSDebug("head %d:%d tail %d:%d\n", ringBufferHeadExtended(InnerRoqIdxWidth), ringBufferHead, ringBufferTailExtended(InnerRoqIdxWidth), ringBufferTail) From e4b921f181755951e3178395a1a65bb0bfdb6fa6 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Mon, 20 Jul 2020 20:41:45 +0800 Subject: [PATCH 22/56] csr: dont jump at ecall --- src/main/scala/xiangshan/backend/fu/CSR.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/backend/fu/CSR.scala b/src/main/scala/xiangshan/backend/fu/CSR.scala index 574ef7800d6..5417db26f8c 100644 --- a/src/main/scala/xiangshan/backend/fu/CSR.scala +++ b/src/main/scala/xiangshan/backend/fu/CSR.scala @@ -641,7 +641,7 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons val trapTarget = Wire(UInt(VAddrBits.W)) ExcitingUtils.addSource(trapTarget, "trapTarget") io.redirect := DontCare - io.redirectValid := (valid && func === CSROpType.jmp) || resetSatp + io.redirectValid := (valid && func === CSROpType.jmp && !isEcall) || resetSatp //TODO: use pred pc instead pc+4 io.redirect.target := Mux( resetSatp, From 92c37e892ada2d2632ef5b8f18c0b0d40b5dd680 Mon Sep 17 00:00:00 2001 From: GouLingrui Date: Mon, 20 Jul 2020 20:44:27 +0800 Subject: [PATCH 23/56] BPU: Temporarily turn off BPU performance counters --- .../scala/xiangshan/backend/brq/Brq.scala | 26 +++--- .../scala/xiangshan/backend/roq/Roq.scala | 6 +- src/main/scala/xiangshan/frontend/BPU.scala | 88 +++++++++---------- src/main/scala/xiangshan/frontend/IFU.scala | 2 +- src/main/scala/xiangshan/frontend/Tage.scala | 2 +- 5 files changed, 62 insertions(+), 62 deletions(-) diff --git a/src/main/scala/xiangshan/backend/brq/Brq.scala b/src/main/scala/xiangshan/backend/brq/Brq.scala index 161de31588e..82238233998 100644 --- a/src/main/scala/xiangshan/backend/brq/Brq.scala +++ b/src/main/scala/xiangshan/backend/brq/Brq.scala @@ -244,17 +244,17 @@ class Brq extends XSModule { val mbpRRight = predRight && isRType val mbpRWrong = predWrong && isRType - if(EnableBPU){ - BoringUtils.addSource(mbpInstr, "MbpInstr") - BoringUtils.addSource(mbpRight, "MbpRight") - BoringUtils.addSource(mbpWrong, "MbpWrong") - BoringUtils.addSource(mbpBRight, "MbpBRight") - BoringUtils.addSource(mbpBWrong, "MbpBWrong") - BoringUtils.addSource(mbpJRight, "MbpJRight") - BoringUtils.addSource(mbpJWrong, "MbpJWrong") - BoringUtils.addSource(mbpIRight, "MbpIRight") - BoringUtils.addSource(mbpIWrong, "MbpIWrong") - BoringUtils.addSource(mbpRRight, "MbpRRight") - BoringUtils.addSource(mbpRWrong, "MbpRWrong") - } + // if(EnableBPU){ + // BoringUtils.addSource(mbpInstr, "MbpInstr") + // BoringUtils.addSource(mbpRight, "MbpRight") + // BoringUtils.addSource(mbpWrong, "MbpWrong") + // BoringUtils.addSource(mbpBRight, "MbpBRight") + // BoringUtils.addSource(mbpBWrong, "MbpBWrong") + // BoringUtils.addSource(mbpJRight, "MbpJRight") + // BoringUtils.addSource(mbpJWrong, "MbpJWrong") + // BoringUtils.addSource(mbpIRight, "MbpIRight") + // BoringUtils.addSource(mbpIWrong, "MbpIWrong") + // BoringUtils.addSource(mbpRRight, "MbpRRight") + // BoringUtils.addSource(mbpRWrong, "MbpRWrong") + // } } diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 4d709d8b716..377b77bc9c8 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -277,8 +277,8 @@ class Roq(implicit val p: XSConfig) extends XSModule { debugMonitor.io.instrCnt := instrCnt // BPU temp Perf Cnt - if(EnableBPU){ - BoringUtils.addSource(hitTrap, "XSTRAP_BPU") - } + // if(EnableBPU){ + // BoringUtils.addSource(hitTrap, "XSTRAP_BPU") + // } } } diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index d7d0054ab47..f0de388b107 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -205,8 +205,8 @@ class BPUStage1 extends XSModule { XSDebug(true.B, "outPred:(%d) pc=0x%x, redirect=%d instrValid=%b tgt=%x\n", io.s1OutPred.valid, pcLatch, io.s1OutPred.bits.redirect, io.s1OutPred.bits.instrValid.asUInt, io.s1OutPred.bits.target) XSDebug(io.flush && io.redirectInfo.flush(), - "flush from backend: pc=%x tgt=%x brTgt=%x _type=%b taken=%d oldHist=%b fetchIdx=%d isExcpt=%d\n", - r.pc, r.target, r.brTarget, r._type, r.taken, r.hist, r.fetchIdx, r.isException) + "flush from backend: pc=%x tgt=%x brTgt=%x btbType=%b taken=%d oldHist=%b fetchIdx=%d isExcpt=%d\n", + r.pc, r.target, r.brTarget, r.btbType, r.taken, r.hist, r.fetchIdx, r.isException) XSDebug(io.flush && !io.redirectInfo.flush(), "flush from Stage3: s3Taken=%d s3RollBackHist=%b\n", io.s3Taken, io.s3RollBackHist) @@ -384,7 +384,7 @@ class BPUStage3 extends XSModule { // roll back global history in S1 if S3 redirects io.s1RollBackHist := Mux(io.s3Taken, io.out.bits.hist(jmpIdx), io.out.bits.hist(0) << PopCount(brs & ~inLatch.tage.takens.asUInt)) - XSDebug(io.in.fire() && callIdx.orR, "[RAS]:pc=0x%x, rasWritePosition=%d, rasWriteAddr=0x%x", + XSDebug(io.in.fire() && callIdx.orR, "[RAS]:pc=0x%x, rasWritePosition=%d, rasWriteAddr=0x%x\n", io.in.bits.pc, rasWritePosition, retAddr) // debug info @@ -398,11 +398,11 @@ class BPUStage3 extends XSModule { XSDebug(true.B, "tgtDiffers:%d, dirDiffers:%d, s3taken=%d\n", tgtDiffers, dirDiffers, io.s3Taken) // BPU's TEMP Perf Cnt - BoringUtils.addSource(io.out.valid, "MbpS3Cnt") - BoringUtils.addSource(io.out.valid && io.out.bits.redirect, "MbpS3TageRed") - BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect ^ io.s3Taken), "MbpS3TageRedDir") - BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect - && io.s3Taken && (io.out.bits.target =/= inLatch.btbPred.bits.target)), "MbpS3TageRedTar") + // BoringUtils.addSource(io.out.valid, "MbpS3Cnt") + // BoringUtils.addSource(io.out.valid && io.out.bits.redirect, "MbpS3TageRed") + // BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect ^ io.s3Taken), "MbpS3TageRedDir") + // BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect + // && io.s3Taken && (io.out.bits.target =/= inLatch.btbPred.bits.target)), "MbpS3TageRedTar") } class BPU extends XSModule { @@ -443,41 +443,41 @@ class BPU extends XSModule { s3.io.redirectInfo <> io.redirectInfo // TODO: temp and ugly code, when perf counters is added( may after adding CSR), please mv the below counter - val bpuPerfCntList = List( - ("MbpInstr"," "), - ("MbpRight"," "), - ("MbpWrong"," "), - ("MbpBRight"," "), - ("MbpBWrong"," "), - ("MbpJRight"," "), - ("MbpJWrong"," "), - ("MbpIRight"," "), - ("MbpIWrong"," "), - ("MbpRRight"," "), - ("MbpRWrong"," "), - ("MbpS3Cnt"," "), - ("MbpS3TageRed"," "), - ("MbpS3TageRedDir"," "), - ("MbpS3TageRedTar"," ") - ) - - val bpuPerfCnts = List.fill(bpuPerfCntList.length)(RegInit(0.U(XLEN.W))) - val bpuPerfCntConds = List.fill(bpuPerfCntList.length)(WireInit(false.B)) - (bpuPerfCnts zip bpuPerfCntConds) map { case (cnt, cond) => { when (cond) { cnt := cnt + 1.U }}} - - for(i <- bpuPerfCntList.indices) { - BoringUtils.addSink(bpuPerfCntConds(i), bpuPerfCntList(i)._1) - } - - val xsTrap = WireInit(false.B) - BoringUtils.addSink(xsTrap, "XSTRAP_BPU") - - // if (!p.FPGAPlatform) { - when (xsTrap) { - printf("=================BPU's PerfCnt================\n") - for(i <- bpuPerfCntList.indices) { - printf(bpuPerfCntList(i)._1 + bpuPerfCntList(i)._2 + " <- " + "%d\n", bpuPerfCnts(i)) - } - } + // val bpuPerfCntList = List( + // ("MbpInstr"," "), + // ("MbpRight"," "), + // ("MbpWrong"," "), + // ("MbpBRight"," "), + // ("MbpBWrong"," "), + // ("MbpJRight"," "), + // ("MbpJWrong"," "), + // ("MbpIRight"," "), + // ("MbpIWrong"," "), + // ("MbpRRight"," "), + // ("MbpRWrong"," "), + // ("MbpS3Cnt"," "), + // ("MbpS3TageRed"," "), + // ("MbpS3TageRedDir"," "), + // ("MbpS3TageRedTar"," ") + // ) + + // val bpuPerfCnts = List.fill(bpuPerfCntList.length)(RegInit(0.U(XLEN.W))) + // val bpuPerfCntConds = List.fill(bpuPerfCntList.length)(WireInit(false.B)) + // (bpuPerfCnts zip bpuPerfCntConds) map { case (cnt, cond) => { when (cond) { cnt := cnt + 1.U }}} + + // for(i <- bpuPerfCntList.indices) { + // BoringUtils.addSink(bpuPerfCntConds(i), bpuPerfCntList(i)._1) // } + + // val xsTrap = WireInit(false.B) + // BoringUtils.addSink(xsTrap, "XSTRAP_BPU") + + // // if (!p.FPGAPlatform) { + // when (xsTrap) { + // printf("=================BPU's PerfCnt================\n") + // for(i <- bpuPerfCntList.indices) { + // printf(bpuPerfCntList(i)._1 + bpuPerfCntList(i)._2 + " <- " + "%d\n", bpuPerfCnts(i)) + // } + // } + // // } } \ No newline at end of file diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 1a754eada4b..4fb4b519f99 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -161,7 +161,7 @@ class IFU extends XSModule with HasIFUConst if1_npc := if4_tage_target } //redirect: tage result differ btb - if4_btb_missPre := if4_tage_taken + if4_btb_missPre := if4_tage_taken && if4_valid //redirect: miss predict diff --git a/src/main/scala/xiangshan/frontend/Tage.scala b/src/main/scala/xiangshan/frontend/Tage.scala index 054094bec33..d67b27b37bf 100644 --- a/src/main/scala/xiangshan/frontend/Tage.scala +++ b/src/main/scala/xiangshan/frontend/Tage.scala @@ -374,6 +374,6 @@ class Tage extends TageModule { io.out.hits := outHits.asUInt - XSDebug(io.req.valid, "[TAGE]req: pc=0x%x, hist=%b", io.req.bits.pc, io.req.bits.hist) + XSDebug(io.req.valid, "req: pc=0x%x, hist=%b\n", io.req.bits.pc, io.req.bits.hist) } \ No newline at end of file From 60d5e259a98f2ab81f5c553d9dc9b6b82aaa9a7c Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Mon, 20 Jul 2020 22:04:03 +0800 Subject: [PATCH 24/56] BPU PerfCnt: move to CSR --- src/main/scala/xiangshan/XSCore.scala | 2 +- .../scala/xiangshan/backend/brq/Brq.scala | 24 ++-- src/main/scala/xiangshan/backend/fu/CSR.scala | 105 ++++++++---------- .../scala/xiangshan/backend/roq/Roq.scala | 3 +- src/main/scala/xiangshan/frontend/BPU.scala | 48 -------- 5 files changed, 62 insertions(+), 120 deletions(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index 6c2c4232167..2c78167e0ae 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -128,8 +128,8 @@ class XSCore(implicit p: XSConfig) extends XSModule { val dmemXbar = Module(new SimpleBusCrossbarNto1(3)) - val backend = Module(new Backend) val front = Module(new Frontend) + val backend = Module(new Backend) front.io.backend <> backend.io.frontend diff --git a/src/main/scala/xiangshan/backend/brq/Brq.scala b/src/main/scala/xiangshan/backend/brq/Brq.scala index 161de31588e..6ec9cba2d04 100644 --- a/src/main/scala/xiangshan/backend/brq/Brq.scala +++ b/src/main/scala/xiangshan/backend/brq/Brq.scala @@ -4,7 +4,7 @@ import chisel3._ import chisel3.util._ import xiangshan._ import utils._ -import chisel3.util.experimental.BoringUtils +import chisel3.ExcitingUtils._ class BrqPtr extends XSBundle { @@ -245,16 +245,16 @@ class Brq extends XSModule { val mbpRWrong = predWrong && isRType if(EnableBPU){ - BoringUtils.addSource(mbpInstr, "MbpInstr") - BoringUtils.addSource(mbpRight, "MbpRight") - BoringUtils.addSource(mbpWrong, "MbpWrong") - BoringUtils.addSource(mbpBRight, "MbpBRight") - BoringUtils.addSource(mbpBWrong, "MbpBWrong") - BoringUtils.addSource(mbpJRight, "MbpJRight") - BoringUtils.addSource(mbpJWrong, "MbpJWrong") - BoringUtils.addSource(mbpIRight, "MbpIRight") - BoringUtils.addSource(mbpIWrong, "MbpIWrong") - BoringUtils.addSource(mbpRRight, "MbpRRight") - BoringUtils.addSource(mbpRWrong, "MbpRWrong") + ExcitingUtils.addSource(mbpInstr, "perfCntCondMbpInstr", Perf) + ExcitingUtils.addSource(mbpRight, "perfCntCondMbpRight", Perf) + ExcitingUtils.addSource(mbpWrong, "perfCntCondMbpWrong", Perf) + ExcitingUtils.addSource(mbpBRight, "perfCntCondMbpBRight", Perf) + ExcitingUtils.addSource(mbpBWrong, "perfCntCondMbpBWrong", Perf) + ExcitingUtils.addSource(mbpJRight, "perfCntCondMbpJRight", Perf) + ExcitingUtils.addSource(mbpJWrong, "perfCntCondMbpJWrong", Perf) + ExcitingUtils.addSource(mbpIRight, "perfCntCondMbpIRight", Perf) + ExcitingUtils.addSource(mbpIWrong, "perfCntCondMbpIWrong", Perf) + ExcitingUtils.addSource(mbpRRight, "perfCntCondMbpRRight", Perf) + ExcitingUtils.addSource(mbpRWrong, "perfCntCondMbpRWrong", Perf) } } diff --git a/src/main/scala/xiangshan/backend/fu/CSR.scala b/src/main/scala/xiangshan/backend/fu/CSR.scala index 791f4e61e4a..9b7b5c02551 100644 --- a/src/main/scala/xiangshan/backend/fu/CSR.scala +++ b/src/main/scala/xiangshan/backend/fu/CSR.scala @@ -1,6 +1,7 @@ package xiangshan.backend.fu import chisel3._ +import chisel3.ExcitingUtils.ConnectionType import chisel3.util._ import chisel3.util.experimental.BoringUtils import fpu.Fflags @@ -381,12 +382,11 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons val priviledgeMode = RegInit(UInt(2.W), ModeM) // perfcnt - // TODO: deal with perfCnt - val hasPerfCnt = false + val hasPerfCnt = !p.FPGAPlatform val nrPerfCnts = if (hasPerfCnt) 0x80 else 0x3 val perfCnts = List.fill(nrPerfCnts)(RegInit(0.U(XLEN.W))) - val perfCntsLoMapping = (0 until nrPerfCnts).map { case i => MaskedRegMap(0xb00 + i, perfCnts(i)) } - val perfCntsHiMapping = (0 until nrPerfCnts).map { case i => MaskedRegMap(0xb80 + i, perfCnts(i)(63, 32)) } + val perfCntsLoMapping = (0 until nrPerfCnts).map(i => MaskedRegMap(0xb00 + i, perfCnts(i))) + val perfCntsHiMapping = (0 until nrPerfCnts).map(i => MaskedRegMap(0xb80 + i, perfCnts(i)(63, 32))) // CSR reg map val mapping = Map( @@ -778,68 +778,59 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons // perfcnt val perfCntList = Map( - "Mcycle" -> (0xb00, "perfCntCondMcycle" ), - "Minstret" -> (0xb02, "perfCntCondMinstret" ), - "MimemStall" -> (0xb03, "perfCntCondMimemStall" ), - "MaluInstr" -> (0xb04, "perfCntCondMaluInstr" ), - "MbruInstr" -> (0xb05, "perfCntCondMbruInstr" ), - "MlsuInstr" -> (0xb06, "perfCntCondMlsuInstr" ), - "MmduInstr" -> (0xb07, "perfCntCondMmduInstr" ), - "McsrInstr" -> (0xb08, "perfCntCondMcsrInstr" ), - "MloadInstr" -> (0xb09, "perfCntCondMloadInstr" ), - "MloadStall" -> (0xb0a, "perfCntCondMloadStall" ), - "MstoreStall" -> (0xb0b, "perfCntCondMstoreStall"), - "MmmioInstr" -> (0xb0c, "perfCntCondMmmioInstr" ), - "MicacheHit" -> (0xb0d, "perfCntCondMicacheHit" ), - "MdcacheHit" -> (0xb0e, "perfCntCondMdcacheHit" ), - "MmulInstr" -> (0xb0f, "perfCntCondMmulInstr" ), - "MifuFlush" -> (0xb10, "perfCntCondMifuFlush" ), - "MrawStall" -> (0xb11, "perfCntCondMrawStall" ), - "MexuBusy" -> (0xb12, "perfCntCondMexuBusy" ), - "MbpBRight" -> (0xb13, "MbpBRight" ), - "MbpBWrong" -> (0xb14, "MbpBWrong" ), - "MbpJRight" -> (0xb15, "MbpJRight" ), - "MbpJWrong" -> (0xb16, "MbpJWrong" ), - "MbpIRight" -> (0xb17, "MbpIRight" ), - "MbpIWrong" -> (0xb18, "MbpIWrong" ), - "MbpRRight" -> (0xb19, "MbpRRight" ), - "MbpRWrong" -> (0xb1a, "MbpRWrong" ), - "Custom1" -> (0xb1b, "Custom1" ), - "Custom2" -> (0xb1c, "Custom2" ), - "Custom3" -> (0xb1d, "Custom3" ), - "Custom4" -> (0xb1e, "Custom4" ), - "Custom5" -> (0xb1f, "Custom5" ), - "Custom6" -> (0xb20, "Custom6" ), - "Custom7" -> (0xb21, "Custom7" ), - "Custom8" -> (0xb22, "Custom8" ), - "Ml2cacheHit" -> (0xb23, "perfCntCondMl2cacheHit") +// "Mcycle" -> (0xb00, "perfCntCondMcycle" ), +// "Minstret" -> (0xb02, "perfCntCondMinstret" ), + "MbpInstr" -> (0xb03, "perfCntCondMbpInstr" ), + "MbpRight" -> (0xb04, "perfCntCondMbpRight" ), + "MbpWrong" -> (0xb05, "perfCntCondMbpWrong" ), + "MbpBRight" -> (0xb06, "perfCntCondMbpBRight" ), + "MbpBWrong" -> (0xb07, "perfCntCondMbpBWrong" ), + "MbpJRight" -> (0xb08, "perfCntCondMbpJRight" ), + "MbpJWrong" -> (0xb09, "perfCntCondMbpJWrong" ), + "MbpIRight" -> (0xb0a, "perfCntCondMbpIRight" ), + "MbpIWrong" -> (0xb0b, "perfCntCondMbpIWrong" ), + "MbpRRight" -> (0xb0c, "perfCntCondMbpRRight" ), + "MbpRWrong" -> (0xb0d, "perfCntCondMbpRWrong" ) +// "Custom1" -> (0xb1b, "Custom1" ), +// "Custom2" -> (0xb1c, "Custom2" ), +// "Custom3" -> (0xb1d, "Custom3" ), +// "Custom4" -> (0xb1e, "Custom4" ), +// "Custom5" -> (0xb1f, "Custom5" ), +// "Custom6" -> (0xb20, "Custom6" ), +// "Custom7" -> (0xb21, "Custom7" ), +// "Custom8" -> (0xb22, "Custom8" ), +// "Ml2cacheHit" -> (0xb23, "perfCntCondMl2cacheHit") ) val perfCntCond = List.fill(0x80)(WireInit(false.B)) - (perfCnts zip perfCntCond).map { case (c, e) => { when (e) { c := c + 1.U } } } - - BoringUtils.addSource(WireInit(true.B), "perfCntCondMcycle") - perfCntList.map { case (name, (addr, boringId)) => { - BoringUtils.addSink(perfCntCond(addr & 0x7f), boringId) - if (!hasPerfCnt) { - // do not enable perfcnts except for Mcycle and Minstret - if (addr != perfCntList("Mcycle")._1 && addr != perfCntList("Minstret")._1) { - perfCntCond(addr & 0x7f) := false.B + (perfCnts zip perfCntCond).map { case (c, e) => when (e) { c := c + 1.U } } + +// ExcitingUtils.addSource(WireInit(true.B), "perfCntCondMcycle", ConnectionType.Perf) + perfCntList.foreach { + case (_, (address, boringId)) => + if(hasPerfCnt){ + ExcitingUtils.addSink(perfCntCond(address & 0x7f), boringId, ConnectionType.Perf) } - } - }} +// if (!hasPerfCnt) { +// // do not enable perfcnts except for Mcycle and Minstret +// if (address != perfCntList("Mcycle")._1 && address != perfCntList("Minstret")._1) { +// perfCntCond(address & 0x7f) := false.B +// } +// } + } - val nooptrap = WireInit(false.B) - BoringUtils.addSink(nooptrap, "nooptrap") + val xstrap = WireInit(false.B) + BoringUtils.addSink(xstrap, "XSTRAP") def readWithScala(addr: Int): UInt = mapping(addr)._1 if (!p.FPGAPlatform) { // display all perfcnt when nooptrap is executed -// when (nooptrap) { -// printf("======== PerfCnt =========\n") -// perfCntList.toSeq.sortBy(_._2._1).map { case (name, (addr, boringId)) => -// printf("%d <- " + name + "\n", readWithScala(addr)) } -// } + when (xstrap) { + printf("======== PerfCnt =========\n") + perfCntList.toSeq.sortBy(_._2._1).foreach { case (str, (address, boringId)) => + printf("%d <- " + str + "\n", readWithScala(address)) + } + } // for differential testing BoringUtils.addSource(RegNext(priviledgeMode), "difftestMode") diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 4d709d8b716..1309763ccbd 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -276,9 +276,8 @@ class Roq(implicit val p: XSConfig) extends XSModule { debugMonitor.io.cycleCnt := GTimer() debugMonitor.io.instrCnt := instrCnt - // BPU temp Perf Cnt if(EnableBPU){ - BoringUtils.addSource(hitTrap, "XSTRAP_BPU") + BoringUtils.addSource(hitTrap, "XSTRAP") } } } diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index efdad68439a..74efb65c9dc 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -6,8 +6,6 @@ import utils._ import xiangshan._ import xiangshan.backend.ALUOpType import xiangshan.backend.JumpOpType -import chisel3.util.experimental.BoringUtils -import xiangshan.backend.decode.XSTrap class TableAddr(val idxBits: Int, val banks: Int) extends XSBundle { def tagBits = VAddrBits - idxBits - 1 @@ -366,13 +364,6 @@ class BPUStage3 extends XSModule { XSDebug(true.B, "[BPUS3]validLatch=%d predecode.valid=%d\n", validLatch, io.predecode.valid) XSDebug(true.B, "[BPUS3]brIdx=%b brTakenIdx=%b brNTakenIdx=%b jalIdx=%b jalrIdx=%b callIdx=%b retIdx=%b\n", brIdx, brTakenIdx, brNotTakenIdx, jalIdx, jalrIdx, callIdx, retIdx) - - // BPU's TEMP Perf Cnt - BoringUtils.addSource(io.out.valid, "MbpS3Cnt") - BoringUtils.addSource(io.out.valid && io.out.bits.redirect, "MbpS3TageRed") - BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect ^ jmpIdx.orR.asBool), "MbpS3TageRedDir") - BoringUtils.addSource(io.out.valid && (inLatch.btbPred.bits.redirect - && jmpIdx.orR.asBool && (io.out.bits.target =/= inLatch.btbPred.bits.target)), "MbpS3TageRedTar") } class BPU extends XSModule { @@ -411,43 +402,4 @@ class BPU extends XSModule { s3.io.predecode <> io.predecode io.tageOut <> s3.io.out s3.io.redirectInfo <> io.redirectInfo - - // TODO: temp and ugly code, when perf counters is added( may after adding CSR), please mv the below counter - val bpuPerfCntList = List( - ("MbpInstr"," "), - ("MbpRight"," "), - ("MbpWrong"," "), - ("MbpBRight"," "), - ("MbpBWrong"," "), - ("MbpJRight"," "), - ("MbpJWrong"," "), - ("MbpIRight"," "), - ("MbpIWrong"," "), - ("MbpRRight"," "), - ("MbpRWrong"," "), - ("MbpS3Cnt"," "), - ("MbpS3TageRed"," "), - ("MbpS3TageRedDir"," "), - ("MbpS3TageRedTar"," ") - ) - - val bpuPerfCnts = List.fill(bpuPerfCntList.length)(RegInit(0.U(XLEN.W))) - val bpuPerfCntConds = List.fill(bpuPerfCntList.length)(WireInit(false.B)) - (bpuPerfCnts zip bpuPerfCntConds) map { case (cnt, cond) => { when (cond) { cnt := cnt + 1.U }}} - - for(i <- bpuPerfCntList.indices) { - BoringUtils.addSink(bpuPerfCntConds(i), bpuPerfCntList(i)._1) - } - - val xsTrap = WireInit(false.B) - BoringUtils.addSink(xsTrap, "XSTRAP_BPU") - - // if (!p.FPGAPlatform) { - when (xsTrap) { - printf("=================BPU's PerfCnt================\n") - for(i <- bpuPerfCntList.indices) { - printf(bpuPerfCntList(i)._1 + bpuPerfCntList(i)._2 + " <- " + "%d\n", bpuPerfCnts(i)) - } - } - // } } \ No newline at end of file From 8a8250e245a6fe8443dd3fbe29122607789c9f64 Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Mon, 20 Jul 2020 22:07:01 +0800 Subject: [PATCH 25/56] statistics.py: fix a bug --- scripts/statistics.py | 1 + 1 file changed, 1 insertion(+) diff --git a/scripts/statistics.py b/scripts/statistics.py index 0ce7ebebb68..8b7e3883a4b 100644 --- a/scripts/statistics.py +++ b/scripts/statistics.py @@ -13,5 +13,6 @@ else: cnt = cnt + 1 line = f.readline() + mymap[last] = cnt for k,v in mymap.items(): print(k, v) \ No newline at end of file From 0c0d81993c122a7f054d087bddf731af76dfb477 Mon Sep 17 00:00:00 2001 From: zoujr <18870680299@163.com> Date: Mon, 20 Jul 2020 22:39:18 +0800 Subject: [PATCH 26/56] IBuffer: Make verilog optimize, cputest passed --- .metals/metals.lock.db | 6 + .../scala/xiangshan/frontend/Ibuffer.scala | 143 +++++++++--------- 2 files changed, 79 insertions(+), 70 deletions(-) create mode 100644 .metals/metals.lock.db diff --git a/.metals/metals.lock.db b/.metals/metals.lock.db new file mode 100644 index 00000000000..56e60e780d2 --- /dev/null +++ b/.metals/metals.lock.db @@ -0,0 +1,6 @@ +#FileLock +#Mon Jul 20 19:14:21 CST 2020 +hostName=localhost +id=1736b7e243f0f22884fd83d76f54a35316e46535e89 +method=file +server=localhost\:34237 diff --git a/src/main/scala/xiangshan/frontend/Ibuffer.scala b/src/main/scala/xiangshan/frontend/Ibuffer.scala index f3096d899f1..076e72dd0d1 100644 --- a/src/main/scala/xiangshan/frontend/Ibuffer.scala +++ b/src/main/scala/xiangshan/frontend/Ibuffer.scala @@ -13,6 +13,19 @@ class Ibuffer extends XSModule { val out = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) }) + class IBufEntry extends XSBundle { + val inst = UInt(16.W) + val pc = UInt(VAddrBits.W) + val pnpc = UInt(VAddrBits.W) + val fetchOffset = UInt((log2Up(FetchWidth * 4)).W) + val hist = UInt(HistoryLength.W) + val btbPredCtr = UInt(2.W) + val btbHitWay = Bool() + val tageMeta = new TageMeta + val rasSp = UInt(log2Up(RasSize).W) + val rasTopCtr = UInt(8.W) + } + // ignore for(i <- 0 until DecodeWidth) { io.out(i).bits.exceptionVec := DontCare @@ -26,17 +39,7 @@ class Ibuffer extends XSModule { // (0 until 16).map(i => mask(i.U) := (io.in.bits.pc(4,1) <= i.U)) // ibuf define - val ibuf = Reg(Vec(IBufSize*2, UInt(16.W))) - val ibuf_pc = Reg(Vec(IBufSize*2, UInt(VAddrBits.W))) - val ibuf_pnpc = Reg(Vec(IBufSize*2, UInt(VAddrBits.W))) - val ibuf_fetchOffset = Reg(Vec(IBufSize*2, UInt(log2Up(FetchWidth*4).W))) - val ibuf_hist = Reg(Vec(IBufSize*2, UInt(HistoryLength.W))) - // val ibuf_btbVictimWay = Reg(Vec(IBufSize*2, UInt(log2Up(BtbWays).W))) - val ibuf_btbPredCtr = Reg(Vec(IBufSize*2, UInt(2.W))) - val ibuf_btbHitWay = Reg(Vec(IBufSize*2, Bool())) - val ibuf_tageMeta = Reg(Vec(IBufSize*2, (new TageMeta))) - val ibuf_rasSp = Reg(Vec(IBufSize*2, UInt(log2Up(RasSize).W))) - val ibuf_rasTopCtr = Reg(Vec(IBufSize*2, UInt(8.W))) + val ibuf = Mem(IBufSize*2, new IBufEntry) val ibuf_valid = RegInit(VecInit(Seq.fill(IBufSize*2)(false.B))) val head_ptr = RegInit(0.U(log2Up(IBufSize*2).W)) val tail_ptr = RegInit(0.U(log2Up(IBufSize*2).W)) @@ -53,66 +56,66 @@ class Ibuffer extends XSModule { // enque when(enqValid) { - var enq_idx = 0.U(log2Up(FetchWidth*2+1).W) + var enq_idx = WireInit(tail_ptr) for(i <- 0 until FetchWidth*2) { when(io.in.bits.mask(i)) { - ibuf(tail_ptr + enq_idx) := Mux(i.U(0), io.in.bits.instrs(i>>1)(31,16), io.in.bits.instrs(i>>1)(15,0)) - ibuf_pc(tail_ptr + enq_idx) := io.in.bits.pc + (enq_idx<<1).asUInt - ibuf_pnpc(tail_ptr + enq_idx) := io.in.bits.pnpc(i>>1) - ibuf_fetchOffset(tail_ptr + enq_idx) := (enq_idx << 1).asUInt - ibuf_hist(tail_ptr + enq_idx) := io.in.bits.hist(i>>1) - // ibuf_btbVictimWay(tail_ptr + enq_idx) := io.in.bits.btbVictimWay - ibuf_btbPredCtr(tail_ptr + enq_idx) := io.in.bits.predCtr(i>>1) - ibuf_btbHitWay(tail_ptr + enq_idx) := io.in.bits.btbHitWay - ibuf_tageMeta(tail_ptr + enq_idx) := io.in.bits.tageMeta(i>>1) - ibuf_rasSp(tail_ptr + enq_idx) := io.in.bits.rasSp - ibuf_rasTopCtr(tail_ptr + enq_idx) := io.in.bits.rasTopCtr - ibuf_valid(tail_ptr + enq_idx) := true.B + ibuf(enq_idx).inst := Mux(i.U(0), io.in.bits.instrs(i>>1)(31,16), io.in.bits.instrs(i>>1)(15,0)) + ibuf(enq_idx).pc := io.in.bits.pc + (enq_idx<<1).asUInt + ibuf(enq_idx).pnpc := io.in.bits.pnpc(i>>1) + ibuf(enq_idx).fetchOffset := (enq_idx - tail_ptr << 1).asUInt + ibuf(enq_idx).hist := io.in.bits.hist(i>>1) + // ibuf(enq_idx).btbVictimWay := io.in.bits.btbVictimWay + ibuf(enq_idx).btbPredCtr := io.in.bits.predCtr(i>>1) + ibuf(enq_idx).btbHitWay := io.in.bits.btbHitWay + ibuf(enq_idx).tageMeta := io.in.bits.tageMeta(i>>1) + ibuf(enq_idx).rasSp := io.in.bits.rasSp + ibuf(enq_idx).rasTopCtr := io.in.bits.rasTopCtr + ibuf_valid(enq_idx) := true.B } enq_idx = enq_idx + io.in.bits.mask(i) } - tail_ptr := tail_ptr + enq_idx + tail_ptr := enq_idx last_enq := true.B } // deque when(deqValid) { - var deq_idx = 0.U(log2Up(DecodeWidth*2+1).W) + var deq_idx = WireInit(head_ptr) for(i <- 0 until DecodeWidth) { - io.out(i).valid := ibuf_valid(head_ptr + deq_idx) // FIXME: need fix me when support RVC - when(ibuf_valid(head_ptr + deq_idx)) { - when(ibuf(head_ptr + deq_idx)(1,0) =/= "b11".U) { + io.out(i).valid := ibuf_valid(deq_idx) // FIXME: need fix me when support RVC + when(ibuf_valid(deq_idx)) { + when(ibuf(deq_idx).inst(1,0) =/= "b11".U) { // is RVC - io.out(i).bits.instr := Cat(0.U(16.W), ibuf(head_ptr + deq_idx)) - io.out(i).bits.pc := ibuf_pc(head_ptr + deq_idx) - io.out(i).bits.pnpc := ibuf_pnpc(head_ptr + deq_idx) - io.out(i).bits.fetchOffset := ibuf_fetchOffset(head_ptr + deq_idx) - io.out(i).bits.hist := ibuf_hist(head_ptr + deq_idx) - // io.out(i).bits.btbVictimWay := ibuf_btbVictimWay(head_ptr + deq_idx) - io.out(i).bits.btbPredCtr := ibuf_btbPredCtr(head_ptr + deq_idx) - io.out(i).bits.btbHitWay := ibuf_btbHitWay(head_ptr + deq_idx) - io.out(i).bits.tageMeta := ibuf_tageMeta(head_ptr + deq_idx) - io.out(i).bits.rasSp := ibuf_rasSp(head_ptr + deq_idx) - io.out(i).bits.rasTopCtr := ibuf_rasTopCtr(head_ptr + deq_idx) + io.out(i).bits.instr := Cat(0.U(16.W), ibuf(deq_idx).inst) + io.out(i).bits.pc := ibuf(deq_idx).pc + io.out(i).bits.pnpc := ibuf(deq_idx).pnpc + io.out(i).bits.fetchOffset := ibuf(deq_idx).fetchOffset + io.out(i).bits.hist := ibuf(deq_idx).hist + // io.out(i).bits.btbVictimWay := ibuf(deq_idx).btbVictimWay + io.out(i).bits.btbPredCtr := ibuf(deq_idx).btbPredCtr + io.out(i).bits.btbHitWay := ibuf(deq_idx).btbHitWay + io.out(i).bits.tageMeta := ibuf(deq_idx).tageMeta + io.out(i).bits.rasSp := ibuf(deq_idx).rasSp + io.out(i).bits.rasTopCtr := ibuf(deq_idx).rasTopCtr io.out(i).bits.isRVC := true.B - ibuf_valid(head_ptr + deq_idx) := !io.out(i).fire - }.elsewhen(ibuf_valid(head_ptr + deq_idx + 1.U)) { + ibuf_valid(deq_idx) := !io.out(i).fire + }.elsewhen(ibuf_valid(deq_idx + 1.U)) { // isn't RVC - io.out(i).bits.instr := Cat(ibuf(head_ptr + deq_idx+1.U), ibuf(head_ptr + deq_idx)) - io.out(i).bits.pc := ibuf_pc(head_ptr + deq_idx) - io.out(i).bits.pnpc := ibuf_pnpc(head_ptr + deq_idx) - io.out(i).bits.fetchOffset := ibuf_fetchOffset(head_ptr + deq_idx) - io.out(i).bits.hist := ibuf_hist(head_ptr + deq_idx) - // io.out(i).bits.btbVictimWay := ibuf_btbVictimWay(head_ptr + deq_idx) - io.out(i).bits.btbPredCtr := ibuf_btbPredCtr(head_ptr + deq_idx) - io.out(i).bits.btbHitWay := ibuf_btbHitWay(head_ptr + deq_idx) - io.out(i).bits.tageMeta := ibuf_tageMeta(head_ptr + deq_idx) - io.out(i).bits.rasSp := ibuf_rasSp(head_ptr + deq_idx) - io.out(i).bits.rasTopCtr := ibuf_rasTopCtr(head_ptr + deq_idx) + io.out(i).bits.instr := Cat(ibuf(deq_idx+1.U).inst, ibuf(deq_idx).inst) + io.out(i).bits.pc := ibuf(deq_idx).pc + io.out(i).bits.pnpc := ibuf(deq_idx).pnpc + io.out(i).bits.fetchOffset := ibuf(deq_idx).fetchOffset + io.out(i).bits.hist := ibuf(deq_idx).hist + // io.out(i).bits.btbVictimWay := ibuf(deq_idx).btbVictimWay + io.out(i).bits.btbPredCtr := ibuf(deq_idx).btbPredCtr + io.out(i).bits.btbHitWay := ibuf(deq_idx).btbHitWay + io.out(i).bits.tageMeta := ibuf(deq_idx).tageMeta + io.out(i).bits.rasSp := ibuf(deq_idx).rasSp + io.out(i).bits.rasTopCtr := ibuf(deq_idx).rasTopCtr io.out(i).bits.isRVC := false.B - ibuf_valid(head_ptr + deq_idx) := !io.out(i).fire - ibuf_valid(head_ptr + deq_idx+1.U) := !io.out(i).fire + ibuf_valid(deq_idx) := !io.out(i).fire + ibuf_valid(deq_idx+1.U) := !io.out(i).fire }.otherwise { // half inst keep in buffer io.out(i).bits.instr := 0.U(32.W) @@ -130,17 +133,17 @@ class Ibuffer extends XSModule { io.out(i).valid := false.B } }.otherwise { - io.out(i).bits.instr := Cat(ibuf(head_ptr + (i<<1).U + 1.U), ibuf(head_ptr + (i<<1).U)) - io.out(i).bits.pc := ibuf_pc(head_ptr + (i<<1).U) - io.out(i).bits.pnpc := ibuf_pnpc(head_ptr + (i<<1).U) - io.out(i).bits.fetchOffset := ibuf_fetchOffset(head_ptr + (i<<1).U) - io.out(i).bits.hist := ibuf_hist(head_ptr + (i<<1).U) - // io.out(i).bits.btbVictimWay := ibuf_btbVictimWay(head_ptr + (i<<1).U) - io.out(i).bits.btbPredCtr := ibuf_btbPredCtr(head_ptr + (i<<1).U) - io.out(i).bits.btbHitWay := ibuf_btbHitWay(head_ptr + (i<<1).U) - io.out(i).bits.tageMeta := ibuf_tageMeta(head_ptr + (i<<1).U) - io.out(i).bits.rasSp := ibuf_rasSp(head_ptr + (i<<1).U) - io.out(i).bits.rasTopCtr := ibuf_rasTopCtr(head_ptr + (i<<1).U) + io.out(i).bits.instr := Cat(ibuf(head_ptr + (i<<1).U + 1.U).inst, ibuf(head_ptr + (i<<1).U).inst) + io.out(i).bits.pc := ibuf(head_ptr + (i<<1).U).pc + io.out(i).bits.pnpc := ibuf(head_ptr + (i<<1).U).pnpc + io.out(i).bits.fetchOffset := ibuf(head_ptr + (i<<1).U).fetchOffset + io.out(i).bits.hist := ibuf(head_ptr + (i<<1).U).hist + // io.out(i).bits.btbVictimWay := ibuf(head_ptr + (i<<1).U).btbVictimWay + io.out(i).bits.btbPredCtr := ibuf(head_ptr + (i<<1).U).btbPredCtr + io.out(i).bits.btbHitWay := ibuf(head_ptr + (i<<1).U).btbHitWay + io.out(i).bits.tageMeta := ibuf(head_ptr + (i<<1).U).tageMeta + io.out(i).bits.rasSp := ibuf(head_ptr + (i<<1).U).rasSp + io.out(i).bits.rasTopCtr := ibuf(head_ptr + (i<<1).U).rasTopCtr io.out(i).bits.isRVC := false.B } @@ -149,12 +152,12 @@ class Ibuffer extends XSModule { // when not RVC deque, deq_idx+2 // when only have half inst, keep it in buffer deq_idx = deq_idx + PriorityMux(Seq( - !(io.out(i).ready && ibuf_valid(head_ptr + deq_idx)) -> 0.U, - (ibuf(head_ptr + deq_idx)(1,0) =/= "b11".U) -> 1.U, - ibuf_valid(head_ptr + deq_idx + 1.U) -> 2.U + !(io.out(i).ready && ibuf_valid(deq_idx)) -> 0.U, + (ibuf(deq_idx).inst(1,0) =/= "b11".U) -> 1.U, + ibuf_valid(deq_idx + 1.U) -> 2.U )) } - head_ptr := head_ptr + deq_idx + head_ptr := deq_idx last_enq := false.B }.otherwise { From 95568db60b85da41e4f5868a46b6a4b8b20d5b7a Mon Sep 17 00:00:00 2001 From: zoujr <18870680299@163.com> Date: Mon, 20 Jul 2020 23:46:20 +0800 Subject: [PATCH 27/56] IBuffer: Fixe enq_idx change bug --- src/main/scala/xiangshan/frontend/Ibuffer.scala | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/Ibuffer.scala b/src/main/scala/xiangshan/frontend/Ibuffer.scala index 076e72dd0d1..e6571c05d6d 100644 --- a/src/main/scala/xiangshan/frontend/Ibuffer.scala +++ b/src/main/scala/xiangshan/frontend/Ibuffer.scala @@ -60,9 +60,9 @@ class Ibuffer extends XSModule { for(i <- 0 until FetchWidth*2) { when(io.in.bits.mask(i)) { ibuf(enq_idx).inst := Mux(i.U(0), io.in.bits.instrs(i>>1)(31,16), io.in.bits.instrs(i>>1)(15,0)) - ibuf(enq_idx).pc := io.in.bits.pc + (enq_idx<<1).asUInt + ibuf(enq_idx).pc := io.in.bits.pc + ((enq_idx - tail_ptr)<<1).asUInt ibuf(enq_idx).pnpc := io.in.bits.pnpc(i>>1) - ibuf(enq_idx).fetchOffset := (enq_idx - tail_ptr << 1).asUInt + ibuf(enq_idx).fetchOffset := ((enq_idx - tail_ptr) << 1).asUInt ibuf(enq_idx).hist := io.in.bits.hist(i>>1) // ibuf(enq_idx).btbVictimWay := io.in.bits.btbVictimWay ibuf(enq_idx).btbPredCtr := io.in.bits.predCtr(i>>1) @@ -194,13 +194,13 @@ class Ibuffer extends XSModule { //Debug Info XSDebug(enqValid, "Enque:\n") for(i <- 0 until FetchWidth) { - XSDebug(enqValid, p"${Binary(io.in.bits.instrs(i))}\n") + XSDebug(enqValid, p"${Hexadecimal(io.in.bits.instrs(i))}\n") } XSInfo(io.flush, "Flush signal received, clear buffer\n") XSDebug(deqValid, "Deque:\n") for(i <- 0 until DecodeWidth) { - XSDebug(deqValid, p"${Binary(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} v=${io.out(i).valid} r=${io.out(i).ready}\n") + XSDebug(deqValid, p"${Hexadecimal(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} v=${io.out(i).valid} r=${io.out(i).ready}\n") } XSDebug(enqValid, p"last_head_ptr=$head_ptr last_tail_ptr=$tail_ptr\n") // XSInfo(full, "Queue is full\n") From 7046f55fb8a0bc1a2dbf015c90e747c3cc7aec74 Mon Sep 17 00:00:00 2001 From: zoujr <18870680299@163.com> Date: Tue, 21 Jul 2020 09:28:43 +0800 Subject: [PATCH 28/56] IBuffer: Remove .metal dir --- .metals/metals.lock.db | 6 ------ 1 file changed, 6 deletions(-) delete mode 100644 .metals/metals.lock.db diff --git a/.metals/metals.lock.db b/.metals/metals.lock.db deleted file mode 100644 index 56e60e780d2..00000000000 --- a/.metals/metals.lock.db +++ /dev/null @@ -1,6 +0,0 @@ -#FileLock -#Mon Jul 20 19:14:21 CST 2020 -hostName=localhost -id=1736b7e243f0f22884fd83d76f54a35316e46535e89 -method=file -server=localhost\:34237 From 5fae2658cfc46c3fbde141f8aae460c0eb1ec2e2 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Tue, 21 Jul 2020 09:31:08 +0800 Subject: [PATCH 29/56] roq: block commit when interrupt or the instruction has exceptions Split interrupt and exception. Interrupt blocks all instructions' commit, while exceptions only block the instruction causing this exception. --- .../scala/xiangshan/backend/roq/Roq.scala | 35 ++++++++++--------- 1 file changed, 18 insertions(+), 17 deletions(-) diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index cb8fb36f73d..23badd9ff89 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -95,6 +95,21 @@ class Roq(implicit val p: XSConfig) extends XSModule { } } + // roq redirect only used for exception + val intrVec = WireInit(0.U(12.W)) + ExcitingUtils.addSink(intrVec, "intrVecIDU") + val trapTarget = WireInit(0.U(VAddrBits.W)) + ExcitingUtils.addSink(trapTarget, "trapTarget") + val intrEnable = intrVec.orR && (state === s_idle) && !ringBufferEmpty && !hasCsr + val exceptionEnable = Cat(microOp(ringBufferTail).cf.exceptionVec).orR() && (state === s_idle) && !ringBufferEmpty + val isEcall = microOp(ringBufferTail).cf.exceptionVec(ecallM) || microOp(ringBufferTail).cf.exceptionVec(ecallS) || microOp(ringBufferTail).cf.exceptionVec(ecallU) + io.redirect := DontCare + io.redirect.valid := intrEnable || exceptionEnable + io.redirect.bits.isException := true.B + io.redirect.bits.target := trapTarget + io.exception := microOp(ringBufferTail) + XSDebug(io.redirect.valid, "generate exception: pc 0x%x target 0x%x exceptionVec %b\n", io.exception.cf.pc, trapTarget, Cat(microOp(ringBufferTail).cf.exceptionVec)) + // Commit uop to Rename val shouldWalkVec = Wire(Vec(CommitWidth, Bool())) shouldWalkVec(0) := ringBufferWalkExtended =/= ringBufferWalkTarget @@ -110,8 +125,9 @@ class Roq(implicit val p: XSConfig) extends XSModule { io.commits(i) := DontCare switch(state){ is(s_idle){ - val canCommit = (if(i!=0) io.commits(i-1).valid else true.B) && !Cat(microOp(ringBufferTail+i.U).cf.exceptionVec).orR() - io.commits(i).valid := valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit + val hasException = Cat(microOp(ringBufferTail+i.U).cf.exceptionVec).orR() || intrEnable + val canCommit = if(i!=0) io.commits(i-1).valid else true.B + io.commits(i).valid := valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit && !hasException io.commits(i).bits.uop := microOp(ringBufferTail+i.U) when(io.commits(i).valid){valid(ringBufferTail+i.U) := false.B} XSInfo(io.commits(i).valid, @@ -203,21 +219,6 @@ class Roq(implicit val p: XSConfig) extends XSModule { } } - // TODO: roq redirect only used for exception - val intrVec = WireInit(0.U(12.W)) - ExcitingUtils.addSink(intrVec, "intrVecIDU") - val trapTarget = WireInit(0.U(VAddrBits.W)) - ExcitingUtils.addSink(trapTarget, "trapTarget") - val intrEnable = intrVec.orR || Cat(microOp(ringBufferTail).cf.exceptionVec).orR() -// io.out.cf.intrVec.zip(intrVec.asBools).map{ case(x, y) => x := y } - io.redirect := DontCare - val isEcall = microOp(ringBufferTail).cf.exceptionVec(ecallM) || microOp(ringBufferTail).cf.exceptionVec(ecallS) || microOp(ringBufferTail).cf.exceptionVec(ecallU) - io.redirect.valid := intrEnable && (state === s_idle) && !ringBufferEmpty && (!hasCsr || isEcall) - io.redirect.bits.isException := true.B - io.redirect.bits.target := trapTarget - io.exception := microOp(ringBufferTail) - - XSDebug(io.redirect.valid, "generate exception: pc 0x%x target 0x%x exceptionVec %b\n", io.exception.cf.pc, trapTarget, Cat(microOp(ringBufferTail).cf.exceptionVec)) // debug info XSDebug("head %d:%d tail %d:%d\n", ringBufferHeadExtended(InnerRoqIdxWidth), ringBufferHead, ringBufferTailExtended(InnerRoqIdxWidth), ringBufferTail) From db9da0c169ddd682fe3f7b066c7b031038747870 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Tue, 21 Jul 2020 15:18:57 +0800 Subject: [PATCH 30/56] brq: don't send branch misprediction redirect when roq redirect Exceptions should have higher priority over branch misprediction redirects. --- src/main/scala/xiangshan/XSCore.scala | 2 +- src/main/scala/xiangshan/backend/brq/Brq.scala | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index 19d54c1accb..2c78167e0ae 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -27,7 +27,7 @@ trait HasXSParameter { val HasFPU = true val FetchWidth = 8 val PredictWidth = FetchWidth * 2 - val EnableBPU = false + val EnableBPU = true val EnableBPD = false // enable backing predictor(like Tage) in BPUStage3 val HistoryLength = 64 val BtbSize = 256 diff --git a/src/main/scala/xiangshan/backend/brq/Brq.scala b/src/main/scala/xiangshan/backend/brq/Brq.scala index 6ec9cba2d04..b1eaa5107f0 100644 --- a/src/main/scala/xiangshan/backend/brq/Brq.scala +++ b/src/main/scala/xiangshan/backend/brq/Brq.scala @@ -142,7 +142,7 @@ class Brq extends XSModule { ) headPtr := headPtrNext - io.redirect.valid := commitValid && commitEntry.misPred + io.redirect.valid := commitValid && commitEntry.misPred && !io.roqRedirect.valid io.redirect.bits := commitEntry.exuOut.redirect io.out.valid := commitValid io.out.bits := commitEntry.exuOut From efa0419616e95665b4410f2f61ec54b5fd3e29bd Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Tue, 21 Jul 2020 16:18:16 +0800 Subject: [PATCH 31/56] frontend: fix bugs in pipieline and some logs 1. ifu: redirect npc only when if2 or if4 fire 2. ifu: set if2_valid false when if4 redirect 3. bpu: block stage1 when stage2 is not ready 4. ibuffer: add logs --- src/main/scala/xiangshan/frontend/BPU.scala | 73 ++++++++++++------- src/main/scala/xiangshan/frontend/IFU.scala | 39 ++++++---- .../scala/xiangshan/frontend/Ibuffer.scala | 21 ++++-- 3 files changed, 84 insertions(+), 49 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index b8643bc43bd..997721c5339 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -60,6 +60,19 @@ class BPUStage1 extends XSModule { // flush Stage1 when io.flush val flushS1 = BoolStopWatch(io.flush, io.in.pc.fire(), startHighPriority = true) + val s1OutPredLatch = RegEnable(io.s1OutPred.bits, RegNext(io.in.pc.fire())) + val outLatch = RegEnable(io.out.bits, RegNext(io.in.pc.fire())) + + val s1Valid = RegInit(false.B) + when (io.flush) { + s1Valid := false.B + }.elsewhen (io.in.pc.fire()) { + s1Valid := true.B + }.elsewhen (io.out.fire()) { + s1Valid := false.B + } + io.out.valid := s1Valid + // global history register val ghr = RegInit(0.U(HistoryLength.W)) @@ -76,7 +89,6 @@ class BPUStage1 extends XSModule { tage.io.req.bits.pc := io.in.pc.bits tage.io.req.bits.hist := hist tage.io.redirectInfo <> io.redirectInfo - io.out.bits.tage <> tage.io.out // io.s1OutPred.bits.tageMeta := tage.io.meta // latch pc for 1 cycle latency when reading SRAM @@ -172,31 +184,42 @@ class BPUStage1 extends XSModule { // redirect based on BTB and JBTAC val takenIdx = LowestBit(brJumpIdx | indirectIdx, PredictWidth) - io.out.valid := RegNext(io.in.pc.fire()) && !io.flush - - io.s1OutPred.valid := io.out.valid - io.s1OutPred.bits.redirect := btbTaken || jbtacHit - io.s1OutPred.bits.instrValid := Mux(!io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump, maskLatch, - Mux(!btbIsRVCs(OHToUInt(takenIdx)), LowerMask(takenIdx << 1.U, PredictWidth), - LowerMask(takenIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) - io.s1OutPred.bits.target := Mux(takenIdx === 0.U, pcLatch + (PopCount(maskLatch) << 1.U), Mux(takenIdx === brJumpIdx, btbTakenTarget, jbtacTarget)) - io.s1OutPred.bits.lateJump := btb.io.out.isRVILateJump || jbtac.io.out.isRVILateJump - // io.s1OutPred.bits.btbVictimWay := btbWriteWay - io.s1OutPred.bits.predCtr := btbCtrs - io.s1OutPred.bits.btbHit := btbValids - io.s1OutPred.bits.tageMeta := DontCare // TODO: enableBPD - io.s1OutPred.bits.rasSp := DontCare - io.s1OutPred.bits.rasTopCtr := DontCare - - io.out.bits.pc := pcLatch - io.out.bits.btb.hits := btbValids.asUInt - (0 until PredictWidth).map(i => io.out.bits.btb.targets(i) := btbTargets(i)) - io.out.bits.jbtac.hitIdx := Mux(jbtacHit, UIntToOH(jbtacHitIdx), 0.U) - io.out.bits.jbtac.target := jbtacTarget - // TODO: we don't need this repeatedly! - io.out.bits.hist := io.s1OutPred.bits.hist - io.out.bits.btbPred := io.s1OutPred + + // io.out.valid := RegNext(io.in.pc.fire()) && !io.flush + + // io.s1OutPred.valid := io.out.valid + io.s1OutPred.valid := io.out.fire() + when (RegNext(io.in.pc.fire())) { + io.s1OutPred.bits.redirect := btbTaken || jbtacHit + io.s1OutPred.bits.instrValid := Mux(!io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump, maskLatch, + Mux(!btbIsRVCs(OHToUInt(takenIdx)), LowerMask(takenIdx << 1.U, PredictWidth), + LowerMask(takenIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) + io.s1OutPred.bits.target := Mux(takenIdx === 0.U, pcLatch + (PopCount(maskLatch) << 1.U), Mux(takenIdx === brJumpIdx, btbTakenTarget, jbtacTarget)) + io.s1OutPred.bits.lateJump := btb.io.out.isRVILateJump || jbtac.io.out.isRVILateJump + (0 until PredictWidth).map(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i)) + // io.s1OutPred.bits.btbVictimWay := btbWriteWay + io.s1OutPred.bits.predCtr := btbCtrs + io.s1OutPred.bits.btbHit := btbValids + io.s1OutPred.bits.tageMeta := DontCare // TODO: enableBPD + io.s1OutPred.bits.rasSp := DontCare + io.s1OutPred.bits.rasTopCtr := DontCare + }.otherwise { + io.s1OutPred.bits := s1OutPredLatch + } + when (RegNext(io.in.pc.fire())) { + io.out.bits.pc := pcLatch + io.out.bits.btb.hits := btbValids.asUInt + (0 until PredictWidth).map(i => io.out.bits.btb.targets(i) := btbTargets(i)) + io.out.bits.jbtac.hitIdx := Mux(jbtacHit, UIntToOH(jbtacHitIdx), 0.U) + io.out.bits.jbtac.target := jbtacTarget + io.out.bits.tage <> tage.io.out + // TODO: we don't need this repeatedly! + io.out.bits.hist := io.s1OutPred.bits.hist + io.out.bits.btbPred := io.s1OutPred + }.otherwise { + io.out.bits := outLatch + } // debug info diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index b670437f6cb..f6338d0434a 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -68,19 +68,6 @@ class IFU extends XSModule with HasIFUConst val if1_fire = if1_valid && if2_ready val if1_pcUpdate = if1_fire || needflush - when(RegNext(reset.asBool) && !reset.asBool){ - //when((GTimer() === 501.U)){ //TODO:this is ugly - XSDebug("RESET....\n") - if1_npc := resetVector.U(VAddrBits.W) - } .otherwise{ - if1_npc := Mux(if4_lateJumpLatch, if4_lateJumpTarget, Mux(if2_lateJumpLatch, if2_lateJumpTarget, if2_snpc)) - } - - when(if1_pcUpdate) - { - if1_pc := if1_npc - } - bpu.io.in.pc.valid := if1_fire bpu.io.in.pc.bits := if1_npc bpu.io.redirectInfo := io.redirectInfo @@ -117,7 +104,23 @@ class IFU extends XSModule with HasIFUConst io.icacheReq.valid := if2_valid io.icacheReq.bits.addr := if2_pc - when(if2_valid && if2_btb_taken) + when(RegNext(reset.asBool) && !reset.asBool){ + //when((GTimer() === 501.U)){ //TODO:this is ugly + XSDebug("RESET....\n") + if1_npc := resetVector.U(VAddrBits.W) + }.elsewhen (if2_fire) { + if1_npc := Mux(if4_lateJumpLatch, if4_lateJumpTarget, Mux(if2_lateJumpLatch, if2_lateJumpTarget, if2_snpc)) + }.otherwise { + if1_npc := if1_pc + } + + when(if1_pcUpdate) + { + if1_pc := if1_npc + } + + // when if2 fire and if2 redirects, update npc + when(if2_fire && if2_btb_taken) { if1_npc := if2_btb_target } @@ -150,6 +153,8 @@ class IFU extends XSModule with HasIFUConst XSDebug("[IF3]if3_valid:%d || if3_pc:0x%x if3_npc:0x%x || if4_ready:%d ",if3_valid,if3_pc,if3_npc,if4_ready) + XSDebug("[IF3]if3_btb_taken:%d if3_btb_insMask:%b if3_btb_lateJump:%d if3_btb_target:0x%x\n", + if3_btb_taken, if3_btb_insMask, if3_btb_lateJump, if3_btb_target) XSDebug(false,if3_fire,"------IF3->fire!!!") XSDebug(false,true.B,"\n") @@ -181,7 +186,8 @@ class IFU extends XSModule with HasIFUConst bpu.io.in.pc.valid := if1_fire && !if2_btb_lateJump && !if4_tage_lateJump XSDebug("[IF4]if4_valid:%d || if4_pc:0x%x if4_npc:0x%x\n",if4_valid,if4_pc,if4_npc) - XSDebug("[IF4-TAGE-out]if4_tage_taken:%d || if4_btb_insMask:%b || if4_tage_target:0x%x \n",if4_tage_taken,if4_tage_insMask.asUInt,if4_tage_target) + XSDebug("[IF4] if4_btb_taken:%d if4_btb_lateJump:%d if2_btb_insMask:%b if4_btb_target:0x%x\n",if4_btb_taken, if4_btb_lateJump, if4_btb_insMask.asUInt, if4_btb_target) + XSDebug("[IF4-TAGE-out]if4_tage_taken:%d if4_tage_lateJump:%d if4_tage_insMask:%b if4_tage_target:0x%x\n",if4_tage_taken,if4_tage_lateJump,if4_tage_insMask.asUInt,if4_tage_target) XSDebug("[IF4-ICACHE-RESP]icacheResp.valid:%d icacheResp.ready:%d\n",io.icacheResp.valid,io.icacheResp.ready) when(io.icacheResp.fire() && if4_tage_taken &&if4_valid) @@ -199,8 +205,9 @@ class IFU extends XSModule with HasIFUConst //flush pipline // if(EnableBPD){needflush := (if4_valid && if4_tage_taken) || io.redirectInfo.flush() } // else {needflush := io.redirectInfo.flush()} - needflush := (if4_valid && if4_tage_taken) || io.redirectInfo.flush() + needflush := (if4_valid && if4_tage_taken && io.icacheResp.fire()) || io.redirectInfo.flush() when(needflush){ + if2_valid := false.B if3_valid := false.B if4_valid := false.B } diff --git a/src/main/scala/xiangshan/frontend/Ibuffer.scala b/src/main/scala/xiangshan/frontend/Ibuffer.scala index c7619ee2463..6fb5f6b953d 100644 --- a/src/main/scala/xiangshan/frontend/Ibuffer.scala +++ b/src/main/scala/xiangshan/frontend/Ibuffer.scala @@ -69,6 +69,9 @@ class Ibuffer extends XSModule { ibuf_rasTopCtr(tail_ptr + enq_idx) := io.in.bits.rasTopCtr ibuf_valid(tail_ptr + enq_idx) := true.B } + + XSDebug(!(i.U)(0), "Enq: i:%d Idx:%d mask:%b instr:%x pc:%x pnpc:%x\n", + (i/2).U, tail_ptr+enq_idx, io.in.bits.mask(i), io.in.bits.instrs(i/2), io.in.bits.pc + (2*i).U, io.in.bits.pnpc(i/2)) enq_idx = enq_idx + io.in.bits.mask(i) } @@ -153,6 +156,8 @@ class Ibuffer extends XSModule { (ibuf(head_ptr + deq_idx)(1,0) =/= "b11".U) -> 1.U, ibuf_valid(head_ptr + deq_idx + 1.U) -> 2.U )) + + XSDebug(deqValid, p"Deq: i:${i.U} valid:${ibuf_valid(head_ptr + deq_idx)} idx=${Decimal(head_ptr + deq_idx)} instr:${Hexadecimal(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} ${Decimal(head_ptr + deq_idx + 1.U)} v=${io.out(i).valid} r=${io.out(i).ready}\n") } head_ptr := head_ptr + deq_idx @@ -189,16 +194,16 @@ class Ibuffer extends XSModule { } //Debug Info - XSDebug(enqValid, "Enque:\n") - for(i <- 0 until FetchWidth) { - XSDebug(enqValid, p"${Binary(io.in.bits.instrs(i))}\n") - } + // XSDebug(enqValid, "Enque:\n") + // for(i <- 0 until FetchWidth) { + // XSDebug(enqValid, p"${Binary(io.in.bits.instrs(i))}\n") + // } XSInfo(io.flush, "Flush signal received, clear buffer\n") - XSDebug(deqValid, "Deque:\n") - for(i <- 0 until DecodeWidth) { - XSDebug(deqValid, p"${Binary(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} v=${io.out(i).valid} r=${io.out(i).ready}\n") - } + // XSDebug(deqValid, "Deque:\n") + // for(i <- 0 until DecodeWidth) { + // XSDebug(deqValid, p"${Binary(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} v=${io.out(i).valid} r=${io.out(i).ready}\n") + // } XSDebug(enqValid, p"last_head_ptr=$head_ptr last_tail_ptr=$tail_ptr\n") // XSInfo(full, "Queue is full\n") } From 0cf07fb525aa13f5b05585d9495dba11d50c26e8 Mon Sep 17 00:00:00 2001 From: GouLingrui Date: Tue, 21 Jul 2020 17:01:48 +0800 Subject: [PATCH 32/56] BPU, IFU: update ghr when ntBranches detected in bpu s1, if1 fire when need flush --- src/main/scala/xiangshan/frontend/BPU.scala | 4 +++- src/main/scala/xiangshan/frontend/IFU.scala | 2 +- 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 12c75f9a48c..a078f9efd4c 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -150,7 +150,9 @@ class BPUStage1 extends XSModule { (0 until FetchWidth).foreach(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i)) // update ghr - updateGhr := io.s1OutPred.bits.redirect || io.flush + updateGhr := io.s1OutPred.bits.redirect || + RegNext(io.in.pc.fire) && ~io.s1OutPred.bits.redirect && btbNotTakens.reduce(_||_) || + io.flush val brJumpIdx = Mux(!(btbHit && btbTaken), 0.U, UIntToOH(btbTakenIdx)) val indirectIdx = Mux(!jbtacHit, 0.U, UIntToOH(jbtacHitIdx)) //val newTaken = Mux(io.redirectInfo.flush(), !(r.btbType === BTBtype.B && !r.taken), ) diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 4fb4b519f99..1220bc91b08 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -58,7 +58,7 @@ class IFU extends XSModule with HasIFUConst val needflush = WireInit(false.B) //pipe fire - val if1_fire = if1_valid && if2_ready + val if1_fire = if1_valid && if2_ready || needflush val if1_pcUpdate = if1_fire || needflush when(RegNext(reset.asBool) && !reset.asBool){ From 19fe0cff152d08a38917880f8c67fcb6c50c69ec Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Tue, 21 Jul 2020 17:12:06 +0800 Subject: [PATCH 33/56] lsu: fix bug when exception --- src/main/scala/xiangshan/backend/exu/LsExeUnit.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala index 809a31f226d..e466cfb9975 100644 --- a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala @@ -184,7 +184,7 @@ class LsExeUnit extends Exu(Exu.lsuExeUnitCfg){ val expRedirect = io.redirect.valid && io.redirect.bits.isException val brRedirect = io.redirect.valid && !io.redirect.bits.isException for(i <- 0 until 8){ - when((i.U >= stqCommited) && (expRedirect || brRedirect && stqData(stqPtr(i)).brTag.needBrFlush(io.redirect.bits.brTag) && stqValid(stqPtr(i)))){ + when((i.U >= stqCommited && i.U < stqHead) && (expRedirect || brRedirect && stqData(stqPtr(i)).brTag.needBrFlush(io.redirect.bits.brTag) && stqValid(stqPtr(i)))){ stqValid(stqPtr(i)) := false.B } XSDebug("sptrtable: id %d ptr %d valid %d\n", i.U, stqPtr(i), stqValid(stqPtr(i))) From 78d32cddd167d34cd6f24707ce0c3c9688964394 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Tue, 21 Jul 2020 17:22:47 +0800 Subject: [PATCH 34/56] csr,roq: remove unused code --- src/main/scala/xiangshan/backend/fu/CSR.scala | 32 +++---------------- .../scala/xiangshan/backend/roq/Roq.scala | 6 ++-- 2 files changed, 8 insertions(+), 30 deletions(-) diff --git a/src/main/scala/xiangshan/backend/fu/CSR.scala b/src/main/scala/xiangshan/backend/fu/CSR.scala index d0bb439819f..27be282bcdd 100644 --- a/src/main/scala/xiangshan/backend/fu/CSR.scala +++ b/src/main/scala/xiangshan/backend/fu/CSR.scala @@ -593,13 +593,10 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons val intrVecEnable = Wire(Vec(12, Bool())) intrVecEnable.zip(ideleg.asBools).map{case(x,y) => x := priviledgedEnableDetect(y)} val intrVec = mie(11,0) & mip.asUInt & intrVecEnable.asUInt - ExcitingUtils.addSource(intrVec, "intrVecIDU") - // val intrNO = PriorityEncoder(intrVec) - + val intrBitSet = intrVec.orR() + ExcitingUtils.addSource(intrBitSet, "intrBitSetIDU") val intrNO = IntPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(intrVec(i), i.U, sum)) - // val intrNO = PriorityEncoder(io.cfIn.intrVec) -// val raiseIntr = io.cfIn.intrVec.asUInt.orR - val raiseIntr = intrVec.asUInt.orR && io.exception.valid + val raiseIntr = intrBitSet && io.exception.valid XSDebug(raiseIntr, "interrupt: pc=0x%x, %d\n", io.exception.bits.cf.pc, intrNO) val mtip = WireInit(false.B) @@ -610,8 +607,6 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons mipWire.e.m := meip // exceptions - - // TODO: merge iduExceptionVec, csrExceptionVec as raiseExceptionVec val csrExceptionVec = Wire(Vec(16, Bool())) csrExceptionVec.map(_ := false.B) csrExceptionVec(ecallM) := priviledgeMode === ModeM && io.in.valid && isEcall @@ -624,10 +619,7 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons val iduExceptionVec = io.cfIn.exceptionVec val exceptionVec = csrExceptionVec.asUInt() | iduExceptionVec.asUInt() io.cfOut.exceptionVec.zipWithIndex.map{case (e, i) => e := exceptionVec(i) } -// val raiseException = raiseExceptionVec.orR -// val exceptionNO = ExcPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(raiseExceptionVec(i), i.U, sum)) io.wenFix := DontCare -// val causeNO = (raiseIntr << (XLEN-1)).asUInt() | Mux(raiseIntr, intrNO, exceptionNO) val raiseExceptionVec = io.exception.bits.cf.exceptionVec.asUInt() val exceptionNO = ExcPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(raiseExceptionVec(i), i.U, sum)) @@ -636,29 +628,15 @@ class CSR(implicit val p: XSConfig) extends FunctionUnit(csrCfg) with HasCSRCons ExcitingUtils.addSource(difftestIntrNO, "difftestIntrNOfromCSR") val raiseExceptionIntr = io.exception.valid -// val raiseExceptionIntr = (raiseException || raiseIntr) && io.instrValid val retTarget = Wire(UInt(VAddrBits.W)) val trapTarget = Wire(UInt(VAddrBits.W)) ExcitingUtils.addSource(trapTarget, "trapTarget") io.redirect := DontCare io.redirectValid := (valid && func === CSROpType.jmp && !isEcall) || resetSatp //TODO: use pred pc instead pc+4 - io.redirect.target := Mux( - resetSatp, - io.cfIn.pc+4.U, -// Mux( -// raiseExceptionIntr, -// trapTarget, - retTarget -// ) - ) + io.redirect.target := Mux(resetSatp, io.cfIn.pc+4.U, retTarget) - XSDebug( - io.redirectValid, - "redirect to %x, pc=%x\n", - io.redirect.target, - io.cfIn.pc - ) + XSDebug(io.redirectValid, "redirect to %x, pc=%x\n", io.redirect.target, io.cfIn.pc) XSDebug(raiseExceptionIntr, "int/exc: pc %x int (%d):%x exc: (%d):%x\n",io.exception.bits.cf.pc, intrNO, io.exception.bits.cf.intrVec.asUInt, exceptionNO, raiseExceptionVec.asUInt) XSDebug(raiseExceptionIntr, "pc %x mstatus %x mideleg %x medeleg %x mode %x\n", io.exception.bits.cf.pc, mstatus, mideleg, medeleg, priviledgeMode) diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 1d4919353cd..6876c47b34c 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -96,11 +96,11 @@ class Roq(implicit val p: XSConfig) extends XSModule { } // roq redirect only used for exception - val intrVec = WireInit(0.U(12.W)) - ExcitingUtils.addSink(intrVec, "intrVecIDU") + val intrBitSet = WireInit(false.B) + ExcitingUtils.addSink(intrBitSet, "intrBitSetIDU") val trapTarget = WireInit(0.U(VAddrBits.W)) ExcitingUtils.addSink(trapTarget, "trapTarget") - val intrEnable = intrVec.orR && (state === s_idle) && !ringBufferEmpty && !hasCsr + val intrEnable = intrBitSet && (state === s_idle) && !ringBufferEmpty && !hasCsr val exceptionEnable = Cat(microOp(ringBufferTail).cf.exceptionVec).orR() && (state === s_idle) && !ringBufferEmpty val isEcall = microOp(ringBufferTail).cf.exceptionVec(ecallM) || microOp(ringBufferTail).cf.exceptionVec(ecallS) || microOp(ringBufferTail).cf.exceptionVec(ecallU) io.redirect := DontCare From 98216ce9e32838fb9396ea06e529f4b37ab13b01 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Tue, 21 Jul 2020 18:10:40 +0800 Subject: [PATCH 35/56] dispatch,roq: improve code quality --- .../backend/dispatch/Dispatch2.scala | 2 -- .../backend/dispatch/DispatchQueue.scala | 7 ----- .../scala/xiangshan/backend/roq/Roq.scala | 27 ++++++++----------- 3 files changed, 11 insertions(+), 25 deletions(-) diff --git a/src/main/scala/xiangshan/backend/dispatch/Dispatch2.scala b/src/main/scala/xiangshan/backend/dispatch/Dispatch2.scala index 5695e2bd42a..d5cc6327669 100644 --- a/src/main/scala/xiangshan/backend/dispatch/Dispatch2.scala +++ b/src/main/scala/xiangshan/backend/dispatch/Dispatch2.scala @@ -112,7 +112,6 @@ class Dispatch2(exuCfg: Array[ExuConfig]) extends XSModule{ enq.bits.src3State := io.fpPregRdy(startIndex + 2.U) } else { - // TODO: load store with fp val startIndex = 8.U// regfileRPGen.io.lsIQRfSrc(0) enq.valid := !instIdxes(i)(2) && io.fromLsDq(instIdxes(i)(1, 0)).valid enq.bits := io.fromLsDq(instIdxes(i)(1, 0)).bits @@ -165,7 +164,6 @@ class Dispatch2(exuCfg: Array[ExuConfig]) extends XSModule{ io.fromLsDq(i).bits.cf.pc, i.U) } - // TODO: store needs data from FpRegfile val intExuIndexReg = Reg(Vec(exuParameters.IntExuCnt, UInt(log2Ceil(NRReadPorts).W))) val fpExuIndexReg = Reg(Vec(exuParameters.FpExuCnt, UInt(log2Ceil(NRReadPorts).W))) val lsExuIndexReg = Reg(Vec(exuParameters.LduCnt + exuParameters.StuCnt, UInt(log2Ceil(NRReadPorts).W))) diff --git a/src/main/scala/xiangshan/backend/dispatch/DispatchQueue.scala b/src/main/scala/xiangshan/backend/dispatch/DispatchQueue.scala index 8747fddd776..750f35a30a4 100644 --- a/src/main/scala/xiangshan/backend/dispatch/DispatchQueue.scala +++ b/src/main/scala/xiangshan/backend/dispatch/DispatchQueue.scala @@ -87,11 +87,4 @@ class DispatchQueue(size: Int, enqnum: Int, deqnum: Int, name: String) extends X } head := (head + num_deq) % size.U head_direction := ((Cat(0.U(1.W), head) + num_deq) >= size.U).asUInt() ^ head_direction - - XSDebug(num_deq > 0.U, name + ": num_deq = %d, head = (%d -> %d)\n", - num_deq, head, (head + num_deq) % size.U) - XSDebug(num_enq > 0.U, name + ": num_enq = %d, tail = (%d -> %d)\n", - num_enq, tail, (tail + num_enq) % size.U) - XSDebug(valid_entries > 0.U, name + ": valid_entries = %d, head = (%d, %d), tail = (%d, %d), \n", - valid_entries, head_direction, head, tail_direction, tail) } diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 6876c47b34c..3ef6d198c43 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -24,10 +24,8 @@ class Roq(implicit val p: XSConfig) extends XSModule { val numWbPorts = io.exeWbResults.length val microOp = Mem(RoqSize, new MicroOp) - // val brMask = Reg(Vec(RoqSize, UInt(BrqSize.W))) val valid = RegInit(VecInit(List.fill(RoqSize)(false.B))) val writebacked = Reg(Vec(RoqSize, Bool())) -// val redirect = Reg(Vec(RoqSize, new Redirect)) val exuData = Reg(Vec(RoqSize, UInt(XLEN.W)))//for debug val exuDebug = Reg(Vec(RoqSize, new DebugBundle))//for debug @@ -49,7 +47,6 @@ class Roq(implicit val p: XSConfig) extends XSModule { // Dispatch val csrEnRoq = io.dp1Req.map(i => i.bits.ctrl.fuType === FuType.csr) val hasCsr = RegInit(false.B) -// XSError(hasCsr && state =/= s_idle, "CSR block should only happen in s_idle: state %b\n", state) when(ringBufferEmpty){ hasCsr:= false.B } val validDispatch = VecInit((0 until RenameWidth).map(io.dp1Req(_).valid)).asUInt XSDebug("(ready, valid): ") @@ -125,21 +122,22 @@ class Roq(implicit val p: XSConfig) extends XSModule { io.commits(i) := DontCare switch(state){ is(s_idle){ - val hasException = Cat(microOp(ringBufferTail+i.U).cf.exceptionVec).orR() || intrEnable + val ringBufferIndex = ringBufferTail + i.U + val hasException = Cat(microOp(ringBufferIndex).cf.exceptionVec).orR() || intrEnable val canCommit = if(i!=0) io.commits(i-1).valid else true.B - io.commits(i).valid := valid(ringBufferTail+i.U) && writebacked(ringBufferTail+i.U) && canCommit && !hasException - io.commits(i).bits.uop := microOp(ringBufferTail+i.U) - when(io.commits(i).valid){valid(ringBufferTail+i.U) := false.B} + io.commits(i).valid := valid(ringBufferIndex) && writebacked(ringBufferIndex) && canCommit && !hasException + io.commits(i).bits.uop := microOp(ringBufferIndex) + when(io.commits(i).valid){valid(ringBufferIndex) := false.B} XSInfo(io.commits(i).valid, "retired pc %x wen %d ldst %d data %x\n", - microOp(ringBufferTail+i.U).cf.pc, - microOp(ringBufferTail+i.U).ctrl.rfWen, - microOp(ringBufferTail+i.U).ctrl.ldest, - exuData(ringBufferTail+i.U) + microOp(ringBufferIndex).cf.pc, + microOp(ringBufferIndex).ctrl.rfWen, + microOp(ringBufferIndex).ctrl.ldest, + exuData(ringBufferIndex) ) - XSInfo(io.commits(i).valid && exuDebug(ringBufferTail+i.U).isMMIO, + XSInfo(io.commits(i).valid && exuDebug(ringBufferIndex).isMMIO, "difftest skiped pc0x%x\n", - microOp(ringBufferTail+i.U).cf.pc + microOp(ringBufferIndex).cf.pc ) } @@ -187,7 +185,6 @@ class Roq(implicit val p: XSConfig) extends XSModule { ringBufferTailExtended := ringBufferTailExtended + PopCount(validCommit) } val retireCounter = Mux(state === s_idle, PopCount(validCommit), 0.U) - XSInfo(retireCounter > 0.U, "retired %d insts\n", retireCounter) // commit store to lsu @@ -219,7 +216,6 @@ class Roq(implicit val p: XSConfig) extends XSModule { } } - // debug info XSDebug("head %d:%d tail %d:%d\n", ringBufferHeadExtended(InnerRoqIdxWidth), ringBufferHead, ringBufferTailExtended(InnerRoqIdxWidth), ringBufferTail) XSDebug("") @@ -283,7 +279,6 @@ class Roq(implicit val p: XSConfig) extends XSModule { BoringUtils.addSource(RegNext(wdata), "difftestWdata") BoringUtils.addSource(RegNext(wdst), "difftestWdst") BoringUtils.addSource(RegNext(difftestIntrNO), "difftestIntrNO") - //TODO: skip insts that commited in the same cycle ahead of exception class Monitor extends BlackBox { val io = IO(new Bundle { From 8240a4ba0c440c056d7c2aecdb66f85d44caea11 Mon Sep 17 00:00:00 2001 From: jinyue110 Date: Tue, 21 Jul 2020 18:18:41 +0800 Subject: [PATCH 36/56] FakeIcache: change out ready --- src/main/scala/xiangshan/frontend/FakeICache.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/frontend/FakeICache.scala b/src/main/scala/xiangshan/frontend/FakeICache.scala index 789e920652f..58138a6aa94 100644 --- a/src/main/scala/xiangshan/frontend/FakeICache.scala +++ b/src/main/scala/xiangshan/frontend/FakeICache.scala @@ -129,7 +129,7 @@ class FakeCache extends XSModule with HasICacheConst { val s3_valid = RegEnable(next=s2_valid,init=false.B,enable=s2_fire) val s3_ram_out = RegEnable(next=s2_ram_out,enable=s2_fire) - s3_ready := io.out.ready + s3_ready := (!s3_valid && io.out.ready) || io.out.fire() val needflush = io.in.bits.flush XSDebug("[ICache-Stage3] s3_valid:%d || s3_ready:%d ",s3_valid,s3_ready) From 9b349fdef3765fab605430c926c1538ab5746b65 Mon Sep 17 00:00:00 2001 From: jinyue110 Date: Tue, 21 Jul 2020 18:19:08 +0800 Subject: [PATCH 37/56] IFU: rebuild code but still use mask, add JAL --- src/main/scala/xiangshan/frontend/IFU.scala | 207 ++++++++++++-------- 1 file changed, 123 insertions(+), 84 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 09657c6d222..217b3fc0a4f 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -1,16 +1,18 @@ - package xiangshan.frontend +package xiangshan.frontend import chisel3._ import chisel3.util._ import device.RAMHelper -import xiangshan._ import utils._ +import xiangshan._ +import xiangshan.backend.JumpOpType trait HasIFUConst { this: XSModule => val resetVector = 0x80000000L//TODO: set reset vec val groupAlign = log2Up(FetchWidth * 4) def groupPC(pc: UInt): UInt = Cat(pc(VAddrBits-1, groupAlign), 0.U(groupAlign.W)) def snpc(pc: UInt): UInt = pc + (1 << groupAlign).U + def maskExp(mask: UInt): UInt = Cat(mask.asBools.map(Fill(2,_)).reverse) } @@ -33,8 +35,7 @@ class FakeBPU extends XSModule{ io.btbOut.valid := true.B io.btbOut.bits <> DontCare - io.btbOut.bits.redirect := GTimer() === 1.U - io.btbOut.bits.target := "h080001234".U + io.btbOut.bits.redirect := false.B io.tageOut.valid := false.B io.tageOut.bits <> DontCare } @@ -54,16 +55,14 @@ class IFU extends XSModule with HasIFUConst val if1_pc = RegInit(resetVector.U(VAddrBits.W)) //next val if2_ready = WireInit(false.B) - val if2_snpc = snpc(if1_pc) //TODO: this is ugly + val if2_snpc = snpc(if1_pc) val needflush = WireInit(false.B) //pipe fire - val if1_fire = if1_valid && if2_ready + val if1_fire = if1_valid && if2_ready || needflush val if1_pcUpdate = if1_fire || needflush when(RegNext(reset.asBool) && !reset.asBool){ - //when((GTimer() === 501.U)){ //TODO:this is ugly - XSDebug("RESET....\n") if1_npc := resetVector.U(VAddrBits.W) } .otherwise{ if1_npc := if2_snpc @@ -78,10 +77,6 @@ class IFU extends XSModule with HasIFUConst bpu.io.in.pc.bits := if1_npc bpu.io.redirectInfo := io.redirectInfo - XSDebug("[IF1]if1_valid:%d || if1_npc:0x%x || if1_pcUpdate:%d if1_pc:0x%x || if2_ready:%d",if1_valid,if1_npc,if1_pcUpdate,if1_pc,if2_ready) - XSDebug(false,if1_fire,"------IF1->fire!!!") - XSDebug(false,true.B,"\n") - //------------------------- // IF2 btb response // icache visit @@ -92,7 +87,6 @@ class IFU extends XSModule with HasIFUConst val if2_btb_taken = bpu.io.btbOut.valid && bpu.io.btbOut.bits.redirect val if2_btb_insMask = bpu.io.btbOut.bits.instrValid val if2_btb_target = bpu.io.btbOut.bits.target - //next val if3_ready = WireInit(false.B) @@ -107,43 +101,28 @@ class IFU extends XSModule with HasIFUConst { if1_npc := if2_btb_target } - - XSDebug("[IF2]if2_valid:%d || if2_pc:0x%x || if3_ready:%d ",if2_valid,if2_pc,if3_ready) - XSDebug(false,if2_fire,"------IF2->fire!!!") - XSDebug(false,true.B,"\n") - XSDebug("[IF2-Icache-Req] icache_in_valid:%d icache_in_ready:%d\n",io.icacheReq.valid,io.icacheReq.ready) - XSDebug("[IF2-BPU-out]if2_btbTaken:%d || if2_btb_insMask:%b || if2_btb_target:0x%x \n",if2_btb_taken,if2_btb_insMask.asUInt,if2_btb_target) //------------------------- // IF3 icache hit check //------------------------- //local val if3_valid = RegEnable(next=if2_valid,init=false.B,enable=if2_fire) val if3_pc = RegEnable(if2_pc,if2_fire) - val if3_npc = RegEnable(if1_npc,if2_fire) val if3_btb_target = RegEnable(if2_btb_target,if2_fire) val if3_btb_taken = RegEnable(if2_btb_taken,if2_fire) val if3_btb_insMask = RegEnable(if2_btb_insMask, if2_fire) - //next val if4_ready = WireInit(false.B) //pipe fire val if3_fire = if3_valid && if4_ready if3_ready := if3_fire || !if3_valid - - - XSDebug("[IF3]if3_valid:%d || if3_pc:0x%x if3_npc:0x%x || if4_ready:%d ",if3_valid,if3_pc,if3_npc,if4_ready) - XSDebug(false,if3_fire,"------IF3->fire!!!") - XSDebug(false,true.B,"\n") - //------------------------- // IF4 icache response // RAS result - // taget generate + // taget result //------------------------- val if4_valid = RegEnable(next=if3_valid,init=false.B,enable=if3_fire) val if4_pc = RegEnable(if3_pc,if3_fire) - val if4_npc = RegEnable(if3_npc,if3_fire) val if4_btb_target = RegEnable(if3_btb_target,if3_fire) val if4_btb_taken = RegEnable(if3_btb_taken,if3_fire) val if4_btb_insMask = RegEnable(if3_btb_insMask, if3_fire) @@ -151,85 +130,145 @@ class IFU extends XSModule with HasIFUConst val if4_tage_taken = bpu.io.tageOut.valid && bpu.io.tageOut.bits.redirect val if4_tage_insMask = bpu.io.tageOut.bits.instrValid val if4_btb_missPre = WireInit(false.B) + val if4_jal_flush = WireInit(false.B) + val if4_start_ready = io.fetchPacket.ready && (GTimer() > 500.U) + val if4_fetch_mask = Fill(FetchWidth*2, 1.U(1.W)) //TODO : consider cross cacheline fetch - XSDebug("[IF4]if4_valid:%d || if4_pc:0x%x if4_npc:0x%x\n",if4_valid,if4_pc,if4_npc) - XSDebug("[IF4-TAGE-out]if4_tage_taken:%d || if4_btb_insMask:%b || if4_tage_target:0x%x \n",if4_tage_taken,if4_tage_insMask.asUInt,if4_tage_target) - XSDebug("[IF4-ICACHE-RESP]icacheResp.valid:%d icacheResp.ready:%d\n",io.icacheResp.valid,io.icacheResp.ready) + if4_ready := (io.fetchPacket.fire() || !if4_valid) && if4_start_ready + //redirect: use tage prediction when tage taken when(io.icacheResp.fire() && if4_tage_taken &&if4_valid) { if1_npc := if4_tage_target } - //redirect: tage result differ btb - if4_btb_missPre := (if4_tage_taken ^ if4_btb_taken) || (if4_tage_taken && if4_btb_taken && (if4_tage_target =/= if4_btb_target)) - if(EnableBPD){ - when(!if4_tage_taken && if4_btb_taken && if4_valid){ - if1_npc := if4_pc + (PopCount(io.fetchPacket.bits.mask) >> 2.U) - } - } - - //redirect: miss predict - when(io.redirectInfo.flush()){ - if1_npc := io.redirectInfo.redirect.target - } - XSDebug(io.redirectInfo.flush(),"[IFU-REDIRECT] target:0x%x \n",io.redirectInfo.redirect.target.asUInt) - + //redirect: tage result differ btb + if4_btb_missPre := if4_valid && ((if4_tage_taken ^ if4_btb_taken) || (if4_tage_taken && if4_btb_taken && (if4_tage_target =/= if4_btb_target))) + //flush pipline - if(EnableBPD){needflush := (if4_valid && if4_btb_missPre) || io.redirectInfo.flush() } - else {needflush := io.redirectInfo.flush()} + //-> backend redirect (frontend miss predict) (if enable BPD) + //-> tage differ from btb (btb miss predeict) + //-> jal differ from btb (btb miss predict) + if(EnableBPD){needflush := (if4_btb_missPre) || io.redirectInfo.flush() ||if4_jal_flush} //TODO: consider tage now only has btb + else {needflush := io.redirectInfo.flush() || if4_jal_flush} + when(needflush){ if3_valid := false.B if4_valid := false.B } - //flush ICache + + //flush ICache register io.icacheReq.bits.flush := needflush - //Output -> iBuffer - //io.fetchPacket <> DontCare - if4_ready := io.fetchPacket.ready && (io.icacheResp.valid || !if4_valid) && (GTimer() > 500.U) - io.fetchPacket.valid := if4_valid && !io.redirectInfo.flush() + //IF4 to BPU + bpu.io.predecode.valid := io.icacheResp.fire() && if4_valid + bpu.io.predecode.bits <> io.icacheResp.bits.predecode + bpu.io.predecode.bits.mask := Fill(FetchWidth, 1.U(1.W)) //TODO: consider RVC && consider cross cacheline fetch + bpu.io.redirectInfo := io.redirectInfo + io.icacheResp.ready := if4_start_ready + + //------------------------- + // Output fetch packet + // -> Ibuffer + //------------------------- + io.fetchPacket.valid := if4_valid && io.icacheResp.valid && !io.redirectInfo.flush() io.fetchPacket.bits.instrs := io.icacheResp.bits.icacheOut - if(EnableBPU){ - io.fetchPacket.bits.mask := Mux(if4_tage_taken,(Fill(FetchWidth*2, 1.U(1.W)) & Reverse(Cat(if4_tage_insMask.map(i => Fill(2, i.asUInt))).asUInt)), - Mux(if4_btb_taken, Fill(FetchWidth*2, 1.U(1.W)) & Reverse(Cat(if4_btb_insMask.map(i => Fill(2, i.asUInt))).asUInt), - Fill(FetchWidth*2, 1.U(1.W))) - ) - } - else{ - io.fetchPacket.bits.mask := Fill(FetchWidth*2, 1.U(1.W)) //TODO : consider cross cacheline fetch - } io.fetchPacket.bits.pc := if4_pc - - XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] starPC:0x%x GroupPC:0x%xn\n",if4_pc.asUInt,groupPC(if4_pc).asUInt) - XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instrmask %b\n",io.fetchPacket.bits.mask.asUInt) - for(i <- 0 until FetchWidth){ - //io.fetchPacket.bits.pnpc(i) := if1_npc - when (if4_btb_taken && !if4_tage_taken && i.U === OHToUInt(HighestBit(if4_btb_insMask.asUInt, FetchWidth))) { - if(EnableBPD){io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) } //tage not taken use snpc - else{io.fetchPacket.bits.pnpc(i) := if4_btb_target}//use fetch PC - }.elsewhen (if4_tage_taken && i.U === OHToUInt(HighestBit(if4_tage_insMask.asUInt, FetchWidth))) { - io.fetchPacket.bits.pnpc(i) := if1_npc - }.otherwise { - io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) //use fetch PC - } - XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instruction %x pnpc:0x%x\n",io.fetchPacket.bits.instrs(i).asUInt,io.fetchPacket.bits.pnpc(i).asUInt) - } io.fetchPacket.bits.hist := bpu.io.tageOut.bits.hist - // io.fetchPacket.bits.btbVictimWay := bpu.io.tageOut.bits.btbVictimWay io.fetchPacket.bits.predCtr := bpu.io.tageOut.bits.predCtr io.fetchPacket.bits.btbHitWay := bpu.io.tageOut.bits.btbHitWay io.fetchPacket.bits.tageMeta := bpu.io.tageOut.bits.tageMeta io.fetchPacket.bits.rasSp := bpu.io.tageOut.bits.rasSp io.fetchPacket.bits.rasTopCtr := bpu.io.tageOut.bits.rasTopCtr + //fetch mask Mux + if(EnableBPU){ + io.fetchPacket.bits.mask := Mux(if4_tage_taken,(if4_fetch_mask.asUInt & maskExp(if4_tage_insMask.asUInt)), + Mux(if4_btb_taken, (if4_fetch_mask.asUInt & maskExp(if4_btb_insMask.asUInt)), + if4_fetch_mask) + ) + } + else{ + io.fetchPacket.bits.mask := Mux(if4_btb_taken, (if4_fetch_mask.asUInt & maskExp(if4_btb_insMask.asUInt)) ,if4_fetch_mask) + } + //fetch npc logic + for(i <- 0 until FetchWidth){ + if(EnableBPD){ + when (if4_tage_taken && i.U === OHToUInt(HighestBit(if4_tage_insMask.asUInt, FetchWidth))){ + io.fetchPacket.bits.pnpc(i) := if4_tage_target + } .otherwise{ + io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) //use fetch PC + } + } + else{ + when(if4_btb_taken && i.U === OHToUInt(HighestBit(if4_btb_insMask.asUInt, FetchWidth))){ + io.fetchPacket.bits.pnpc(i) := if4_btb_target + } .otherwise{ + io.fetchPacket.bits.pnpc(i) := if4_pc + ((i + 1).U << 2.U) + } + } + XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instruction %x pc:%x pnpc:0x%x\n",io.fetchPacket.bits.instrs(i).asUInt, io.fetchPacket.bits.pc + (4*i).U, io.fetchPacket.bits.pnpc(i).asUInt) + } - //to BPU - bpu.io.predecode.valid := io.icacheResp.fire() && if4_valid - bpu.io.predecode.bits <> io.icacheResp.bits.predecode - bpu.io.predecode.bits.mask := Fill(FetchWidth, 1.U(1.W)) //TODO: consider RVC && consider cross cacheline fetch - bpu.io.redirectInfo := io.redirectInfo - io.icacheResp.ready := io.fetchPacket.ready && (GTimer() > 500.U) + + //-------------------------------------------------------------- + //-------------------------------- + // jal judgement logic + // fetch index calculate + // taget calculate + //-------------------------------- + //jal should not predict + val predecMask = bpu.io.predecode.bits.mask + val jalOH = LowestBit(Reverse(Cat(bpu.io.predecode.bits.fuOpTypes.map { t => (t === JumpOpType.jal || t === JumpOpType.call) }).asUInt) & predecMask & if4_btb_insMask.asUInt,FetchWidth) + val jalMask = Wire(Vec(FetchWidth,UInt(1.W))) + (0 until FetchWidth).map(i => jalMask(i) := LowerMask(jalOH,FetchWidth)(i)) + val jalIns = Mux1H(jalOH.asUInt,io.fetchPacket.bits.instrs) + val jalIdx = OHToUInt(jalOH) + val hasJAL = jalOH.orR.asBool + val jalTarget = if4_pc + (jalIdx << 2.U) + SignExt(Cat(jalIns(31), jalIns(19, 12), jalIns(20), jalIns(30, 21), 0.U(1.W)), XLEN) + if4_jal_flush := io.fetchPacket.fire && hasJAL && ((!if4_btb_taken) || (if4_btb_taken && if4_btb_target =/= jalTarget)) + + when(io.fetchPacket.fire && hasJAL ){ + if1_npc := jalTarget + io.fetchPacket.bits.pnpc(jalIdx) := jalTarget + io.fetchPacket.bits.mask := Reverse(Cat(jalMask.map(i => Fill(2, i.asUInt))).asUInt) + } + //-------------------------------------------------------------- + + //redirect: backend redirect: prediction miss + when(io.redirectInfo.flush()){ + if1_npc := io.redirectInfo.redirect.target + } + + //------------------------- + // Debug Infomation + //------------------------- + //IF1 + XSDebug("[IF1]if1_valid:%d || if1_npc:0x%x || if1_pcUpdate:%d if1_pc:0x%x || if2_ready:%d",if1_valid,if1_npc,if1_pcUpdate,if1_pc,if2_ready) + XSDebug(false,if1_fire,"------IF1->fire!!!") + XSDebug(false,true.B,"\n") + //IF2 + XSDebug("[IF2]if2_valid:%d || if2_pc:0x%x || if3_ready:%d ",if2_valid,if2_pc,if3_ready) + XSDebug(false,if2_fire,"------IF2->fire!!!") + XSDebug(false,true.B,"\n") + XSDebug("[IF2-Icache-Req] icache_in_valid:%d icache_in_ready:%d\n",io.icacheReq.valid,io.icacheReq.ready) + XSDebug("[IF2-BPU-out]if2_btbTaken:%d || if2_btb_insMask:%b || if2_btb_target:0x%x \n",if2_btb_taken,if2_btb_insMask.asUInt,if2_btb_target) + //IF3 + XSDebug("[IF3]if3_valid:%d || if3_pc:0x%x || if4_ready:%d ",if3_valid,if3_pc,if4_ready) + XSDebug(false,if3_fire,"------IF3->fire!!!") + XSDebug(false,true.B,"\n") + //IF4 + XSDebug("[IF4]if4_valid:%d || if4_pc:0x%x \n",if4_valid,if4_pc) + XSDebug("[IF4-TAGE-out]if4_tage_taken:%d || if4_tage_insMask:%b || if4_tage_target:0x%x \n",if4_tage_taken,if4_tage_insMask.asUInt,if4_tage_target) + XSDebug("[IF4-ICACHE-RESP]icacheResp.valid:%d icacheResp.ready:%d\n",io.icacheResp.valid,io.icacheResp.ready) + //redirect + XSDebug(io.redirectInfo.flush(),"[IFU-REDIRECT] target:0x%x \n",io.redirectInfo.redirect.target.asUInt) + //out fetch packet + XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] starPC:0x%x GroupPC:0x%xn\n",if4_pc.asUInt,groupPC(if4_pc).asUInt) + XSDebug(io.fetchPacket.fire,"[IFU-Out-FetchPacket] instrmask %b\n",io.fetchPacket.bits.mask.asUInt) + //JAL + XSDebug(if4_valid && hasJAL,"[IFU-JAL-hasJAL]: jalOH:%b jalMask:%b jalIns:%x jalTarget:%x\n",jalOH,jalMask.asUInt,jalIns,jalTarget) + XSDebug(if4_valid && if4_jal_flush,"[IFU-JAL-needflush]: if4_btb_target:0x%x jalTarget:%x \n",if4_btb_target,jalTarget) } From 6c9d626cbc185edd9adb303255f105b5f0486522 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Tue, 21 Jul 2020 20:17:38 +0800 Subject: [PATCH 38/56] Makefile: force a pseudo-tty allocation for ssh The program runs remotely isn't line-buffered and ssh is just passing through what it gets when it gets it. Refer to: https://unix.stackexchange.com/questions/21920/ssh-output-isnt-line-buffered This commit add -tt argument to ssh and forces tty allocation. Now each line will show up in local machine immediately after it shows remotely. --- Makefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Makefile b/Makefile index a5cdd81dff0..eeed26c9dbb 100644 --- a/Makefile +++ b/Makefile @@ -50,7 +50,7 @@ $(SIM_TOP_V): $(SCALA_FILE) $(TEST_FILE) ifeq ($(REMOTE),localhost) mill chiselModule.test.runMain $(SIMTOP) -X verilog -td $(@D) --output-file $(@F) $(SIM_ARGS) else - ssh $(REMOTE) "cd $(REMOTE_PRJ_HOME) && mill chiselModule.test.runMain $(SIMTOP) -X verilog -td $(@D) --output-file $(@F) $(SIM_ARGS)" + ssh -tt $(REMOTE) "cd $(REMOTE_PRJ_HOME) && mill chiselModule.test.runMain $(SIMTOP) -X verilog -td $(@D) --output-file $(@F) $(SIM_ARGS)" endif @@ -110,7 +110,7 @@ emu: $(EMU) ifeq ($(REMOTE),localhost) @$(EMU) -i $(IMAGE) $(SEED) -b $(B) -e $(E) else - ssh $(REMOTE) "cd $(REMOTE_PRJ_HOME) && $(EMU) -i $(REMOTE_PREFIX)/$(realpath $(IMAGE)) $(SEED) -b $(B) -e $(E)" + ssh -tt $(REMOTE) "cd $(REMOTE_PRJ_HOME) && $(EMU) -i $(REMOTE_PREFIX)/$(realpath $(IMAGE)) $(SEED) -b $(B) -e $(E)" endif cache: From 098811905f8d2e10eadcb794c98cf5eec2019b6f Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Tue, 21 Jul 2020 23:25:14 +0800 Subject: [PATCH 39/56] Brq: a branch instr can only dequeue when roq commit it --- src/main/scala/xiangshan/backend/Backend.scala | 1 + src/main/scala/xiangshan/backend/brq/Brq.scala | 10 +++++++++- src/main/scala/xiangshan/backend/roq/Roq.scala | 10 ++++++++++ 3 files changed, 20 insertions(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/backend/Backend.scala b/src/main/scala/xiangshan/backend/Backend.scala index 76c2a5b6784..6b0ab8a29f7 100644 --- a/src/main/scala/xiangshan/backend/Backend.scala +++ b/src/main/scala/xiangshan/backend/Backend.scala @@ -130,6 +130,7 @@ class Backend(implicit val p: XSConfig) extends XSModule decode.io.in <> io.frontend.cfVec brq.io.roqRedirect <> roq.io.redirect + brq.io.bcommit := roq.io.bcommit brq.io.enqReqs <> decode.io.toBrq for ((x, y) <- brq.io.exuRedirect.zip(exeUnits.filter(_.config.hasRedirect))) { x.bits := y.io.out.bits diff --git a/src/main/scala/xiangshan/backend/brq/Brq.scala b/src/main/scala/xiangshan/backend/brq/Brq.scala index b1eaa5107f0..b19565bd2b3 100644 --- a/src/main/scala/xiangshan/backend/brq/Brq.scala +++ b/src/main/scala/xiangshan/backend/brq/Brq.scala @@ -63,6 +63,8 @@ class BrqIO extends XSBundle{ val out = ValidIO(new ExuOutput) // misprediction, flush pipeline val redirect = Output(Valid(new Redirect)) + // commit cnt of branch instr + val bcommit = Input(UInt(BrTagWidth.W)) } class Brq extends XSModule { @@ -87,6 +89,7 @@ class Brq extends XSModule { val isIdle = Bool() } + val brCommitCnt = RegInit(0.U(BrTagWidth.W)) val brQueue = Reg(Vec(BrqSize, new BrqEntry)) val stateQueue = RegInit(VecInit(Seq.fill(BrqSize)(s_idle))) @@ -117,10 +120,14 @@ class Brq extends XSModule { } val commitIsHead = commitIdx===headIdx - val deqValid = !stateQueue(headIdx).isIdle && commitIsHead + val deqValid = !stateQueue(headIdx).isIdle && commitIsHead && brCommitCnt=/=0.U val commitValid = stateQueue(commitIdx).isWb val commitEntry = brQueue(commitIdx) + brCommitCnt := brCommitCnt + io.bcommit - deqValid + + XSDebug(p"brCommitCnt:$brCommitCnt\n") + assert(brCommitCnt+io.bcommit >= deqValid) XSDebug(p"headIdx:$headIdx commitIdx:$commitIdx\n") XSDebug(p"headPtr:$headPtr tailPtr:$tailPtr\n") @@ -194,6 +201,7 @@ class Brq extends XSModule { stateQueue.foreach(_ := s_idle) headPtr := BrqPtr(false.B, 0.U) tailPtr := BrqPtr(false.B, 0.U) + brCommitCnt := 0.U }.elsewhen(io.redirect.valid){ // misprediction stateQueue.zipWithIndex.foreach({case(s, i) => diff --git a/src/main/scala/xiangshan/backend/roq/Roq.scala b/src/main/scala/xiangshan/backend/roq/Roq.scala index 3ef6d198c43..f453fa9cbba 100644 --- a/src/main/scala/xiangshan/backend/roq/Roq.scala +++ b/src/main/scala/xiangshan/backend/roq/Roq.scala @@ -19,6 +19,7 @@ class Roq(implicit val p: XSConfig) extends XSModule { val exeWbResults = Vec(exuParameters.ExuCnt + 1, Flipped(ValidIO(new ExuOutput))) val commits = Vec(CommitWidth, Valid(new RoqCommit)) val scommit = Output(UInt(3.W)) + val bcommit = Output(UInt(BrTagWidth.W)) }) val numWbPorts = io.exeWbResults.length @@ -191,6 +192,15 @@ class Roq(implicit val p: XSConfig) extends XSModule { val validScommit = WireInit(VecInit((0 until CommitWidth).map(i => state === s_idle && io.commits(i).valid && microOp(ringBufferTail+i.U).ctrl.fuType === FuType.stu && microOp(ringBufferTail+i.U).ctrl.fuOpType(3)))) //FIXIT io.scommit := PopCount(validScommit.asUInt) + val validBcommit = WireInit(VecInit( + (0 until CommitWidth).map( + i => state === s_idle && + io.commits(i).valid && + microOp(ringBufferTail+i.U).cf.isBr + ) + )) + io.bcommit := PopCount(validBcommit) + // when redirect, walk back roq entries when(io.brqRedirect.valid){ state := s_walk From 1eeb0919d81164b7bc7f3d4f0724cabb9322baf9 Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Tue, 21 Jul 2020 23:25:56 +0800 Subject: [PATCH 40/56] Brq: send in-order-redirect to update bpu --- src/main/scala/xiangshan/Bundle.scala | 2 +- src/main/scala/xiangshan/backend/Backend.scala | 2 +- src/main/scala/xiangshan/backend/brq/Brq.scala | 5 +++++ 3 files changed, 7 insertions(+), 2 deletions(-) diff --git a/src/main/scala/xiangshan/Bundle.scala b/src/main/scala/xiangshan/Bundle.scala index 2764c01e5cd..6b28bba7e11 100644 --- a/src/main/scala/xiangshan/Bundle.scala +++ b/src/main/scala/xiangshan/Bundle.scala @@ -196,5 +196,5 @@ class FrontendToBackendIO extends XSBundle { val cfVec = Vec(DecodeWidth, DecoupledIO(new CtrlFlow)) // from backend val redirectInfo = Input(new RedirectInfo) - val commits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) // update branch pred + val inOrderBrInfo = Input(new RedirectInfo) } diff --git a/src/main/scala/xiangshan/backend/Backend.scala b/src/main/scala/xiangshan/backend/Backend.scala index 6b0ab8a29f7..95ec20f6aa5 100644 --- a/src/main/scala/xiangshan/backend/Backend.scala +++ b/src/main/scala/xiangshan/backend/Backend.scala @@ -126,7 +126,7 @@ class Backend(implicit val p: XSConfig) extends XSModule jmpExeUnit.io.exception.bits := roq.io.exception io.frontend.redirectInfo <> redirectInfo - io.frontend.commits <> roq.io.commits + io.frontend.inOrderBrInfo <> brq.io.inOrderBrInfo decode.io.in <> io.frontend.cfVec brq.io.roqRedirect <> roq.io.redirect diff --git a/src/main/scala/xiangshan/backend/brq/Brq.scala b/src/main/scala/xiangshan/backend/brq/Brq.scala index b19565bd2b3..c2d5f226476 100644 --- a/src/main/scala/xiangshan/backend/brq/Brq.scala +++ b/src/main/scala/xiangshan/backend/brq/Brq.scala @@ -65,6 +65,8 @@ class BrqIO extends XSBundle{ val redirect = Output(Valid(new Redirect)) // commit cnt of branch instr val bcommit = Input(UInt(BrTagWidth.W)) + // in order dequeue to train bpd + val inOrderBrInfo = Output(new RedirectInfo) } class Brq extends XSModule { @@ -128,6 +130,9 @@ class Brq extends XSModule { XSDebug(p"brCommitCnt:$brCommitCnt\n") assert(brCommitCnt+io.bcommit >= deqValid) + io.inOrderBrInfo.valid := deqValid + io.inOrderBrInfo.misPred := commitEntry.misPred + io.inOrderBrInfo.redirect := commitEntry.exuOut.redirect XSDebug(p"headIdx:$headIdx commitIdx:$commitIdx\n") XSDebug(p"headPtr:$headPtr tailPtr:$tailPtr\n") From 97e5e487c4bb46d59be18b753224d909262d2219 Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Tue, 21 Jul 2020 23:26:17 +0800 Subject: [PATCH 41/56] BrqTest: update brq unit test --- .../scala/xiangshan/backend/brq/BrqTest.scala | 44 +++++++++++++------ .../scala/xiangshan/testutils/AddSinks.scala | 19 +++++--- 2 files changed, 44 insertions(+), 19 deletions(-) diff --git a/src/test/scala/xiangshan/backend/brq/BrqTest.scala b/src/test/scala/xiangshan/backend/brq/BrqTest.scala index f4679dcdfa6..28ffdfff38f 100644 --- a/src/test/scala/xiangshan/backend/brq/BrqTest.scala +++ b/src/test/scala/xiangshan/backend/brq/BrqTest.scala @@ -7,6 +7,7 @@ import chisel3.experimental.BundleLiterals._ import chisel3.util._ import chiseltest.experimental.TestOptionBuilder._ import chiseltest.internal.VerilatorBackendAnnotation +import utils.XSLog import xiangshan._ import xiangshan.testutils._ import xiangshan.testutils.TestCaseGenerator._ @@ -19,14 +20,15 @@ class BrqTest extends FlatSpec with ParallelTestExecution with HasPartialDecoupledDriver { it should "" in { - + XSLog.generateLog = true test(new Brq { AddSinks() - }).withAnnotations(Seq(VerilatorBackendAnnotation)) { c => + }).withAnnotations(Seq()) { c => def genEnqReq(x: => DecoupledIO[CfCtrl], pc: Long) = { chiselTypeOf(x.bits).Lit( - _.cf.pc -> pc.U + _.cf.pc -> pc.U, + _.cf.pnpc -> (pc+4).U ) } @@ -51,11 +53,17 @@ class BrqTest extends FlatSpec val misPred = Random.nextInt(10) println(s"enqTags:$enqTags misPredTag:$misPred") enqTags = enqTags.take(misPred + 1) - var deqTags = List[Int]() + var commitTags, deqTags = List[Int]() - def checkDeq = { + def checkCommit = { if (c.io.out.valid.peek().litToBoolean) { - deqTags = deqTags :+ c.io.redirect.bits.brTag.value.peek().litValue().toInt + commitTags = commitTags :+ c.io.redirect.bits.brTag.value.peek().litValue().toInt + println(s"====commited tags:$commitTags====") + } + } + def checkDeq = { + if(c.io.inOrderBrInfo.valid.peek().litToBoolean){ + deqTags = deqTags :+ c.io.inOrderBrInfo.redirect.brTag.value.peek().litValue().toInt println(s"====deq tags:$deqTags====") } } @@ -73,35 +81,43 @@ class BrqTest extends FlatSpec wbPort.bits.pokePartial( genExuWb(wbPort, tag, tagFlag = false, if (tag == misPred) 0xffff else tag * 0x1000 + 4) ) - checkDeq + checkCommit c.clock.step(1) wbPort.valid.poke(false.B) for (i <- 0 until Random.nextInt(3)) { - checkDeq + checkCommit c.clock.step(1) } } + c.io.bcommit.poke((misPred+1).U) while (deqTags.size != misPred+1) { + checkCommit checkDeq c.clock.step(1) } c.clock.step(10) - val left = deqTags.takeWhile(x => x!=misPred) - val right = deqTags.dropWhile(x => x!=misPred).drop(1) + val left = commitTags.takeWhile(x => x!=misPred) + val right = commitTags.dropWhile(x => x!=misPred).drop(1) - println(s"deq before mispred: $left") - println(s"deq after mispred: $right") + println(s"commited before mispred: $left") + println(s"commited after mispred: $right") - def isValidDeqSeq(in: Seq[Int]): Boolean = { + def isValidCommitSeq(in: Seq[Int]): Boolean = { for(i <- 1 until in.size){ if(in(i) == in(i-1)) return false } true } + assert(isValidCommitSeq(left) && isValidCommitSeq(right)) + + println(s"deq tags: $deqTags") - assert(isValidDeqSeq(left) && isValidDeqSeq(right)) + def isValidDeqSeq(in: Seq[Int]): Boolean = { + in.zipWithIndex.map(x => x._1==x._2).reduce(_&&_) + } + assert(isValidDeqSeq(deqTags)) } } } diff --git a/src/test/scala/xiangshan/testutils/AddSinks.scala b/src/test/scala/xiangshan/testutils/AddSinks.scala index bb189ac64c7..264568e0625 100644 --- a/src/test/scala/xiangshan/testutils/AddSinks.scala +++ b/src/test/scala/xiangshan/testutils/AddSinks.scala @@ -18,14 +18,23 @@ object AddSinks { "perfCntCondMl2cacheReq", "mtip", "perfCntCondMdcacheReq", - "meip" + "meip", + "perfCntCondMbpInstr", + "perfCntCondMbpRight", + "perfCntCondMbpWrong", + "perfCntCondMbpBRight", + "perfCntCondMbpBWrong", + "perfCntCondMbpJRight", + "perfCntCondMbpJWrong", + "perfCntCondMbpIRight", + "perfCntCondMbpIWrong", + "perfCntCondMbpRRight", + "perfCntCondMbpRWrong" ) for (s <- sinks){ BoringUtils.addSink(tmp, s) } - val disp_begin = WireInit(dispBegin.S(64.W).asUInt()) - val disp_end = WireInit(dispEnd.S(64.W).asUInt()) - BoringUtils.addSource(disp_begin, "DISPLAY_LOG_START") - BoringUtils.addSource(disp_end, "DISPLAY_LOG_END") + val disp_enable = WireInit(dispBegin.S(64.W).asUInt() < dispEnd.S(64.W).asUInt()) + BoringUtils.addSource(disp_enable, "DISPLAY_LOG_ENABLE") } } From e5878226085d83a1ffb22255c75debfef7816b91 Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Tue, 21 Jul 2020 23:27:32 +0800 Subject: [PATCH 42/56] Set Roq size to 128 --- src/main/scala/xiangshan/XSCore.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index 2c78167e0ae..647f56d3d1e 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -48,7 +48,7 @@ trait HasXSParameter { val PhyRegIdxWidth = log2Up(NRPhyRegs) val NRReadPorts = 14 val NRWritePorts = 8 - val RoqSize = 32 + val RoqSize = 128 val InnerRoqIdxWidth = log2Up(RoqSize) val RoqIdxWidth = InnerRoqIdxWidth + 1 val IntDqDeqWidth = 4 From f19d0b9d3919a1d49d2725bfb52eb9589d548259 Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Wed, 22 Jul 2020 09:32:31 +0800 Subject: [PATCH 43/56] Makefile: seed can be specified by SEED=6666 --- Makefile | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Makefile b/Makefile index eeed26c9dbb..7c38942311d 100644 --- a/Makefile +++ b/Makefile @@ -98,7 +98,7 @@ $(REF_SO): $(EMU): $(EMU_MK) $(EMU_DEPS) $(EMU_HEADERS) $(REF_SO) CPPFLAGS=-DREF_SO=\\\"$(REF_SO)\\\" $(MAKE) VM_PARALLEL_BUILDS=1 -C $(dir $(EMU_MK)) -f $(abspath $(EMU_MK)) -SEED = -s $(shell shuf -i 1-10000 -n 1) +SEED ?= $(shell shuf -i 1-10000 -n 1) # log will only be printed when (B<=GTimer<=E) && (L < loglevel) @@ -108,9 +108,9 @@ E ?= -1 emu: $(EMU) ifeq ($(REMOTE),localhost) - @$(EMU) -i $(IMAGE) $(SEED) -b $(B) -e $(E) + @$(EMU) -i $(IMAGE) -s $(SEED) -b $(B) -e $(E) else - ssh -tt $(REMOTE) "cd $(REMOTE_PRJ_HOME) && $(EMU) -i $(REMOTE_PREFIX)/$(realpath $(IMAGE)) $(SEED) -b $(B) -e $(E)" + ssh -tt $(REMOTE) "cd $(REMOTE_PRJ_HOME) && $(EMU) -i $(REMOTE_PREFIX)/$(realpath $(IMAGE)) -s $(SEED) -b $(B) -e $(E)" endif cache: From 22d877d3ddcea6589fb74f084d3b0e438ad3bb7b Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Wed, 22 Jul 2020 11:28:49 +0800 Subject: [PATCH 44/56] frontend: add logs --- src/main/scala/xiangshan/XSCore.scala | 2 +- src/main/scala/xiangshan/frontend/BPU.scala | 10 ++++++---- src/main/scala/xiangshan/frontend/Ibuffer.scala | 13 +++++++------ src/main/scala/xiangshan/frontend/btb.scala | 2 ++ src/main/scala/xiangshan/frontend/jbtac.scala | 2 ++ 5 files changed, 18 insertions(+), 11 deletions(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index a4e6fa8334c..6ee7f25925e 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -29,7 +29,7 @@ trait HasXSParameter { val PredictWidth = FetchWidth * 2 val EnableBPU = true val EnableBPD = false // enable backing predictor(like Tage) in BPUStage3 - val EnableRAS = false + val EnableRAS = true val HistoryLength = 64 val BtbSize = 256 // val BtbWays = 4 diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 3f847c49260..75d92e595d7 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -168,9 +168,9 @@ class BPUStage1 extends XSModule { // update ghr updateGhr := io.s1OutPred.bits.redirect || - RegNext(io.in.pc.fire) && ~io.s1OutPred.bits.redirect && (btbNotTakens.asUInt & maskLatch).reduce(_||_) || + RegNext(io.in.pc.fire) && ~io.s1OutPred.bits.redirect && (btbNotTakens.asUInt & maskLatch).orR || // TODO: use parallel or io.flush - val brJumpIdx = Mux(!(btbHit && btbTaken), 0.U, UIntToOH(btbTakenIdx)) + val brJumpIdx = Mux(!btbTaken, 0.U, UIntToOH(btbTakenIdx)) val indirectIdx = Mux(!jbtacHit, 0.U, UIntToOH(jbtacHitIdx)) // if backend redirects, restore history from backend; // if stage3 redirects, restore history from stage3; @@ -204,7 +204,7 @@ class BPUStage1 extends XSModule { // io.s1OutPred.bits.instrValid := Mux(!io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump, maskLatch, // Mux(!btbIsRVCs(OHToUInt(takenIdx)), LowerMask(takenIdx << 1.U, PredictWidth), // LowerMask(takenIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) - io.s1OutPred.bits.redirect := (maskLatch & Fill(PredictWidth, ~io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump) | + io.s1OutPred.bits.instrValid := (maskLatch & Fill(PredictWidth, ~io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump) | PriorityMux(brJumpIdx | indirectIdx, (0 until PredictWidth).map(getInstrValid(_)))).asTypeOf(Vec(PredictWidth, Bool())) io.s1OutPred.bits.target := Mux(takenIdx === 0.U, pcLatch + (PopCount(maskLatch) << 1.U), Mux(takenIdx === brJumpIdx, btbTakenTarget, jbtacTarget)) io.s1OutPred.bits.lateJump := btb.io.out.isRVILateJump || jbtac.io.out.isRVILateJump @@ -370,7 +370,8 @@ class BPUStage3 extends XSModule { } // TODO: what if if4 and if2 late jump to the same target? - val lateJump = io.s3Taken && PriorityMux(Reverse(predecode.mask),((PredictWidth - 1) to 0).map(_.U)) === jmpIdx && !predecode.isRVC(jmpIdx) + // val lateJump = io.s3Taken && PriorityMux(Reverse(predecode.mask), ((PredictWidth - 1) to 0).map(_.U)) === jmpIdx && !predecode.isRVC(jmpIdx) + val lateJump = io.s3Taken && PriorityMux(Reverse(predecode.mask), (0 until PredictWidth).map {i => (PredictWidth - 1 - i).U}) === jmpIdx && !predecode.isRVC(jmpIdx) io.out.bits.lateJump := lateJump io.out.bits.predCtr := inLatch.btbPred.bits.predCtr @@ -412,6 +413,7 @@ class BPUStage3 extends XSModule { // for (i <- 0 until FetchWidth) { // io.out.bits.instrValid(i) := ((io.s3Taken && i.U <= jmpIdx) || ~io.s3Taken) && io.predecode.bits.mask(i) // } + io.out.bits.instrValid := predecode.mask.asTypeOf(Vec(PredictWidth, Bool())) for (i <- PredictWidth - 1 to 0) { io.out.bits.instrValid(i) := (io.s3Taken && i.U <= jmpIdx || !io.s3Taken) && predecode.mask(i) if (i != (PredictWidth - 1)) { diff --git a/src/main/scala/xiangshan/frontend/Ibuffer.scala b/src/main/scala/xiangshan/frontend/Ibuffer.scala index e174959bbb8..1193e75bb9a 100644 --- a/src/main/scala/xiangshan/frontend/Ibuffer.scala +++ b/src/main/scala/xiangshan/frontend/Ibuffer.scala @@ -66,15 +66,17 @@ class Ibuffer extends XSModule { ibuf(enq_idx).hist := io.in.bits.hist(i>>1) // ibuf(enq_idx).btbVictimWay := io.in.bits.btbVictimWay ibuf(enq_idx).btbPredCtr := io.in.bits.predCtr(i>>1) - ibuf(enq_idx).btbHit := io.in.bits.btbHit + ibuf(enq_idx).btbHit := io.in.bits.btbHit(i>>1) ibuf(enq_idx).tageMeta := io.in.bits.tageMeta(i>>1) ibuf(enq_idx).rasSp := io.in.bits.rasSp ibuf(enq_idx).rasTopCtr := io.in.bits.rasTopCtr ibuf_valid(enq_idx) := true.B + XSDebug("Enq: i:%d idx:%d mask:%b instr:%x pc:%x fetchOffset=%d\n", + i.U, enq_idx, io.in.bits.mask(i), Mux(i.U(0), io.in.bits.instrs(i>>1)(31,16), io.in.bits.instrs(i>>1)(15,0)), io.in.bits.pc + ((enq_idx - tail_ptr)<<1).asUInt, ((enq_idx - tail_ptr) << 1).asUInt) } - XSDebug(!(i.U)(0), "Enq: i:%d Idx:%d mask:%b instr:%x pc:%x pnpc:%x\n", - (i/2).U, enq_idx, io.in.bits.mask(i), io.in.bits.instrs(i/2), io.in.bits.pc + (2*i).U, io.in.bits.pnpc(i/2)) + // XSDebug(!(i.U)(0), "Enq: i:%d Idx:%d mask:%b instr:%x pc:%x pnpc:%x\n", + // (i/2).U, enq_idx, io.in.bits.mask(i), io.in.bits.instrs(i/2), io.in.bits.pc + ((enq_idx - tail_ptr)<<1).asUInt, io.in.bits.pnpc(i/2)) enq_idx = enq_idx + io.in.bits.mask(i) } @@ -149,6 +151,7 @@ class Ibuffer extends XSModule { io.out(i).bits.rasTopCtr := ibuf(head_ptr + (i<<1).U).rasTopCtr io.out(i).bits.isRVC := false.B } + XSDebug(deqValid, p"Deq: i:${i.U} valid:${ibuf_valid(deq_idx)} idx=${Decimal(deq_idx)} ${Decimal(deq_idx + 1.U)} instr:${Hexadecimal(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} v=${io.out(i).valid} r=${io.out(i).ready}\n") // When can't deque, deq_idx+0 // when RVC deque, deq_idx+1 @@ -159,8 +162,6 @@ class Ibuffer extends XSModule { (ibuf(deq_idx).inst(1,0) =/= "b11".U) -> 1.U, ibuf_valid(deq_idx + 1.U) -> 2.U )) - - XSDebug(deqValid, p"Deq: i:${i.U} valid:${ibuf_valid(head_ptr + deq_idx)} idx=${Decimal(head_ptr + deq_idx)} instr:${Hexadecimal(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} ${Decimal(head_ptr + deq_idx + 1.U)} v=${io.out(i).valid} r=${io.out(i).ready}\n") } head_ptr := deq_idx @@ -207,6 +208,6 @@ class Ibuffer extends XSModule { // for(i <- 0 until DecodeWidth) { // XSDebug(deqValid, p"${Binary(io.out(i).bits.instr)} PC=${Hexadecimal(io.out(i).bits.pc)} v=${io.out(i).valid} r=${io.out(i).ready}\n") // } - XSDebug(enqValid, p"last_head_ptr=$head_ptr last_tail_ptr=$tail_ptr\n") + XSDebug(p"head_ptr=$head_ptr tail_ptr=$tail_ptr\n") // XSInfo(full, "Queue is full\n") } diff --git a/src/main/scala/xiangshan/frontend/btb.scala b/src/main/scala/xiangshan/frontend/btb.scala index 0aff3e5b7f7..8ccd822ef04 100644 --- a/src/main/scala/xiangshan/frontend/btb.scala +++ b/src/main/scala/xiangshan/frontend/btb.scala @@ -236,6 +236,8 @@ class BTB extends XSModule { XSDebug(fireLatch, "read_resp[b=%d][r=%d]: valid=%d, tag=0x%x, target=0x%x, type=%d, ctr=%d\n", i.U, realRowLatch(i), metaRead(i).valid, metaRead(i).tag, dataRead(i).target, dataRead(i).btbType, dataRead(i).pred) } + XSDebug("out: taken=%d takenIdx=%d tgt=%x notTakens=%b hits=%b isRVILateJump=%d\n", + io.out.taken, io.out.takenIdx, io.out.target, io.out.notTakens.asUInt, io.out.hits.asUInt, io.out.isRVILateJump) XSDebug(fireLatch, "bankIdxInOrder:") for (i <- 0 until BtbBanks){ XSDebug(fireLatch, "%d ", bankIdxInOrder(i))} XSDebug(fireLatch, "\n") diff --git a/src/main/scala/xiangshan/frontend/jbtac.scala b/src/main/scala/xiangshan/frontend/jbtac.scala index 830de2a6954..a48c1a7b980 100644 --- a/src/main/scala/xiangshan/frontend/jbtac.scala +++ b/src/main/scala/xiangshan/frontend/jbtac.scala @@ -140,6 +140,8 @@ class JBTAC extends XSModule { XSDebug(io.in.pc.fire(), "read: pc=0x%x, histXORAddr=0x%x, bank=%d, row=%d, hist=%b\n", io.in.pc.bits, histXORAddr, readBank, readRow, io.in.hist) + XSDebug("out: hit=%d tgt=%x hitIdx=%d iRVILateJump=%d\n", + io.out.hit, io.out.target, io.out.hitIdx, io.out.isRVILateJump) XSDebug(fireLatch, "read_resp: pc=0x%x, bank=%d, row=%d, target=0x%x, offset=%d, hit=%d\n", io.in.pcLatch, readBankLatch, readRowLatch, readEntries(readBankLatch).target, readEntries(readBankLatch).offset, outHit) XSDebug(io.redirectValid, "update_req: fetchPC=0x%x, writeValid=%d, hist=%b, bank=%d, row=%d, target=0x%x, offset=%d, type=0x%d\n", From 12837dd33760af6fab4a0c79306f8b19aa2af759 Mon Sep 17 00:00:00 2001 From: zhanglinjuan Date: Wed, 22 Jul 2020 12:36:47 +0800 Subject: [PATCH 45/56] bpu: fix bug in instrValid of RVI instruction --- src/main/scala/xiangshan/frontend/BPU.scala | 15 ++++++++++----- src/main/scala/xiangshan/frontend/IFU.scala | 2 +- src/main/scala/xiangshan/frontend/jbtac.scala | 6 ++++-- 3 files changed, 15 insertions(+), 8 deletions(-) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index 75d92e595d7..c942abdd6c1 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -152,6 +152,7 @@ class BPUStage1 extends XSModule { val jbtacHit = jbtac.io.out.hit val jbtacTarget = jbtac.io.out.target val jbtacHitIdx = jbtac.io.out.hitIdx + val jbtacIsRVC = jbtac.io.out.isRVC // calculate global history of each instr val firstHist = RegNext(hist) @@ -194,18 +195,22 @@ class BPUStage1 extends XSModule { // redirect based on BTB and JBTAC val takenIdx = LowestBit(brJumpIdx | indirectIdx, PredictWidth) - + // io.out.valid := RegNext(io.in.pc.fire()) && !io.flush // io.s1OutPred.valid := io.out.valid io.s1OutPred.valid := io.out.fire() when (RegNext(io.in.pc.fire())) { io.s1OutPred.bits.redirect := btbTaken || jbtacHit - // io.s1OutPred.bits.instrValid := Mux(!io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump, maskLatch, - // Mux(!btbIsRVCs(OHToUInt(takenIdx)), LowerMask(takenIdx << 1.U, PredictWidth), - // LowerMask(takenIdx, PredictWidth))).asTypeOf(Vec(PredictWidth, Bool())) - io.s1OutPred.bits.instrValid := (maskLatch & Fill(PredictWidth, ~io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump) | + // io.s1OutPred.bits.instrValid := (maskLatch & Fill(PredictWidth, ~io.s1OutPred.bits.redirect || io.s1OutPred.bits.lateJump) | + // PriorityMux(brJumpIdx | indirectIdx, (0 until PredictWidth).map(getInstrValid(_)))).asTypeOf(Vec(PredictWidth, Bool())) + io.s1OutPred.bits.instrValid := (maskLatch & Fill(PredictWidth, ~io.s1OutPred.bits.redirect) | PriorityMux(brJumpIdx | indirectIdx, (0 until PredictWidth).map(getInstrValid(_)))).asTypeOf(Vec(PredictWidth, Bool())) + for (i <- 0 until (PredictWidth - 1)) { + when (!io.s1OutPred.bits.lateJump && (!btbIsRVCs(i) && btbValids(i) && i.U === OHToUInt(brJumpIdx) || !jbtacIsRVC && i.U === OHToUInt(indirectIdx) && jbtacHit)) { + io.s1OutPred.bits.instrValid(i+1) := maskLatch(i+1) + } + } io.s1OutPred.bits.target := Mux(takenIdx === 0.U, pcLatch + (PopCount(maskLatch) << 1.U), Mux(takenIdx === brJumpIdx, btbTakenTarget, jbtacTarget)) io.s1OutPred.bits.lateJump := btb.io.out.isRVILateJump || jbtac.io.out.isRVILateJump (0 until PredictWidth).map(i => io.s1OutPred.bits.hist(i) := firstHist << histShift(i)) diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 7d9e4f7fb55..88b75f667e6 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -186,7 +186,7 @@ class IFU extends XSModule with HasIFUConst bpu.io.in.pc.valid := if1_fire && !if2_btb_lateJump && !if4_tage_lateJump XSDebug("[IF4]if4_valid:%d || if4_pc:0x%x if4_npc:0x%x\n",if4_valid,if4_pc,if4_npc) - XSDebug("[IF4] if4_btb_taken:%d if4_btb_lateJump:%d if2_btb_insMask:%b if4_btb_target:0x%x\n",if4_btb_taken, if4_btb_lateJump, if4_btb_insMask.asUInt, if4_btb_target) + XSDebug("[IF4] if4_btb_taken:%d if4_btb_lateJump:%d if4_btb_insMask:%b if4_btb_target:0x%x\n",if4_btb_taken, if4_btb_lateJump, if4_btb_insMask.asUInt, if4_btb_target) XSDebug("[IF4-TAGE-out]if4_tage_taken:%d if4_tage_lateJump:%d if4_tage_insMask:%b if4_tage_target:0x%x\n",if4_tage_taken,if4_tage_lateJump,if4_tage_insMask.asUInt,if4_tage_target) XSDebug("[IF4-ICACHE-RESP]icacheResp.valid:%d icacheResp.ready:%d\n",io.icacheResp.valid,io.icacheResp.ready) diff --git a/src/main/scala/xiangshan/frontend/jbtac.scala b/src/main/scala/xiangshan/frontend/jbtac.scala index a48c1a7b980..c8597e24583 100644 --- a/src/main/scala/xiangshan/frontend/jbtac.scala +++ b/src/main/scala/xiangshan/frontend/jbtac.scala @@ -22,6 +22,7 @@ class JBTACPred extends XSBundle { val target = UInt(VAddrBits.W) val hitIdx = UInt(log2Up(PredictWidth).W) val isRVILateJump = Bool() + val isRVC = Bool() } class JBTAC extends XSModule { @@ -88,6 +89,7 @@ class JBTAC extends XSModule { io.out.hitIdx := readEntries(readBankLatch).offset io.out.target := readEntries(readBankLatch).target io.out.isRVILateJump := io.out.hit && io.out.hitIdx === OHToUInt(HighestBit(readMaskLatch, PredictWidth)) && !readEntries(readBankLatch).isRVC + io.out.isRVC := readEntries(readBankLatch).isRVC // update jbtac val writeEntry = Wire(jbtacEntry()) @@ -140,8 +142,8 @@ class JBTAC extends XSModule { XSDebug(io.in.pc.fire(), "read: pc=0x%x, histXORAddr=0x%x, bank=%d, row=%d, hist=%b\n", io.in.pc.bits, histXORAddr, readBank, readRow, io.in.hist) - XSDebug("out: hit=%d tgt=%x hitIdx=%d iRVILateJump=%d\n", - io.out.hit, io.out.target, io.out.hitIdx, io.out.isRVILateJump) + XSDebug("out: hit=%d tgt=%x hitIdx=%d iRVILateJump=%d isRVC=%d\n", + io.out.hit, io.out.target, io.out.hitIdx, io.out.isRVILateJump, io.out.isRVC) XSDebug(fireLatch, "read_resp: pc=0x%x, bank=%d, row=%d, target=0x%x, offset=%d, hit=%d\n", io.in.pcLatch, readBankLatch, readRowLatch, readEntries(readBankLatch).target, readEntries(readBankLatch).offset, outHit) XSDebug(io.redirectValid, "update_req: fetchPC=0x%x, writeValid=%d, hist=%b, bank=%d, row=%d, target=0x%x, offset=%d, type=0x%d\n", From 3f7f5fbb8e8ddb75571b477d0c0ed928efe4eb5d Mon Sep 17 00:00:00 2001 From: Zihao Yu Date: Wed, 22 Jul 2020 12:56:02 +0800 Subject: [PATCH 46/56] SoC: use larger MMIO space to access frame buffer --- src/main/scala/system/SoC.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/system/SoC.scala b/src/main/scala/system/SoC.scala index 2b30739db89..a838a2e46a5 100644 --- a/src/main/scala/system/SoC.scala +++ b/src/main/scala/system/SoC.scala @@ -63,7 +63,7 @@ class XSSoc(implicit val p: XSConfig) extends Module with HasSoCParameter { xsCore.io.imem.coh.req.bits := DontCare val addrSpace = List( - (0x40000000L, 0x08000000L), // external devices + (0x40000000L, 0x40000000L), // external devices (0x38000000L, 0x00010000L) // CLINT ) val mmioXbar = Module(new SimpleBusCrossbar1toN(addrSpace)) From 97058324bb7d48f2982219a57c23a792ef82f19d Mon Sep 17 00:00:00 2001 From: Zihao Yu Date: Wed, 22 Jul 2020 13:37:14 +0800 Subject: [PATCH 47/56] emu,vga: use SHOW_SCREEN to enbale SDL GUI --- src/test/csrc/vga.cpp | 6 ++++++ 1 file changed, 6 insertions(+) diff --git a/src/test/csrc/vga.cpp b/src/test/csrc/vga.cpp index d20a5dd4a80..256523f51e0 100644 --- a/src/test/csrc/vga.cpp +++ b/src/test/csrc/vga.cpp @@ -2,6 +2,8 @@ #include +//#define SHOW_SCREEN + #define SCREEN_PORT 0x100 // Note that this is not the standard #define SCREEN_MMIO 0x4100 #define SCREEN_H 600 @@ -20,7 +22,9 @@ extern "C" void put_pixel(uint32_t pixel) { } extern "C" void vmem_sync(void) { +#ifndef SHOW_SCREEN return; +#endif SDL_UpdateTexture(texture, NULL, vmem, SCREEN_W * sizeof(uint32_t)); SDL_RenderClear(renderer); SDL_RenderCopy(renderer, texture, NULL, NULL); @@ -28,7 +32,9 @@ extern "C" void vmem_sync(void) { } void init_sdl() { +#ifndef SHOW_SCREEN return; +#endif SDL_Init(SDL_INIT_VIDEO); SDL_CreateWindowAndRenderer(SCREEN_W, SCREEN_H, 0, &window, &renderer); SDL_SetWindowTitle(window, "NOOP"); From e1d867a0cf77d74dc6b41f3095bcd371eecec6e8 Mon Sep 17 00:00:00 2001 From: GouLingrui Date: Wed, 22 Jul 2020 15:35:04 +0800 Subject: [PATCH 48/56] BPU, IFU, Ibuffer, EXU: fix instrvalid bug in BPU s1, fix bugs related to inst offset --- src/main/scala/xiangshan/backend/exu/AluExeUnit.scala | 2 +- src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala | 2 +- src/main/scala/xiangshan/backend/fu/Jump.scala | 2 +- src/main/scala/xiangshan/frontend/BPU.scala | 2 +- src/main/scala/xiangshan/frontend/IFU.scala | 2 +- src/main/scala/xiangshan/frontend/Ibuffer.scala | 10 +++++----- 6 files changed, 10 insertions(+), 10 deletions(-) diff --git a/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala b/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala index 7676e2a0abb..dd1ffaeef0b 100644 --- a/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/AluExeUnit.scala @@ -67,7 +67,7 @@ class AluExeUnit extends Exu(Exu.aluExeUnitCfg) { io.out.bits.redirect.taken := isBranch && taken io.out.bits.redirect.hist := uop.cf.hist io.out.bits.redirect.tageMeta := uop.cf.tageMeta - io.out.bits.redirect.fetchIdx := uop.cf.fetchOffset >> 2.U //TODO: consider RVC + io.out.bits.redirect.fetchIdx := uop.cf.fetchOffset >> 1.U //TODO: consider RVC // io.out.bits.redirect.btbVictimWay := uop.cf.btbVictimWay io.out.bits.redirect.btbPredCtr := uop.cf.btbPredCtr io.out.bits.redirect.btbHit := uop.cf.btbHit diff --git a/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala b/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala index 75b2ebc011b..169697525c7 100644 --- a/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/JmpExeUnit.scala @@ -48,7 +48,7 @@ class JmpExeUnit(implicit val p: XSConfig) extends Exu(Exu.jmpExeUnitCfg) { csrExuOut.redirect.taken := false.B csrExuOut.redirect.hist := uop.cf.hist csrExuOut.redirect.tageMeta := uop.cf.tageMeta - csrExuOut.redirect.fetchIdx := uop.cf.fetchOffset >> 2.U //TODO: consider RVC + csrExuOut.redirect.fetchIdx := uop.cf.fetchOffset >> 1.U //TODO: consider RVC csrExuOut.redirect.btbPredCtr := uop.cf.btbPredCtr csrExuOut.redirect.btbHit := uop.cf.btbHit csrExuOut.redirect.rasSp := uop.cf.rasSp diff --git a/src/main/scala/xiangshan/backend/fu/Jump.scala b/src/main/scala/xiangshan/backend/fu/Jump.scala index 26d8e04e8dc..8b3d2c9ae33 100644 --- a/src/main/scala/xiangshan/backend/fu/Jump.scala +++ b/src/main/scala/xiangshan/backend/fu/Jump.scala @@ -30,7 +30,7 @@ class Jump extends FunctionUnit(jmpCfg){ io.out.bits.redirect.taken := true.B io.out.bits.redirect.hist := uop.cf.hist io.out.bits.redirect.tageMeta := uop.cf.tageMeta - io.out.bits.redirect.fetchIdx := uop.cf.fetchOffset >> 2.U //TODO: consider RVC + io.out.bits.redirect.fetchIdx := uop.cf.fetchOffset >> 1.U //TODO: consider RVC io.out.bits.redirect.btbPredCtr := uop.cf.btbPredCtr io.out.bits.redirect.btbHit := uop.cf.btbHit io.out.bits.redirect.rasSp := uop.cf.rasSp diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index c942abdd6c1..bd9bebb7efb 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -207,7 +207,7 @@ class BPUStage1 extends XSModule { io.s1OutPred.bits.instrValid := (maskLatch & Fill(PredictWidth, ~io.s1OutPred.bits.redirect) | PriorityMux(brJumpIdx | indirectIdx, (0 until PredictWidth).map(getInstrValid(_)))).asTypeOf(Vec(PredictWidth, Bool())) for (i <- 0 until (PredictWidth - 1)) { - when (!io.s1OutPred.bits.lateJump && (!btbIsRVCs(i) && btbValids(i) && i.U === OHToUInt(brJumpIdx) || !jbtacIsRVC && i.U === OHToUInt(indirectIdx) && jbtacHit)) { + when (!io.s1OutPred.bits.lateJump && (1.U << i) === takenIdx && (!btbIsRVCs(i) && btbValids(i) || !jbtacIsRVC && (1.U << i) === indirectIdx)) { io.s1OutPred.bits.instrValid(i+1) := maskLatch(i+1) } } diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 88b75f667e6..c13ddd58105 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -272,7 +272,7 @@ class IFU extends XSModule with HasIFUConst bpu.io.predecode.valid := io.icacheResp.fire() && if4_valid bpu.io.predecode.bits <> io.icacheResp.bits.predecode //TODO: consider RVC && consider cross cacheline fetch - bpu.io.predecode.bits.mask := Fill(FetchWidth, 1.U(1.W)) + bpu.io.predecode.bits.mask := Fill(FetchWidth*2, 1.U(1.W)) bpu.io.predecode.bits.isRVC := 0.U.asTypeOf(Vec(FetchWidth*2, Bool())) bpu.io.redirectInfo := io.redirectInfo io.icacheResp.ready := io.fetchPacket.ready && (GTimer() > 500.U) diff --git a/src/main/scala/xiangshan/frontend/Ibuffer.scala b/src/main/scala/xiangshan/frontend/Ibuffer.scala index 1193e75bb9a..63187b93476 100644 --- a/src/main/scala/xiangshan/frontend/Ibuffer.scala +++ b/src/main/scala/xiangshan/frontend/Ibuffer.scala @@ -61,13 +61,13 @@ class Ibuffer extends XSModule { when(io.in.bits.mask(i)) { ibuf(enq_idx).inst := Mux(i.U(0), io.in.bits.instrs(i>>1)(31,16), io.in.bits.instrs(i>>1)(15,0)) ibuf(enq_idx).pc := io.in.bits.pc + ((enq_idx - tail_ptr)<<1).asUInt - ibuf(enq_idx).pnpc := io.in.bits.pnpc(i>>1) + ibuf(enq_idx).pnpc := io.in.bits.pnpc(i) ibuf(enq_idx).fetchOffset := ((enq_idx - tail_ptr) << 1).asUInt - ibuf(enq_idx).hist := io.in.bits.hist(i>>1) + ibuf(enq_idx).hist := io.in.bits.hist(i) // ibuf(enq_idx).btbVictimWay := io.in.bits.btbVictimWay - ibuf(enq_idx).btbPredCtr := io.in.bits.predCtr(i>>1) - ibuf(enq_idx).btbHit := io.in.bits.btbHit(i>>1) - ibuf(enq_idx).tageMeta := io.in.bits.tageMeta(i>>1) + ibuf(enq_idx).btbPredCtr := io.in.bits.predCtr(i) + ibuf(enq_idx).btbHit := io.in.bits.btbHit(i) + ibuf(enq_idx).tageMeta := io.in.bits.tageMeta(i) ibuf(enq_idx).rasSp := io.in.bits.rasSp ibuf(enq_idx).rasTopCtr := io.in.bits.rasTopCtr ibuf_valid(enq_idx) := true.B From 0c96de668af8811ce5bb1c3fce7414894041f93e Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Wed, 22 Jul 2020 20:10:57 +0800 Subject: [PATCH 49/56] lsu: fix store retire --- src/main/scala/xiangshan/backend/exu/LsExeUnit.scala | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala index e466cfb9975..4081f144a12 100644 --- a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala @@ -116,7 +116,7 @@ class LsExeUnit extends Exu(Exu.lsuExeUnitCfg){ )) // pop store queue if insts have been commited and dmem req fired successfully - val storeFinish = retiringStore && state === s_partialLoad + val storeFinish = retiringStore && dmem.resp.fire()//state === s_partialLoad val stqDequeue = storeFinish || !stqValid(stqTail) && stqHead > 0.U when(stqDequeue){ stqValid(stqTail) := false.B @@ -129,7 +129,7 @@ class LsExeUnit extends Exu(Exu.lsuExeUnitCfg){ // if store, add it to store queue val stqEnqueue = validIn && isStoreIn && !stqFull && !retiringStore && !io.redirect.valid when(stqEnqueue){ - stqPtr(stqHead) := emptySlot + stqPtr(stqHead - stqDequeue) := emptySlot stqData(emptySlot).src1 := src1In stqData(emptySlot).src2 := src2In stqData(emptySlot).addr := src1In + src2In From a83da9eb6a2fb453cd2260ae7fd802151a278f52 Mon Sep 17 00:00:00 2001 From: William Wang Date: Wed, 22 Jul 2020 21:55:53 +0800 Subject: [PATCH 50/56] Lsu: fix store retire logic --- src/main/scala/xiangshan/backend/exu/LsExeUnit.scala | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala index 4081f144a12..b8016683407 100644 --- a/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala +++ b/src/main/scala/xiangshan/backend/exu/LsExeUnit.scala @@ -127,7 +127,7 @@ class LsExeUnit extends Exu(Exu.lsuExeUnitCfg){ } // if store, add it to store queue - val stqEnqueue = validIn && isStoreIn && !stqFull && !retiringStore && !io.redirect.valid + val stqEnqueue = validIn && isStoreIn && !stqFull && !retiringStore && !io.redirect.valid && state === s_idle when(stqEnqueue){ stqPtr(stqHead - stqDequeue) := emptySlot stqData(emptySlot).src1 := src1In @@ -144,8 +144,7 @@ class LsExeUnit extends Exu(Exu.lsuExeUnitCfg){ // have to say it seems better to rebuild FSM instead of using such ugly wrapper val needRetireStore = stqCommited > 0.U && stqValid(stqTail) when( - needRetireStore && !retiringStore && state === s_idle && !io.in.valid || - needRetireStore && !retiringStore && io.in.valid && isStoreIn + needRetireStore && !retiringStore && state === s_idle && (!io.in.valid || isStoreIn) ){ retiringStore := true.B } From f18dcc7cd9ea1e61fed111a0bdfbf8d3026c0b37 Mon Sep 17 00:00:00 2001 From: GouLingrui Date: Wed, 22 Jul 2020 23:16:44 +0800 Subject: [PATCH 51/56] MUL: fix flush logic BPU: fix s3 instrValid and s1 valid --- .../xiangshan/backend/fu/Multiplier.scala | 2 +- src/main/scala/xiangshan/frontend/BPU.scala | 22 ++++++++----- src/main/scala/xiangshan/frontend/IFU.scala | 2 +- src/main/scala/xiangshan/frontend/Tage.scala | 33 +++++++++++-------- 4 files changed, 35 insertions(+), 24 deletions(-) diff --git a/src/main/scala/xiangshan/backend/fu/Multiplier.scala b/src/main/scala/xiangshan/backend/fu/Multiplier.scala index 925acdf2301..aaca4ca78f7 100644 --- a/src/main/scala/xiangshan/backend/fu/Multiplier.scala +++ b/src/main/scala/xiangshan/backend/fu/Multiplier.scala @@ -48,7 +48,7 @@ trait HasPipelineReg { this: ArrayMultiplier => } for(i <- 1 to latency){ - when(flushVec(i) || rdyVec(i) && !validVec(i-1)){ + when(flushVec(i-1) || rdyVec(i) && !validVec(i-1)){ validVec(i) := false.B }.elsewhen(rdyVec(i-1) && validVec(i-1) && !flushVec(i-1)){ validVec(i) := validVec(i-1) diff --git a/src/main/scala/xiangshan/frontend/BPU.scala b/src/main/scala/xiangshan/frontend/BPU.scala index bd9bebb7efb..0ecfb76e5d2 100644 --- a/src/main/scala/xiangshan/frontend/BPU.scala +++ b/src/main/scala/xiangshan/frontend/BPU.scala @@ -32,8 +32,8 @@ class Stage1To2IO extends XSBundle { val target = Output(UInt(VAddrBits.W)) } val tage = new Bundle { - val hits = Output(UInt(FetchWidth.W)) - val takens = Output(Vec(FetchWidth, Bool())) + val hits = Output(UInt(PredictWidth.W)) + val takens = Output(Vec(PredictWidth, Bool())) } val hist = Output(Vec(PredictWidth, UInt(HistoryLength.W))) val btbPred = ValidIO(new BranchPrediction) @@ -63,7 +63,7 @@ class BPUStage1 extends XSModule { val s1Valid = RegInit(false.B) when (io.flush) { - s1Valid := false.B + s1Valid := true.B }.elsewhen (io.in.pc.fire()) { s1Valid := true.B }.elsewhen (io.out.fire()) { @@ -87,7 +87,7 @@ class BPUStage1 extends XSModule { tage.io.req.bits.pc := io.in.pc.bits tage.io.req.bits.hist := hist tage.io.redirectInfo <> io.redirectInfo - // io.s1OutPred.bits.tageMeta := tage.io.meta + io.s1OutPred.bits.tageMeta := tage.io.meta // latch pc for 1 cycle latency when reading SRAM val pcLatch = RegEnable(io.in.pc.bits, io.in.pc.fire()) @@ -217,7 +217,7 @@ class BPUStage1 extends XSModule { // io.s1OutPred.bits.btbVictimWay := btbWriteWay io.s1OutPred.bits.predCtr := btbCtrs io.s1OutPred.bits.btbHit := btbValids - io.s1OutPred.bits.tageMeta := DontCare // TODO: enableBPD + io.s1OutPred.bits.tageMeta := tage.io.meta // TODO: enableBPD io.s1OutPred.bits.rasSp := DontCare io.s1OutPred.bits.rasTopCtr := DontCare }.otherwise { @@ -344,11 +344,17 @@ class BPUStage3 extends XSModule { // get the first taken branch/jal/call/jalr/ret in a fetch line // brNotTakenIdx indicates all the not-taken branches before the first jump instruction + val tageHits = inLatch.tage.hits + val tageTakens = inLatch.tage.takens + val btbTakens = inLatch.btbPred.bits.predCtr val brs = inLatch.btb.hits & Reverse(Cat(predecode.fuOpTypes.map { t => ALUOpType.isBranch(t) }).asUInt) & predecode.mask // val brTakens = brs & inLatch.tage.takens.asUInt val brTakens = if (EnableBPD) { - brs & Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt) + // If tage hits, use tage takens, otherwise keep btbpreds + // brs & Reverse(Cat(inLatch.tage.takens.map {t => Fill(2, t.asUInt)}).asUInt) + XSDebug("tageHits=%b, tageTakens=%b\n", tageHits, tageTakens.asUInt) + brs & Reverse(Cat((0 until PredictWidth).map(i => Mux(tageHits(i), tageTakens(i), btbTakens(i)(1))))) } else { brs & Reverse(Cat(inLatch.btbPred.bits.predCtr.map {c => c(1)}).asUInt) } @@ -369,7 +375,7 @@ class BPUStage3 extends XSModule { // val brNotTakens = VecInit((0 until PredictWidth).map(i => brs(i) && ~inLatch.tage.takens(i) && i.U <= jmpIdx && io.predecode.bits.mask(i))) val brNotTakens = if (EnableBPD) { - VecInit((0 until PredictWidth).map(i => brs(i) && i.U <= jmpIdx && ~inLatch.tage.takens(i>>1) && predecode.mask(i))) + VecInit((0 until PredictWidth).map(i => brs(i) && i.U <= jmpIdx && Mux(tageHits(i), ~tageTakens(i), ~btbTakens(i)(1)) && predecode.mask(i))) } else { VecInit((0 until PredictWidth).map(i => brs(i) && i.U <= jmpIdx && ~inLatch.btbPred.bits.predCtr(i)(1) && predecode.mask(i))) } @@ -422,7 +428,7 @@ class BPUStage3 extends XSModule { for (i <- PredictWidth - 1 to 0) { io.out.bits.instrValid(i) := (io.s3Taken && i.U <= jmpIdx || !io.s3Taken) && predecode.mask(i) if (i != (PredictWidth - 1)) { - when (!lateJump && !predecode.isRVC(i)) { + when (!lateJump && !predecode.isRVC(i) && io.s3Taken && i.U <= jmpIdx) { io.out.bits.instrValid(i+1) := predecode.mask(i+1) } } diff --git a/src/main/scala/xiangshan/frontend/IFU.scala b/src/main/scala/xiangshan/frontend/IFU.scala index 78c0f38957b..b9e3b46ee67 100644 --- a/src/main/scala/xiangshan/frontend/IFU.scala +++ b/src/main/scala/xiangshan/frontend/IFU.scala @@ -207,7 +207,7 @@ class IFU extends XSModule with HasIFUConst // else {needflush := io.redirectInfo.flush()} needflush := (if4_valid && if4_tage_taken && io.icacheResp.fire()) || io.redirectInfo.flush() when(needflush){ - if2_valid := false.B + // if2_valid := false.B if3_valid := false.B if4_valid := false.B } diff --git a/src/main/scala/xiangshan/frontend/Tage.scala b/src/main/scala/xiangshan/frontend/Tage.scala index 6a4aff95e21..a8a324dc707 100644 --- a/src/main/scala/xiangshan/frontend/Tage.scala +++ b/src/main/scala/xiangshan/frontend/Tage.scala @@ -17,7 +17,7 @@ trait HasTageParameter { ( 128, 64, 9)) val TageNTables = TableInfo.size val UBitPeriod = 2048 - val BankWidth = 8 // FetchWidth + val BankWidth = 16 // FetchWidth val TotalBits = TableInfo.map { case (s, h, t) => { @@ -107,7 +107,7 @@ class TageTable(val nRows: Int, val histLen: Int, val tagLen: Int, val uBitPerio val tageEntrySz = 1 + tagLen + 3 - val (hashed_idx, tag) = compute_tag_and_hash(io.req.bits.pc >> (2 + log2Ceil(FetchWidth)), io.req.bits.hist) + val (hashed_idx, tag) = compute_tag_and_hash(io.req.bits.pc, io.req.bits.hist) val hi_us = List.fill(BankWidth)(Module(new SRAMTemplate(Bool(), set=nRows, shouldReset=false, holdRead=true, singlePort=false))) val lo_us = List.fill(BankWidth)(Module(new SRAMTemplate(Bool(), set=nRows, shouldReset=false, holdRead=true, singlePort=false))) @@ -220,6 +220,10 @@ class TageTable(val nRows: Int, val histLen: Int, val tagLen: Int, val uBitPerio wrbypass_enq_idx := (wrbypass_enq_idx + 1.U)(log2Ceil(wrBypassEntries)-1,0) } } + XSDebug(io.req.valid, "tableReq: pc=0x%x, hist=%b, idx=%d, tag=%x\n", io.req.bits.pc, io.req.bits.hist, hashed_idx, tag) + for (i <- 0 until BankWidth) { + XSDebug(RegNext(io.req.valid), "TageTableResp[%d]: idx=%d, hit:%d, ctr:%d, u:%d\n", i.U, RegNext(hashed_idx), req_rhits(i), table_r(i).ctr, Cat(hi_us_r(i),lo_us_r(i)).asUInt) + } } @@ -227,14 +231,14 @@ class FakeTAGE extends TageModule { val io = IO(new Bundle() { val req = Input(Valid(new TageReq)) val out = new Bundle { - val hits = Output(UInt(FetchWidth.W)) - val takens = Output(Vec(FetchWidth, Bool())) + val hits = Output(UInt(BankWidth.W)) + val takens = Output(Vec(BankWidth, Bool())) } - val meta = Output(Vec(FetchWidth, (new TageMeta))) + val meta = Output(Vec(BankWidth, (new TageMeta))) val redirectInfo = Input(new RedirectInfo) }) - io.out.hits := 0.U(FetchWidth.W) + io.out.hits := 0.U(BankWidth.W) io.out.takens := DontCare io.meta := DontCare } @@ -244,10 +248,10 @@ class Tage extends TageModule { val io = IO(new Bundle() { val req = Input(Valid(new TageReq)) val out = new Bundle { - val hits = Output(UInt(FetchWidth.W)) - val takens = Output(Vec(FetchWidth, Bool())) + val hits = Output(UInt(BankWidth.W)) + val takens = Output(Vec(BankWidth, Bool())) } - val meta = Output(Vec(FetchWidth, (new TageMeta))) + val meta = Output(Vec(BankWidth, (new TageMeta))) val redirectInfo = Input(new RedirectInfo) }) @@ -262,7 +266,7 @@ class Tage extends TageModule { val updateMeta = io.redirectInfo.redirect.tageMeta //val updateMisPred = UIntToOH(io.redirectInfo.redirect.fetchIdx) & - // Fill(FetchWidth, (io.redirectInfo.misPred && io.redirectInfo.redirect.btbType === BTBtype.B).asUInt) + // Fill(BankWidth, (io.redirectInfo.misPred && io.redirectInfo.redirect.btbType === BTBtype.B).asUInt) val updateMisPred = io.redirectInfo.misPred && io.redirectInfo.redirect.btbType === BTBtype.B val updateMask = WireInit(0.U.asTypeOf(Vec(TageNTables, Vec(BankWidth, Bool())))) @@ -277,7 +281,7 @@ class Tage extends TageModule { updateU := DontCare // access tag tables and output meta info - val outHits = Wire(Vec(FetchWidth, Bool())) + val outHits = Wire(Vec(BankWidth, Bool())) for (w <- 0 until BankWidth) { var altPred = false.B val finalAltPred = WireInit(false.B) @@ -368,14 +372,15 @@ class Tage extends TageModule { tables(i).io.update.u(w) := updateU(i)(w) } // use fetch pc instead of instruction pc - tables(i).io.update.pc := io.redirectInfo.redirect.pc - (io.redirectInfo.redirect.fetchIdx << 2.U) + tables(i).io.update.pc := io.redirectInfo.redirect.pc - (io.redirectInfo.redirect.fetchIdx << 1.U) tables(i).io.update.hist := io.redirectInfo.redirect.hist } io.out.hits := outHits.asUInt - XSDebug(io.req.valid, "req: pc=0x%x, hist=%b\n", io.req.bits.pc, io.req.bits.hist) + val m = updateMeta + XSDebug(io.req.valid, "req: pc=0x%x, hist=%b\n", io.req.bits.pc, io.req.bits.hist) XSDebug(io.redirectInfo.valid, "redirect: provider(%d):%d, altDiffers:%d, providerU:%d, providerCtr:%d, allocate(%d):%d\n", m.provider.valid, m.provider.bits, m.altDiffers, m.providerU, m.providerCtr, m.allocate.valid, m.allocate.bits) - + XSDebug(RegNext(io.req.valid), "resp: pc=%x, outHits=%b, takens=%b\n", RegNext(io.req.bits.pc), io.out.hits, io.out.takens.asUInt) } \ No newline at end of file From 7f3230c6ed5b2e41fd3f606d372363c80e72ba1e Mon Sep 17 00:00:00 2001 From: Steve Gou Date: Wed, 22 Jul 2020 23:23:09 +0800 Subject: [PATCH 52/56] Delete 1 --- debug/1 | 178138 ----------------------------------------------------- 1 file changed, 178138 deletions(-) delete mode 100644 debug/1 diff --git a/debug/1 b/debug/1 deleted file mode 100644 index f9e47f2d7c3..00000000000 --- a/debug/1 +++ /dev/null @@ -1,178138 +0,0 @@ -make[1]: Entering directory '/home/glr/nexus-am/tests/bputest' -# Building bputest [riscv64-noop] with AM_HOME {/home/glr/nexus-am} -make[2]: Entering directory '/home/glr/nexus-am' -make[3]: Entering directory '/home/glr/nexus-am/am' -# Building lib-am [riscv64-noop] -+ AS src/nemu/common/mainargs.S -+ AR -> build/am-riscv64-noop.a -make[3]: Leaving directory '/home/glr/nexus-am/am' -make[2]: Leaving directory '/home/glr/nexus-am' -make[2]: Entering directory '/home/glr/nexus-am/libs/klib' -# Building lib-klib [riscv64-noop] -make[2]: Leaving directory '/home/glr/nexus-am/libs/klib' -# Creating binary image [riscv64-noop] -+ LD -> build/bputest-riscv64-noop.elf -+ OBJCOPY -> build/bputest-riscv64-noop.bin -make -C /home/glr/XiangShan emu IMAGE="/home/glr/nexus-am/tests/bputest/build/bputest-riscv64-noop.bin" DATAWIDTH=64 -make[2]: Entering directory '/home/glr/XiangShan' -make -C /home/glr/NEMU ISA=riscv64 SHARE=1 -make[3]: Entering directory '/home/glr/NEMU' -Building riscv64-nemu-interpreter -make[3]: Nothing to be done for 'app'. -make[3]: Leaving directory '/home/glr/NEMU' -CPPFLAGS=-DREF_SO=\\\"/home/glr/NEMU/build/riscv64-nemu-interpreter-so\\\" make VM_PARALLEL_BUILDS=1 -C ./build/emu-compile/ -f /home/glr/XiangShan/build/emu-compile/VXSSimTop.mk -make[3]: Entering directory '/home/glr/XiangShan/build/emu-compile' -make[3]: Nothing to be done for 'default'. -make[3]: Leaving directory '/home/glr/XiangShan/build/emu-compile' -[DEBUG][time= 0] Tage: req: pc=0x0080000000, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 0] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 0] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 0] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 0] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 0] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 0] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 0] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 0] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 0] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 0] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 0] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 0] BPUStage2: in:(0 1) pc=2805ca8f70 out:(0 1) pc=0000000000 -[DEBUG][time= 0] BPUStage2: validLatch=0 pc=0000000000 -[DEBUG][time= 0] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 0] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 0] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 0] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 0] BPUStage1: in:(1 1) pc=0080000000 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 0] BPUStage1: outPred:(0) pc=0x2805ca8f70, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 0] BPUStage3: flushS3=0 -[DEBUG][time= 0] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 0] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 0] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 0] JBTAC: read: pc=0x0080000000, histXORAddr=0x0080000000, bank=0, row= 0, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 0] BTB: read: pc=0x0080000000, baseBank= 0, realMask=1111111111111111 -[INFO ][time= 0] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 0] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 0] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 0] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 0] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 0] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 0] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 0] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 0] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 0] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 0] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 0] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 0] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 0] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 0] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 0] Dispatch2: regfile 0 from 3 -[DEBUG][time= 0] Dispatch2: regfile 1 from 3 -[DEBUG][time= 0] Dispatch2: regfile 2 from 0 -[DEBUG][time= 0] Dispatch2: regfile 3 from 0 -[DEBUG][time= 0] Dispatch2: regfile 4 from 0 -[DEBUG][time= 0] Dispatch2: regfile 5 from 0 -[DEBUG][time= 0] Dispatch2: regfile 6 from 0 -[DEBUG][time= 0] Dispatch2: regfile 7 from 0 -[DEBUG][time= 0] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 0] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 0] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 0] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 0] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 0] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 0] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 0] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 0] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 0] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 0] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 0] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 0] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 0] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 0] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 0] Roq: CSR block should only happen in s_idle -[DEBUG][time= 0] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 0] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 0] Roq: -------------------------------- -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 0] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 0] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 0] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 0] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 0] FakeCache: [ICache-Stage1] s1_valid:0 || s2_ready:1 || s1_pc: 2147483648 -[DEBUG][time= 0] FakeCache: [Stage1_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 0] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:0 -[DEBUG][time= 0] FakeCache: [Stage2_data] instr1:0x00000000 instr2:0x00000000 -[DEBUG][time= 0] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 0] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 0] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 0] IFU: RESET.... -[DEBUG][time= 0] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000000 || if1_pcUpdate:1 if1_pc:0x0080000000 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 0] IFU: [IF2]if2_valid:0 || if2_pc:0x0080000000 || if3_ready:1 -[DEBUG][time= 0] IFU: [IF2-Icache-Req] icache_in_valid:0 icache_in_ready:1 -[DEBUG][time= 0] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 0] IFU: [IF3]if3_valid:0 || if3_pc:0x0738825e83 if3_npc:0x0738825ea3 || if4_ready:0 -[DEBUG][time= 0] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 0] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0000000020 -[DEBUG][time= 0] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 0] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 0] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 0] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 0] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 0] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 0] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 0] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 0] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 0] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 0] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 0] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 0] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 0] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 0] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 0] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 0] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 0] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 0] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 0] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 0] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 0] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 0] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 0] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 0] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 0] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 0] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 0] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 0] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 0] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 0] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 0] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 0] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 0] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 0] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 0] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 0] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 0] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 0] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 0] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 0] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 0] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 0] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 0] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 0] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 0] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 0] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 0] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 0] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 0] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 0] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 0] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 0] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 0] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 0] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 0] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 0] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 0] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 0] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 0] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 0] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 0] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 0] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 0] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 0] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 0] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 0] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 0] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 0] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 0] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 0] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 0] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 0] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 0] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 0] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 0] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 0] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 0] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 0] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 0] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 0] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 0] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 0] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 0] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 0] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 0] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 0] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 0] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 0] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 0] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 0] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 0] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 0] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 0] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 0] Brq: ---------------- -[DEBUG][time= 0] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 0] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 0] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 0] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 0] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 0] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 1] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 1] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 1] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 1] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 1] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 1] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 1] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 1] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 1] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 1] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 1] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 1] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 1] BPUStage2: in:(1 1) pc=0080000000 out:(0 1) pc=0000000000 -[DEBUG][time= 1] BPUStage2: validLatch=0 pc=0000000000 -[DEBUG][time= 1] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 1] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 1] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 1] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 1] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 1] BPUStage1: outPred:(1) pc=0x0080000000, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 1] BPUStage3: flushS3=0 -[DEBUG][time= 1] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 1] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 1] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 1] JBTAC: read: pc=0x0080000020, histXORAddr=0x0080000020, bank=0, row= 2, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 1] JBTAC: read_resp: pc=0x0080000000, bank=0, row= 0, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 1] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 1] BTB: read_resp: pc=0x0080000000, readIdx= 0------------------------------- -[DEBUG][time= 1] BTB: read_resp[b=0][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=1][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=2][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=3][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=4][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=5][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=6][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=7][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b= 8][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b= 9][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=10][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=11][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=12][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=13][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=14][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: read_resp[b=15][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 1] BTB: bankIdxInOrder:[DEBUG][time= 1] BTB: 0 [DEBUG][time= 1] BTB: 1 [DEBUG][time= 1] BTB: 2 [DEBUG][time= 1] BTB: 3 [DEBUG][time= 1] BTB: 4 [DEBUG][time= 1] BTB: 5 [DEBUG][time= 1] BTB: 6 [DEBUG][time= 1] BTB: 7 [DEBUG][time= 1] BTB: 8 [DEBUG][time= 1] BTB: 9 [DEBUG][time= 1] BTB: 10 [DEBUG][time= 1] BTB: 11 [DEBUG][time= 1] BTB: 12 [DEBUG][time= 1] BTB: 13 [DEBUG][time= 1] BTB: 14 [DEBUG][time= 1] BTB: 15 [DEBUG][time= 1] BTB: -[INFO ][time= 1] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 1] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 1] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 1] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 1] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 1] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 1] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 1] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 1] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 1] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 1] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 1] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 1] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 1] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 1] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 1] Dispatch2: regfile 0 from 3 -[DEBUG][time= 1] Dispatch2: regfile 1 from 3 -[DEBUG][time= 1] Dispatch2: regfile 2 from 0 -[DEBUG][time= 1] Dispatch2: regfile 3 from 0 -[DEBUG][time= 1] Dispatch2: regfile 4 from 0 -[DEBUG][time= 1] Dispatch2: regfile 5 from 0 -[DEBUG][time= 1] Dispatch2: regfile 6 from 0 -[DEBUG][time= 1] Dispatch2: regfile 7 from 0 -[DEBUG][time= 1] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 1] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 1] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 1] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 1] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 1] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 1] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 1] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 1] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 1] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 1] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 1] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 1] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 1] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 1] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 1] Roq: CSR block should only happen in s_idle -[DEBUG][time= 1] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 1] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 1] Roq: -------------------------------- -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 1] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 1] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 1] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 1] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 1] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483648------> s1 fire!!! -[DEBUG][time= 1] FakeCache: [Stage1_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 1] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:0 -[DEBUG][time= 1] FakeCache: [Stage2_data] instr1:0x00000000 instr2:0x00000000 -[DEBUG][time= 1] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 1] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 1] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 1] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x0080000000 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 1] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000000 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 1] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 1] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 1] IFU: [IF3]if3_valid:0 || if3_pc:0x0738825e83 if3_npc:0x0738825ea3 || if4_ready:0 -[DEBUG][time= 1] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 1] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0000000020 -[DEBUG][time= 1] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 1] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 1] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 1] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 1] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 1] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 1] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 1] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 1] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 1] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 1] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 1] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 1] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 1] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 1] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 1] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 1] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 1] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 1] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 1] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 1] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 1] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 1] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 1] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 1] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 1] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 1] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 1] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 1] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 1] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 1] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 1] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 1] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 1] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 1] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 1] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 1] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 1] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 1] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 1] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 1] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 1] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 1] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 1] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 1] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 1] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 1] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 1] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 1] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 1] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 1] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 1] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 1] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 1] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 1] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 1] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 1] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 1] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 1] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 1] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 1] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 1] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 1] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 1] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 1] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 1] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 1] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 1] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 1] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 1] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 1] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 1] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 1] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 1] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 1] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 1] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 1] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 1] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 1] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 1] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 1] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 1] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 1] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 1] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 1] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 1] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 1] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 1] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 1] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 1] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 1] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 1] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 1] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 1] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 1] Brq: ---------------- -[DEBUG][time= 1] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 1] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 1] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 1] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 1] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 1] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 2] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 2] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 2] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 2] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 2] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 2] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 2] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 2] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 2] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 2] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 2] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 2] BPUStage2: in:(1 1) pc=0080000020 out:(1 1) pc=0080000000 -[DEBUG][time= 2] BPUStage2: validLatch=1 pc=0080000000 -[DEBUG][time= 2] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 2] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 2] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 2] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 2] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 2] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 2] BPUStage3: [RAS]:pc=0x0080000000, rasWritePosition= 1, rasWriteAddr=0x0000000020 -[DEBUG][time= 2] BPUStage3: in:(1 1) pc=0080000000 -[DEBUG][time= 2] BPUStage3: flushS3=0 -[DEBUG][time= 2] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 2] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 2] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 2] JBTAC: read_resp: pc=0x0080000020, bank=0, row= 2, target=0x2699137524, offset= 4, hit=0 -[DEBUG][time= 2] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 2] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 2] BTB: bankIdxInOrder:[DEBUG][time= 2] BTB: 0 [DEBUG][time= 2] BTB: 1 [DEBUG][time= 2] BTB: 2 [DEBUG][time= 2] BTB: 3 [DEBUG][time= 2] BTB: 4 [DEBUG][time= 2] BTB: 5 [DEBUG][time= 2] BTB: 6 [DEBUG][time= 2] BTB: 7 [DEBUG][time= 2] BTB: 8 [DEBUG][time= 2] BTB: 9 [DEBUG][time= 2] BTB: 10 [DEBUG][time= 2] BTB: 11 [DEBUG][time= 2] BTB: 12 [DEBUG][time= 2] BTB: 13 [DEBUG][time= 2] BTB: 14 [DEBUG][time= 2] BTB: 15 [DEBUG][time= 2] BTB: -[INFO ][time= 2] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 2] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 2] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 2] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 2] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 2] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 2] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 2] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 2] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 2] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 2] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 2] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 2] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 2] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 2] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 2] Dispatch2: regfile 0 from 3 -[DEBUG][time= 2] Dispatch2: regfile 1 from 3 -[DEBUG][time= 2] Dispatch2: regfile 2 from 0 -[DEBUG][time= 2] Dispatch2: regfile 3 from 0 -[DEBUG][time= 2] Dispatch2: regfile 4 from 0 -[DEBUG][time= 2] Dispatch2: regfile 5 from 0 -[DEBUG][time= 2] Dispatch2: regfile 6 from 0 -[DEBUG][time= 2] Dispatch2: regfile 7 from 0 -[DEBUG][time= 2] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 2] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 2] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 2] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 2] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 2] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 2] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 2] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 2] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 2] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 2] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 2] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 2] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 2] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 2] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 2] Roq: CSR block should only happen in s_idle -[DEBUG][time= 2] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 2] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 2] Roq: -------------------------------- -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 2] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 2] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 2] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 2] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 2] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 2] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 2] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 2] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 2] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 2] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 2] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 2] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 2] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 2] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 2] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 2] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 2] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 2] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0000000020 -[DEBUG][time= 2] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 2] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 2] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 2] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 2] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 2] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 2] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 2] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 2] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 2] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 2] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 2] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 2] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 2] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 2] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 2] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 2] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 2] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 2] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 2] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 2] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 2] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 2] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 2] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 2] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 2] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 2] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 2] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 2] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 2] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 2] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 2] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 2] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 2] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 2] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 2] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 2] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 2] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 2] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 2] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 2] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 2] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 2] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 2] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 2] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 2] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 2] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 2] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 2] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 2] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 2] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 2] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 2] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 2] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 2] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 2] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 2] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 2] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 2] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 2] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 2] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 2] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 2] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 2] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 2] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 2] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 2] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 2] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 2] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 2] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 2] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 2] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 2] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 2] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 2] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 2] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 2] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 2] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 2] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 2] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 2] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 2] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 2] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 2] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 2] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 2] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 2] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 2] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 2] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 2] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 2] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 2] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 2] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 2] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 2] Brq: ---------------- -[DEBUG][time= 2] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 2] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 2] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 2] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 2] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 2] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 3] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 3] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 3] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 3] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 3] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 3] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 3] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 3] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 3] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 3] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 3] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 3] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 3] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 3] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 3] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 3] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 3] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 3] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 3] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 3] BPUStage3: flushS3=0 -[DEBUG][time= 3] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 3] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 3] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 3] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 3] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 3] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 3] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 3] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 3] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 3] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 3] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 3] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 3] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 3] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 3] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 3] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 3] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 3] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 3] Dispatch2: regfile 0 from 3 -[DEBUG][time= 3] Dispatch2: regfile 1 from 3 -[DEBUG][time= 3] Dispatch2: regfile 2 from 0 -[DEBUG][time= 3] Dispatch2: regfile 3 from 0 -[DEBUG][time= 3] Dispatch2: regfile 4 from 0 -[DEBUG][time= 3] Dispatch2: regfile 5 from 0 -[DEBUG][time= 3] Dispatch2: regfile 6 from 0 -[DEBUG][time= 3] Dispatch2: regfile 7 from 0 -[DEBUG][time= 3] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 3] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 3] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 3] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 3] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 3] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 3] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 3] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 3] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 3] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 3] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 3] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 3] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 3] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 3] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 3] Roq: CSR block should only happen in s_idle -[DEBUG][time= 3] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 3] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 3] Roq: -------------------------------- -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 3] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 3] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 3] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 3] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 3] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 3] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 3] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 3] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 3] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 3] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 3] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 3] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 3] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 3] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 3] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 3] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 3] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 3] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 3] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 3] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 3] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 3] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 3] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 3] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 3] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 3] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 3] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 3] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 3] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 3] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 3] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 3] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 3] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 3] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 3] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 3] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 3] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 3] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 3] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 3] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 3] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 3] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 3] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 3] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 3] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 3] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 3] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 3] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 3] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 3] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 3] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 3] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 3] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 3] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 3] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 3] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 3] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 3] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 3] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 3] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 3] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 3] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 3] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 3] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 3] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 3] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 3] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 3] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 3] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 3] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 3] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 3] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 3] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 3] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 3] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 3] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 3] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 3] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 3] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 3] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 3] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 3] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 3] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 3] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 3] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 3] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 3] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 3] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 3] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 3] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 3] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 3] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 3] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 3] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 3] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 3] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 3] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 3] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 3] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 3] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 3] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 3] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 3] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 3] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 3] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 3] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 3] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 3] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 3] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 3] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 3] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 3] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 3] Brq: ---------------- -[DEBUG][time= 3] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 3] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 3] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 3] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 3] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 3] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 4] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 4] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 4] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 4] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 4] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 4] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 4] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 4] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 4] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 4] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 4] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 4] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 4] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 4] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 4] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 4] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 4] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 4] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 4] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 4] BPUStage3: flushS3=0 -[DEBUG][time= 4] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 4] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 4] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 4] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 4] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 4] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 4] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 4] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 4] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 4] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 4] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 4] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 4] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 4] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 4] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 4] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 4] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 4] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 4] Dispatch2: regfile 0 from 3 -[DEBUG][time= 4] Dispatch2: regfile 1 from 3 -[DEBUG][time= 4] Dispatch2: regfile 2 from 0 -[DEBUG][time= 4] Dispatch2: regfile 3 from 0 -[DEBUG][time= 4] Dispatch2: regfile 4 from 0 -[DEBUG][time= 4] Dispatch2: regfile 5 from 0 -[DEBUG][time= 4] Dispatch2: regfile 6 from 0 -[DEBUG][time= 4] Dispatch2: regfile 7 from 0 -[DEBUG][time= 4] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 4] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 4] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 4] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 4] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 4] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 4] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 4] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 4] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 4] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 4] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 4] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 4] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 4] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 4] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 4] Roq: CSR block should only happen in s_idle -[DEBUG][time= 4] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 4] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 4] Roq: -------------------------------- -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 4] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 4] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 4] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 4] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 4] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 4] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 4] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 4] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 4] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 4] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 4] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 4] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 4] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 4] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 4] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 4] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 4] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 4] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 4] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 4] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 4] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 4] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 4] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 4] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 4] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 4] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 4] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 4] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 4] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 4] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 4] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 4] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 4] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 4] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 4] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 4] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 4] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 4] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 4] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 4] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 4] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 4] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 4] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 4] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 4] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 4] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 4] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 4] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 4] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 4] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 4] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 4] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 4] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 4] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 4] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 4] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 4] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 4] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 4] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 4] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 4] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 4] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 4] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 4] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 4] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 4] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 4] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 4] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 4] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 4] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 4] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 4] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 4] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 4] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 4] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 4] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 4] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 4] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 4] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 4] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 4] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 4] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 4] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 4] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 4] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 4] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 4] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 4] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 4] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 4] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 4] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 4] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 4] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 4] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 4] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 4] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 4] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 4] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 4] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 4] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 4] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 4] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 4] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 4] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 4] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 4] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 4] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 4] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 4] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 4] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 4] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 4] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 4] Brq: ---------------- -[DEBUG][time= 4] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 4] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 4] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 4] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 4] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 4] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 5] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 5] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 5] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 5] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 5] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 5] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 5] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 5] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 5] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 5] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 5] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 5] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 5] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 5] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 5] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 5] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 5] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 5] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 5] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 5] BPUStage3: flushS3=0 -[DEBUG][time= 5] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 5] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 5] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 5] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 5] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 5] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 5] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 5] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 5] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 5] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 5] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 5] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 5] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 5] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 5] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 5] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 5] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 5] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 5] Dispatch2: regfile 0 from 3 -[DEBUG][time= 5] Dispatch2: regfile 1 from 3 -[DEBUG][time= 5] Dispatch2: regfile 2 from 0 -[DEBUG][time= 5] Dispatch2: regfile 3 from 0 -[DEBUG][time= 5] Dispatch2: regfile 4 from 0 -[DEBUG][time= 5] Dispatch2: regfile 5 from 0 -[DEBUG][time= 5] Dispatch2: regfile 6 from 0 -[DEBUG][time= 5] Dispatch2: regfile 7 from 0 -[DEBUG][time= 5] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 5] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 5] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 5] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 5] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 5] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 5] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 5] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 5] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 5] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 5] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 5] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 5] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 5] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 5] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 5] Roq: CSR block should only happen in s_idle -[DEBUG][time= 5] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 5] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 5] Roq: -------------------------------- -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 5] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 5] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 5] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 5] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 5] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 5] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 5] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 5] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 5] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 5] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 5] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 5] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 5] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 5] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 5] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 5] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 5] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 5] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 5] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 5] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 5] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 5] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 5] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 5] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 5] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 5] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 5] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 5] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 5] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 5] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 5] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 5] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 5] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 5] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 5] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 5] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 5] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 5] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 5] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 5] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 5] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 5] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 5] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 5] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 5] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 5] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 5] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 5] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 5] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 5] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 5] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 5] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 5] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 5] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 5] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 5] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 5] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 5] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 5] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 5] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 5] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 5] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 5] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 5] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 5] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 5] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 5] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 5] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 5] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 5] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 5] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 5] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 5] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 5] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 5] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 5] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 5] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 5] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 5] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 5] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 5] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 5] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 5] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 5] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 5] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 5] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 5] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 5] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 5] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 5] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 5] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 5] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 5] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 5] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 5] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 5] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 5] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 5] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 5] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 5] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 5] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 5] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 5] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 5] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 5] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 5] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 5] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 5] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 5] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 5] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 5] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 5] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 5] Brq: ---------------- -[DEBUG][time= 5] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 5] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 5] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 5] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 5] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 5] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 6] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 6] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 6] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 6] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 6] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 6] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 6] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 6] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 6] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 6] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 6] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 6] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 6] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 6] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 6] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 6] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 6] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 6] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 6] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 6] BPUStage3: flushS3=0 -[DEBUG][time= 6] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 6] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 6] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 6] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 6] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 6] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 6] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 6] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 6] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 6] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 6] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 6] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 6] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 6] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 6] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 6] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 6] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 6] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 6] Dispatch2: regfile 0 from 3 -[DEBUG][time= 6] Dispatch2: regfile 1 from 3 -[DEBUG][time= 6] Dispatch2: regfile 2 from 0 -[DEBUG][time= 6] Dispatch2: regfile 3 from 0 -[DEBUG][time= 6] Dispatch2: regfile 4 from 0 -[DEBUG][time= 6] Dispatch2: regfile 5 from 0 -[DEBUG][time= 6] Dispatch2: regfile 6 from 0 -[DEBUG][time= 6] Dispatch2: regfile 7 from 0 -[DEBUG][time= 6] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 6] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 6] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 6] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 6] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 6] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 6] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 6] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 6] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 6] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 6] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 6] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 6] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 6] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 6] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 6] Roq: CSR block should only happen in s_idle -[DEBUG][time= 6] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 6] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 6] Roq: -------------------------------- -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 6] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 6] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 6] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 6] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 6] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 6] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 6] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 6] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 6] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 6] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 6] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 6] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 6] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 6] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 6] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 6] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 6] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 6] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 6] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 6] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 6] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 6] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 6] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 6] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 6] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 6] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 6] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 6] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 6] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 6] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 6] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 6] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 6] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 6] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 6] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 6] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 6] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 6] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 6] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 6] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 6] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 6] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 6] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 6] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 6] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 6] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 6] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 6] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 6] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 6] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 6] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 6] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 6] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 6] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 6] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 6] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 6] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 6] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 6] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 6] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 6] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 6] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 6] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 6] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 6] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 6] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 6] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 6] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 6] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 6] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 6] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 6] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 6] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 6] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 6] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 6] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 6] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 6] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 6] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 6] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 6] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 6] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 6] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 6] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 6] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 6] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 6] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 6] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 6] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 6] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 6] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 6] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 6] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 6] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 6] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 6] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 6] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 6] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 6] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 6] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 6] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 6] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 6] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 6] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 6] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 6] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 6] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 6] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 6] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 6] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 6] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 6] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 6] Brq: ---------------- -[DEBUG][time= 6] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 6] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 6] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 6] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 6] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 6] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 7] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 7] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 7] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 7] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 7] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 7] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 7] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 7] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 7] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 7] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 7] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 7] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 7] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 7] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 7] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 7] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 7] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 7] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 7] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 7] BPUStage3: flushS3=0 -[DEBUG][time= 7] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 7] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 7] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 7] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 7] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 7] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 7] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 7] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 7] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 7] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 7] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 7] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 7] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 7] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 7] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 7] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 7] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 7] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 7] Dispatch2: regfile 0 from 3 -[DEBUG][time= 7] Dispatch2: regfile 1 from 3 -[DEBUG][time= 7] Dispatch2: regfile 2 from 0 -[DEBUG][time= 7] Dispatch2: regfile 3 from 0 -[DEBUG][time= 7] Dispatch2: regfile 4 from 0 -[DEBUG][time= 7] Dispatch2: regfile 5 from 0 -[DEBUG][time= 7] Dispatch2: regfile 6 from 0 -[DEBUG][time= 7] Dispatch2: regfile 7 from 0 -[DEBUG][time= 7] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 7] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 7] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 7] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 7] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 7] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 7] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 7] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 7] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 7] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 7] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 7] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 7] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 7] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 7] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 7] Roq: CSR block should only happen in s_idle -[DEBUG][time= 7] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 7] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 7] Roq: -------------------------------- -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 7] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 7] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 7] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 7] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 7] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 7] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 7] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 7] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 7] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 7] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 7] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 7] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 7] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 7] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 7] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 7] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 7] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 7] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 7] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 7] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 7] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 7] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 7] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 7] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 7] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 7] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 7] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 7] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 7] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 7] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 7] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 7] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 7] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 7] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 7] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 7] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 7] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 7] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 7] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 7] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 7] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 7] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 7] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 7] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 7] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 7] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 7] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 7] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 7] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 7] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 7] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 7] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 7] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 7] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 7] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 7] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 7] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 7] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 7] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 7] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 7] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 7] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 7] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 7] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 7] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 7] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 7] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 7] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 7] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 7] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 7] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 7] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 7] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 7] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 7] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 7] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 7] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 7] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 7] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 7] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 7] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 7] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 7] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 7] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 7] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 7] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 7] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 7] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 7] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 7] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 7] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 7] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 7] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 7] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 7] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 7] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 7] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 7] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 7] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 7] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 7] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 7] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 7] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 7] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 7] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 7] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 7] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 7] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 7] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 7] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 7] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 7] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 7] Brq: ---------------- -[DEBUG][time= 7] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 7] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 7] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 7] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 7] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 7] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 8] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 8] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 8] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 8] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 8] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 8] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 8] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 8] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 8] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 8] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 8] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 8] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 8] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 8] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 8] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 8] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 8] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 8] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 8] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 8] BPUStage3: flushS3=0 -[DEBUG][time= 8] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 8] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 8] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 8] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 8] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 8] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 8] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 8] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 8] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 8] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 8] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 8] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 8] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 8] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 8] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 8] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 8] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 8] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 8] Dispatch2: regfile 0 from 3 -[DEBUG][time= 8] Dispatch2: regfile 1 from 3 -[DEBUG][time= 8] Dispatch2: regfile 2 from 0 -[DEBUG][time= 8] Dispatch2: regfile 3 from 0 -[DEBUG][time= 8] Dispatch2: regfile 4 from 0 -[DEBUG][time= 8] Dispatch2: regfile 5 from 0 -[DEBUG][time= 8] Dispatch2: regfile 6 from 0 -[DEBUG][time= 8] Dispatch2: regfile 7 from 0 -[DEBUG][time= 8] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 8] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 8] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 8] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 8] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 8] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 8] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 8] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 8] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 8] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 8] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 8] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 8] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 8] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 8] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 8] Roq: CSR block should only happen in s_idle -[DEBUG][time= 8] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 8] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 8] Roq: -------------------------------- -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 8] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 8] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 8] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 8] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 8] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 8] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 8] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 8] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 8] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 8] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 8] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 8] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 8] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 8] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 8] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 8] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 8] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 8] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 8] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 8] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 8] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 8] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 8] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 8] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 8] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 8] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 8] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 8] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 8] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 8] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 8] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 8] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 8] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 8] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 8] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 8] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 8] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 8] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 8] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 8] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 8] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 8] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 8] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 8] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 8] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 8] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 8] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 8] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 8] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 8] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 8] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 8] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 8] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 8] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 8] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 8] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 8] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 8] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 8] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 8] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 8] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 8] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 8] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 8] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 8] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 8] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 8] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 8] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 8] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 8] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 8] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 8] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 8] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 8] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 8] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 8] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 8] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 8] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 8] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 8] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 8] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 8] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 8] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 8] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 8] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 8] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 8] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 8] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 8] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 8] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 8] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 8] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 8] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 8] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 8] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 8] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 8] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 8] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 8] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 8] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 8] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 8] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 8] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 8] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 8] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 8] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 8] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 8] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 8] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 8] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 8] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 8] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 8] Brq: ---------------- -[DEBUG][time= 8] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 8] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 8] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 8] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 8] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 8] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 9] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 9] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 9] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 9] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 9] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 9] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 9] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 9] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 9] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 9] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 9] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 9] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 9] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 9] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 9] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 9] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 9] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 9] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 9] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 9] BPUStage3: flushS3=0 -[DEBUG][time= 9] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 9] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 9] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 9] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 9] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 9] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 9] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 9] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 9] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 9] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 9] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 9] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 9] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 9] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 9] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 9] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 9] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 9] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 9] Dispatch2: regfile 0 from 3 -[DEBUG][time= 9] Dispatch2: regfile 1 from 3 -[DEBUG][time= 9] Dispatch2: regfile 2 from 0 -[DEBUG][time= 9] Dispatch2: regfile 3 from 0 -[DEBUG][time= 9] Dispatch2: regfile 4 from 0 -[DEBUG][time= 9] Dispatch2: regfile 5 from 0 -[DEBUG][time= 9] Dispatch2: regfile 6 from 0 -[DEBUG][time= 9] Dispatch2: regfile 7 from 0 -[DEBUG][time= 9] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 9] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 9] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 9] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 9] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 9] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 9] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 9] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 9] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 9] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 9] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 9] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 9] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 9] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 9] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 9] Roq: CSR block should only happen in s_idle -[DEBUG][time= 9] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 9] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 9] Roq: -------------------------------- -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 9] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 9] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 9] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 9] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 9] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 9] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 9] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 9] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 9] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 9] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 9] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 9] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 9] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 9] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 9] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 9] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 9] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 9] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 9] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 9] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 9] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 9] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 9] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 9] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 9] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 9] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 9] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 9] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 9] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 9] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 9] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 9] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 9] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 9] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 9] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 9] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 9] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 9] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 9] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 9] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 9] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 9] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 9] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 9] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 9] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 9] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 9] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 9] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 9] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 9] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 9] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 9] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 9] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 9] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 9] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 9] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 9] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 9] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 9] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 9] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 9] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 9] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 9] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 9] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 9] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 9] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 9] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 9] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 9] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 9] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 9] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 9] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 9] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 9] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 9] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 9] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 9] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 9] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 9] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 9] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 9] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 9] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 9] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 9] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 9] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 9] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 9] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 9] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 9] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 9] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 9] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 9] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 9] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 9] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 9] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 9] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 9] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 9] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 9] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 9] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 9] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 9] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 9] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 9] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 9] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 9] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 9] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 9] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 9] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 9] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 9] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 9] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 9] Brq: ---------------- -[DEBUG][time= 9] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 9] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 9] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 9] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 9] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 9] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 10] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 10] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 10] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 10] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 10] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 10] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 10] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 10] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 10] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 10] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 10] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 10] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 10] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 10] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 10] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 10] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 10] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 10] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 10] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 10] BPUStage3: flushS3=0 -[DEBUG][time= 10] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 10] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 10] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 10] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 10] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 10] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 10] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 10] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 10] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 10] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 10] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 10] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 10] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 10] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 10] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 10] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 10] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 10] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 10] Dispatch2: regfile 0 from 3 -[DEBUG][time= 10] Dispatch2: regfile 1 from 3 -[DEBUG][time= 10] Dispatch2: regfile 2 from 0 -[DEBUG][time= 10] Dispatch2: regfile 3 from 0 -[DEBUG][time= 10] Dispatch2: regfile 4 from 0 -[DEBUG][time= 10] Dispatch2: regfile 5 from 0 -[DEBUG][time= 10] Dispatch2: regfile 6 from 0 -[DEBUG][time= 10] Dispatch2: regfile 7 from 0 -[DEBUG][time= 10] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 10] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 10] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 10] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 10] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 10] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 10] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 10] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 10] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 10] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 10] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 10] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 10] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 10] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 10] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 10] Roq: CSR block should only happen in s_idle -[DEBUG][time= 10] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 10] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 10] Roq: -------------------------------- -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 10] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 10] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 10] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 10] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 10] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 10] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 10] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 10] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 10] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 10] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 10] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 10] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 10] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 10] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 10] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 10] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 10] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 10] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 10] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 10] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 10] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 10] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 10] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 10] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 10] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 10] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 10] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 10] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 10] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 10] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 10] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 10] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 10] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 10] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 10] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 10] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 10] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 10] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 10] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 10] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 10] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 10] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 10] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 10] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 10] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 10] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 10] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 10] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 10] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 10] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 10] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 10] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 10] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 10] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 10] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 10] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 10] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 10] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 10] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 10] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 10] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 10] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 10] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 10] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 10] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 10] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 10] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 10] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 10] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 10] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 10] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 10] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 10] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 10] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 10] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 10] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 10] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 10] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 10] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 10] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 10] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 10] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 10] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 10] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 10] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 10] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 10] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 10] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 10] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 10] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 10] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 10] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 10] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 10] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 10] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 10] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 10] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 10] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 10] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 10] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 10] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 10] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 10] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 10] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 10] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 10] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 10] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 10] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 10] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 10] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 10] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 10] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 10] Brq: ---------------- -[DEBUG][time= 10] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 10] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 10] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 10] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 10] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 10] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 11] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 11] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 11] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 11] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 11] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 11] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 11] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 11] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 11] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 11] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 11] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 11] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 11] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 11] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 11] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 11] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 11] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 11] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 11] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 11] BPUStage3: flushS3=0 -[DEBUG][time= 11] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 11] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 11] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 11] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 11] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 11] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 11] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 11] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 11] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 11] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 11] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 11] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 11] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 11] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 11] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 11] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 11] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 11] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 11] Dispatch2: regfile 0 from 3 -[DEBUG][time= 11] Dispatch2: regfile 1 from 3 -[DEBUG][time= 11] Dispatch2: regfile 2 from 0 -[DEBUG][time= 11] Dispatch2: regfile 3 from 0 -[DEBUG][time= 11] Dispatch2: regfile 4 from 0 -[DEBUG][time= 11] Dispatch2: regfile 5 from 0 -[DEBUG][time= 11] Dispatch2: regfile 6 from 0 -[DEBUG][time= 11] Dispatch2: regfile 7 from 0 -[DEBUG][time= 11] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 11] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 11] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 11] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 11] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 11] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 11] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 11] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 11] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 11] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 11] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 11] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 11] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 11] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 11] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 11] Roq: CSR block should only happen in s_idle -[DEBUG][time= 11] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 11] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 11] Roq: -------------------------------- -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 11] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 11] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 11] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 11] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 11] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 11] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 11] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 11] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 11] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 11] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 11] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 11] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 11] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 11] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 11] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 11] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 11] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 11] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 11] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 11] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 11] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 11] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 11] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 11] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 11] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 11] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 11] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 11] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 11] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 11] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 11] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 11] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 11] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 11] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 11] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 11] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 11] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 11] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 11] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 11] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 11] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 11] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 11] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 11] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 11] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 11] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 11] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 11] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 11] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 11] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 11] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 11] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 11] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 11] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 11] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 11] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 11] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 11] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 11] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 11] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 11] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 11] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 11] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 11] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 11] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 11] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 11] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 11] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 11] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 11] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 11] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 11] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 11] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 11] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 11] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 11] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 11] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 11] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 11] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 11] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 11] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 11] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 11] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 11] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 11] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 11] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 11] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 11] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 11] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 11] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 11] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 11] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 11] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 11] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 11] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 11] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 11] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 11] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 11] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 11] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 11] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 11] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 11] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 11] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 11] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 11] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 11] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 11] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 11] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 11] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 11] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 11] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 11] Brq: ---------------- -[DEBUG][time= 11] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 11] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 11] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 11] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 11] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 11] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 12] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 12] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 12] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 12] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 12] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 12] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 12] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 12] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 12] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 12] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 12] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 12] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 12] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 12] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 12] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 12] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 12] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 12] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 12] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 12] BPUStage3: flushS3=0 -[DEBUG][time= 12] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 12] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 12] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 12] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 12] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 12] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 12] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 12] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 12] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 12] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 12] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 12] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 12] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 12] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 12] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 12] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 12] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 12] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 12] Dispatch2: regfile 0 from 3 -[DEBUG][time= 12] Dispatch2: regfile 1 from 3 -[DEBUG][time= 12] Dispatch2: regfile 2 from 0 -[DEBUG][time= 12] Dispatch2: regfile 3 from 0 -[DEBUG][time= 12] Dispatch2: regfile 4 from 0 -[DEBUG][time= 12] Dispatch2: regfile 5 from 0 -[DEBUG][time= 12] Dispatch2: regfile 6 from 0 -[DEBUG][time= 12] Dispatch2: regfile 7 from 0 -[DEBUG][time= 12] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 12] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 12] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 12] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 12] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 12] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 12] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 12] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 12] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 12] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 12] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 12] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 12] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 12] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 12] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 12] Roq: CSR block should only happen in s_idle -[DEBUG][time= 12] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 12] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 12] Roq: -------------------------------- -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 12] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 12] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 12] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 12] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 12] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 12] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 12] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 12] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 12] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 12] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 12] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 12] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 12] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 12] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 12] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 12] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 12] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 12] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 12] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 12] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 12] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 12] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 12] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 12] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 12] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 12] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 12] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 12] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 12] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 12] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 12] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 12] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 12] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 12] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 12] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 12] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 12] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 12] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 12] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 12] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 12] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 12] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 12] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 12] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 12] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 12] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 12] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 12] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 12] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 12] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 12] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 12] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 12] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 12] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 12] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 12] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 12] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 12] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 12] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 12] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 12] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 12] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 12] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 12] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 12] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 12] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 12] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 12] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 12] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 12] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 12] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 12] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 12] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 12] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 12] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 12] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 12] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 12] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 12] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 12] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 12] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 12] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 12] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 12] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 12] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 12] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 12] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 12] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 12] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 12] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 12] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 12] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 12] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 12] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 12] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 12] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 12] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 12] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 12] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 12] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 12] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 12] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 12] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 12] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 12] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 12] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 12] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 12] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 12] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 12] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 12] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 12] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 12] Brq: ---------------- -[DEBUG][time= 12] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 12] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 12] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 12] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 12] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 12] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 13] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 13] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 13] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 13] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 13] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 13] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 13] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 13] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 13] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 13] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 13] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 13] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 13] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 13] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 13] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 13] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 13] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 13] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 13] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 13] BPUStage3: flushS3=0 -[DEBUG][time= 13] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 13] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 13] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 13] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 13] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 13] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 13] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 13] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 13] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 13] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 13] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 13] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 13] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 13] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 13] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 13] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 13] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 13] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 13] Dispatch2: regfile 0 from 3 -[DEBUG][time= 13] Dispatch2: regfile 1 from 3 -[DEBUG][time= 13] Dispatch2: regfile 2 from 0 -[DEBUG][time= 13] Dispatch2: regfile 3 from 0 -[DEBUG][time= 13] Dispatch2: regfile 4 from 0 -[DEBUG][time= 13] Dispatch2: regfile 5 from 0 -[DEBUG][time= 13] Dispatch2: regfile 6 from 0 -[DEBUG][time= 13] Dispatch2: regfile 7 from 0 -[DEBUG][time= 13] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 13] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 13] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 13] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 13] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 13] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 13] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 13] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 13] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 13] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 13] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 13] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 13] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 13] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 13] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 13] Roq: CSR block should only happen in s_idle -[DEBUG][time= 13] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 13] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 13] Roq: -------------------------------- -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 13] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 13] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 13] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 13] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 13] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 13] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 13] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 13] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 13] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 13] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 13] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 13] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 13] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 13] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 13] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 13] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 13] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 13] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 13] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 13] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 13] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 13] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 13] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 13] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 13] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 13] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 13] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 13] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 13] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 13] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 13] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 13] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 13] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 13] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 13] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 13] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 13] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 13] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 13] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 13] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 13] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 13] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 13] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 13] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 13] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 13] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 13] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 13] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 13] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 13] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 13] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 13] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 13] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 13] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 13] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 13] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 13] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 13] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 13] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 13] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 13] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 13] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 13] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 13] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 13] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 13] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 13] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 13] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 13] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 13] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 13] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 13] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 13] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 13] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 13] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 13] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 13] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 13] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 13] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 13] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 13] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 13] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 13] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 13] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 13] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 13] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 13] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 13] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 13] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 13] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 13] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 13] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 13] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 13] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 13] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 13] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 13] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 13] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 13] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 13] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 13] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 13] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 13] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 13] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 13] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 13] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 13] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 13] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 13] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 13] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 13] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 13] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 13] Brq: ---------------- -[DEBUG][time= 13] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 13] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 13] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 13] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 13] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 13] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 14] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 14] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 14] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 14] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 14] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 14] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 14] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 14] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 14] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 14] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 14] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 14] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 14] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 14] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 14] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 14] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 14] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 14] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 14] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 14] BPUStage3: flushS3=0 -[DEBUG][time= 14] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 14] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 14] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 14] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 14] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 14] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 14] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 14] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 14] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 14] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 14] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 14] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 14] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 14] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 14] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 14] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 14] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 14] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 14] Dispatch2: regfile 0 from 3 -[DEBUG][time= 14] Dispatch2: regfile 1 from 3 -[DEBUG][time= 14] Dispatch2: regfile 2 from 0 -[DEBUG][time= 14] Dispatch2: regfile 3 from 0 -[DEBUG][time= 14] Dispatch2: regfile 4 from 0 -[DEBUG][time= 14] Dispatch2: regfile 5 from 0 -[DEBUG][time= 14] Dispatch2: regfile 6 from 0 -[DEBUG][time= 14] Dispatch2: regfile 7 from 0 -[DEBUG][time= 14] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 14] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 14] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 14] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 14] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 14] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 14] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 14] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 14] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 14] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 14] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 14] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 14] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 14] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 14] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 14] Roq: CSR block should only happen in s_idle -[DEBUG][time= 14] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 14] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 14] Roq: -------------------------------- -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 14] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 14] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 14] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 14] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 14] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 14] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 14] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 14] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 14] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 14] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 14] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 14] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 14] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 14] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 14] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 14] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 14] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 14] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 14] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 14] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 14] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 14] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 14] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 14] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 14] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 14] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 14] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 14] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 14] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 14] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 14] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 14] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 14] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 14] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 14] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 14] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 14] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 14] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 14] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 14] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 14] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 14] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 14] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 14] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 14] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 14] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 14] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 14] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 14] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 14] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 14] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 14] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 14] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 14] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 14] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 14] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 14] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 14] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 14] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 14] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 14] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 14] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 14] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 14] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 14] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 14] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 14] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 14] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 14] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 14] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 14] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 14] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 14] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 14] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 14] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 14] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 14] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 14] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 14] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 14] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 14] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 14] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 14] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 14] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 14] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 14] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 14] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 14] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 14] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 14] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 14] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 14] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 14] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 14] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 14] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 14] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 14] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 14] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 14] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 14] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 14] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 14] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 14] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 14] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 14] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 14] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 14] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 14] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 14] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 14] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 14] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 14] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 14] Brq: ---------------- -[DEBUG][time= 14] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 14] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 14] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 14] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 14] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 14] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 15] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 15] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 15] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 15] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 15] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 15] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 15] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 15] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 15] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 15] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 15] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 15] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 15] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 15] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 15] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 15] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 15] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 15] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 15] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 15] BPUStage3: flushS3=0 -[DEBUG][time= 15] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 15] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 15] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 15] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 15] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 15] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 15] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 15] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 15] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 15] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 15] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 15] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 15] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 15] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 15] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 15] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 15] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 15] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 15] Dispatch2: regfile 0 from 3 -[DEBUG][time= 15] Dispatch2: regfile 1 from 3 -[DEBUG][time= 15] Dispatch2: regfile 2 from 0 -[DEBUG][time= 15] Dispatch2: regfile 3 from 0 -[DEBUG][time= 15] Dispatch2: regfile 4 from 0 -[DEBUG][time= 15] Dispatch2: regfile 5 from 0 -[DEBUG][time= 15] Dispatch2: regfile 6 from 0 -[DEBUG][time= 15] Dispatch2: regfile 7 from 0 -[DEBUG][time= 15] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 15] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 15] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 15] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 15] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 15] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 15] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 15] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 15] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 15] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 15] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 15] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 15] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 15] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 15] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 15] Roq: CSR block should only happen in s_idle -[DEBUG][time= 15] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 15] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 15] Roq: -------------------------------- -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 15] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 15] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 15] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 15] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 15] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 15] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 15] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 15] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 15] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 15] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 15] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 15] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 15] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 15] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 15] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 15] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 15] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 15] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 15] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 15] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 15] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 15] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 15] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 15] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 15] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 15] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 15] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 15] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 15] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 15] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 15] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 15] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 15] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 15] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 15] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 15] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 15] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 15] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 15] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 15] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 15] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 15] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 15] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 15] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 15] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 15] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 15] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 15] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 15] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 15] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 15] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 15] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 15] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 15] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 15] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 15] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 15] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 15] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 15] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 15] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 15] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 15] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 15] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 15] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 15] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 15] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 15] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 15] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 15] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 15] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 15] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 15] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 15] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 15] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 15] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 15] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 15] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 15] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 15] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 15] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 15] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 15] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 15] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 15] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 15] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 15] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 15] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 15] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 15] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 15] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 15] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 15] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 15] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 15] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 15] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 15] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 15] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 15] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 15] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 15] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 15] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 15] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 15] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 15] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 15] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 15] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 15] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 15] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 15] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 15] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 15] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 15] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 15] Brq: ---------------- -[DEBUG][time= 15] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 15] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 15] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 15] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 15] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 15] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 16] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 16] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 16] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 16] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 16] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 16] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 16] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 16] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 16] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 16] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 16] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 16] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 16] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 16] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 16] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 16] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 16] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 16] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 16] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 16] BPUStage3: flushS3=0 -[DEBUG][time= 16] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 16] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 16] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 16] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 16] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 16] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 16] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 16] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 16] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 16] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 16] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 16] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 16] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 16] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 16] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 16] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 16] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 16] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 16] Dispatch2: regfile 0 from 3 -[DEBUG][time= 16] Dispatch2: regfile 1 from 3 -[DEBUG][time= 16] Dispatch2: regfile 2 from 0 -[DEBUG][time= 16] Dispatch2: regfile 3 from 0 -[DEBUG][time= 16] Dispatch2: regfile 4 from 0 -[DEBUG][time= 16] Dispatch2: regfile 5 from 0 -[DEBUG][time= 16] Dispatch2: regfile 6 from 0 -[DEBUG][time= 16] Dispatch2: regfile 7 from 0 -[DEBUG][time= 16] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 16] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 16] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 16] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 16] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 16] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 16] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 16] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 16] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 16] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 16] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 16] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 16] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 16] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 16] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 16] Roq: CSR block should only happen in s_idle -[DEBUG][time= 16] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 16] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 16] Roq: -------------------------------- -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 16] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 16] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 16] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 16] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 16] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 16] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 16] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 16] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 16] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 16] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 16] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 16] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 16] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 16] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 16] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 16] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 16] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 16] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 16] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 16] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 16] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 16] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 16] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 16] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 16] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 16] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 16] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 16] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 16] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 16] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 16] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 16] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 16] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 16] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 16] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 16] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 16] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 16] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 16] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 16] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 16] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 16] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 16] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 16] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 16] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 16] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 16] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 16] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 16] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 16] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 16] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 16] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 16] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 16] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 16] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 16] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 16] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 16] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 16] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 16] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 16] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 16] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 16] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 16] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 16] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 16] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 16] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 16] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 16] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 16] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 16] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 16] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 16] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 16] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 16] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 16] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 16] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 16] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 16] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 16] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 16] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 16] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 16] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 16] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 16] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 16] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 16] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 16] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 16] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 16] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 16] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 16] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 16] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 16] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 16] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 16] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 16] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 16] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 16] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 16] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 16] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 16] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 16] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 16] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 16] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 16] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 16] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 16] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 16] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 16] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 16] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 16] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 16] Brq: ---------------- -[DEBUG][time= 16] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 16] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 16] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 16] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 16] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 16] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 17] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 17] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 17] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 17] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 17] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 17] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 17] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 17] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 17] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 17] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 17] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 17] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 17] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 17] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 17] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 17] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 17] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 17] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 17] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 17] BPUStage3: flushS3=0 -[DEBUG][time= 17] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 17] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 17] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 17] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 17] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 17] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 17] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 17] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 17] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 17] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 17] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 17] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 17] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 17] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 17] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 17] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 17] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 17] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 17] Dispatch2: regfile 0 from 3 -[DEBUG][time= 17] Dispatch2: regfile 1 from 3 -[DEBUG][time= 17] Dispatch2: regfile 2 from 0 -[DEBUG][time= 17] Dispatch2: regfile 3 from 0 -[DEBUG][time= 17] Dispatch2: regfile 4 from 0 -[DEBUG][time= 17] Dispatch2: regfile 5 from 0 -[DEBUG][time= 17] Dispatch2: regfile 6 from 0 -[DEBUG][time= 17] Dispatch2: regfile 7 from 0 -[DEBUG][time= 17] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 17] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 17] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 17] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 17] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 17] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 17] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 17] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 17] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 17] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 17] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 17] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 17] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 17] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 17] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 17] Roq: CSR block should only happen in s_idle -[DEBUG][time= 17] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 17] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 17] Roq: -------------------------------- -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 17] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 17] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 17] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 17] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 17] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 17] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 17] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 17] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 17] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 17] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 17] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 17] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 17] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 17] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 17] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 17] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 17] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 17] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 17] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 17] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 17] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 17] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 17] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 17] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 17] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 17] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 17] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 17] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 17] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 17] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 17] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 17] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 17] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 17] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 17] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 17] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 17] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 17] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 17] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 17] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 17] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 17] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 17] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 17] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 17] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 17] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 17] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 17] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 17] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 17] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 17] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 17] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 17] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 17] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 17] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 17] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 17] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 17] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 17] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 17] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 17] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 17] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 17] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 17] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 17] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 17] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 17] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 17] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 17] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 17] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 17] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 17] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 17] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 17] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 17] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 17] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 17] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 17] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 17] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 17] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 17] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 17] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 17] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 17] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 17] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 17] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 17] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 17] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 17] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 17] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 17] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 17] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 17] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 17] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 17] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 17] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 17] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 17] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 17] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 17] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 17] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 17] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 17] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 17] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 17] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 17] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 17] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 17] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 17] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 17] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 17] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 17] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 17] Brq: ---------------- -[DEBUG][time= 17] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 17] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 17] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 17] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 17] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 17] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 18] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 18] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 18] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 18] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 18] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 18] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 18] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 18] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 18] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 18] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 18] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 18] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 18] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 18] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 18] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 18] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 18] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 18] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 18] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 18] BPUStage3: flushS3=0 -[DEBUG][time= 18] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 18] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 18] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 18] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 18] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 18] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 18] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 18] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 18] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 18] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 18] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 18] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 18] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 18] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 18] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 18] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 18] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 18] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 18] Dispatch2: regfile 0 from 3 -[DEBUG][time= 18] Dispatch2: regfile 1 from 3 -[DEBUG][time= 18] Dispatch2: regfile 2 from 0 -[DEBUG][time= 18] Dispatch2: regfile 3 from 0 -[DEBUG][time= 18] Dispatch2: regfile 4 from 0 -[DEBUG][time= 18] Dispatch2: regfile 5 from 0 -[DEBUG][time= 18] Dispatch2: regfile 6 from 0 -[DEBUG][time= 18] Dispatch2: regfile 7 from 0 -[DEBUG][time= 18] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 18] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 18] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 18] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 18] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 18] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 18] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 18] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 18] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 18] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 18] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 18] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 18] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 18] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 18] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 18] Roq: CSR block should only happen in s_idle -[DEBUG][time= 18] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 18] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 18] Roq: -------------------------------- -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 18] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 18] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 18] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 18] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 18] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 18] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 18] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 18] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 18] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 18] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 18] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 18] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 18] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 18] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 18] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 18] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 18] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 18] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 18] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 18] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 18] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 18] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 18] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 18] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 18] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 18] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 18] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 18] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 18] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 18] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 18] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 18] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 18] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 18] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 18] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 18] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 18] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 18] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 18] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 18] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 18] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 18] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 18] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 18] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 18] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 18] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 18] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 18] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 18] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 18] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 18] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 18] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 18] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 18] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 18] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 18] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 18] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 18] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 18] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 18] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 18] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 18] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 18] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 18] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 18] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 18] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 18] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 18] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 18] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 18] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 18] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 18] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 18] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 18] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 18] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 18] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 18] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 18] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 18] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 18] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 18] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 18] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 18] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 18] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 18] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 18] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 18] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 18] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 18] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 18] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 18] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 18] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 18] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 18] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 18] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 18] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 18] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 18] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 18] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 18] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 18] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 18] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 18] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 18] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 18] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 18] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 18] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 18] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 18] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 18] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 18] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 18] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 18] Brq: ---------------- -[DEBUG][time= 18] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 18] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 18] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 18] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 18] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 18] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 19] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 19] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 19] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 19] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 19] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 19] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 19] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 19] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 19] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 19] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 19] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 19] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 19] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 19] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 19] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 19] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 19] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 19] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 19] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 19] BPUStage3: flushS3=0 -[DEBUG][time= 19] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 19] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 19] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 19] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 19] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 19] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 19] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 19] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 19] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 19] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 19] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 19] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 19] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 19] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 19] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 19] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 19] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 19] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 19] Dispatch2: regfile 0 from 3 -[DEBUG][time= 19] Dispatch2: regfile 1 from 3 -[DEBUG][time= 19] Dispatch2: regfile 2 from 0 -[DEBUG][time= 19] Dispatch2: regfile 3 from 0 -[DEBUG][time= 19] Dispatch2: regfile 4 from 0 -[DEBUG][time= 19] Dispatch2: regfile 5 from 0 -[DEBUG][time= 19] Dispatch2: regfile 6 from 0 -[DEBUG][time= 19] Dispatch2: regfile 7 from 0 -[DEBUG][time= 19] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 19] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 19] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 19] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 19] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 19] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 19] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 19] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 19] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 19] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 19] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 19] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 19] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 19] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 19] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 19] Roq: CSR block should only happen in s_idle -[DEBUG][time= 19] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 19] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 19] Roq: -------------------------------- -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 19] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 19] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 19] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 19] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 19] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 19] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 19] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 19] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 19] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 19] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 19] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 19] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 19] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 19] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 19] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 19] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 19] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 19] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 19] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 19] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 19] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 19] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 19] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 19] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 19] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 19] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 19] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 19] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 19] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 19] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 19] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 19] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 19] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 19] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 19] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 19] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 19] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 19] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 19] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 19] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 19] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 19] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 19] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 19] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 19] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 19] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 19] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 19] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 19] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 19] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 19] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 19] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 19] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 19] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 19] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 19] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 19] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 19] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 19] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 19] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 19] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 19] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 19] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 19] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 19] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 19] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 19] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 19] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 19] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 19] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 19] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 19] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 19] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 19] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 19] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 19] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 19] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 19] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 19] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 19] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 19] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 19] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 19] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 19] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 19] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 19] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 19] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 19] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 19] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 19] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 19] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 19] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 19] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 19] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 19] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 19] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 19] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 19] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 19] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 19] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 19] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 19] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 19] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 19] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 19] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 19] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 19] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 19] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 19] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 19] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 19] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 19] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 19] Brq: ---------------- -[DEBUG][time= 19] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 19] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 19] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 19] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 19] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 19] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 20] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 20] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 20] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 20] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 20] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 20] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 20] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 20] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 20] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 20] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 20] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 20] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 20] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 20] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 20] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 20] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 20] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 20] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 20] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 20] BPUStage3: flushS3=0 -[DEBUG][time= 20] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 20] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 20] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 20] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 20] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 20] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 20] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 20] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 20] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 20] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 20] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 20] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 20] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 20] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 20] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 20] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 20] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 20] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 20] Dispatch2: regfile 0 from 3 -[DEBUG][time= 20] Dispatch2: regfile 1 from 3 -[DEBUG][time= 20] Dispatch2: regfile 2 from 0 -[DEBUG][time= 20] Dispatch2: regfile 3 from 0 -[DEBUG][time= 20] Dispatch2: regfile 4 from 0 -[DEBUG][time= 20] Dispatch2: regfile 5 from 0 -[DEBUG][time= 20] Dispatch2: regfile 6 from 0 -[DEBUG][time= 20] Dispatch2: regfile 7 from 0 -[DEBUG][time= 20] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 20] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 20] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 20] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 20] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 20] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 20] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 20] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 20] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 20] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 20] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 20] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 20] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 20] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 20] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 20] Roq: CSR block should only happen in s_idle -[DEBUG][time= 20] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 20] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 20] Roq: -------------------------------- -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 20] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 20] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 20] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 20] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 20] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 20] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 20] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 20] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 20] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 20] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 20] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 20] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 20] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 20] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 20] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 20] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 20] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 20] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 20] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 20] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 20] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 20] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 20] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 20] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 20] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 20] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 20] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 20] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 20] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 20] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 20] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 20] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 20] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 20] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 20] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 20] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 20] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 20] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 20] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 20] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 20] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 20] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 20] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 20] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 20] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 20] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 20] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 20] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 20] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 20] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 20] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 20] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 20] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 20] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 20] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 20] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 20] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 20] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 20] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 20] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 20] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 20] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 20] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 20] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 20] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 20] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 20] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 20] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 20] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 20] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 20] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 20] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 20] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 20] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 20] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 20] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 20] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 20] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 20] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 20] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 20] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 20] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 20] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 20] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 20] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 20] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 20] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 20] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 20] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 20] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 20] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 20] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 20] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 20] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 20] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 20] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 20] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 20] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 20] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 20] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 20] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 20] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 20] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 20] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 20] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 20] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 20] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 20] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 20] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 20] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 20] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 20] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 20] Brq: ---------------- -[DEBUG][time= 20] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 20] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 20] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 20] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 20] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 20] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 21] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 21] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 21] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 21] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 21] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 21] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 21] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 21] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 21] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 21] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 21] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 21] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 21] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 21] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 21] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 21] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 21] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 21] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 21] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 21] BPUStage3: flushS3=0 -[DEBUG][time= 21] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 21] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 21] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 21] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 21] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 21] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 21] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 21] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 21] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 21] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 21] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 21] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 21] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 21] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 21] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 21] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 21] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 21] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 21] Dispatch2: regfile 0 from 3 -[DEBUG][time= 21] Dispatch2: regfile 1 from 3 -[DEBUG][time= 21] Dispatch2: regfile 2 from 0 -[DEBUG][time= 21] Dispatch2: regfile 3 from 0 -[DEBUG][time= 21] Dispatch2: regfile 4 from 0 -[DEBUG][time= 21] Dispatch2: regfile 5 from 0 -[DEBUG][time= 21] Dispatch2: regfile 6 from 0 -[DEBUG][time= 21] Dispatch2: regfile 7 from 0 -[DEBUG][time= 21] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 21] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 21] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 21] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 21] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 21] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 21] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 21] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 21] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 21] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 21] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 21] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 21] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 21] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 21] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 21] Roq: CSR block should only happen in s_idle -[DEBUG][time= 21] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 21] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 21] Roq: -------------------------------- -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 21] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 21] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 21] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 21] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 21] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 21] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 21] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 21] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 21] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 21] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 21] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 21] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 21] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 21] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 21] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 21] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 21] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 21] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 21] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 21] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 21] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 21] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 21] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 21] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 21] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 21] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 21] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 21] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 21] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 21] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 21] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 21] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 21] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 21] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 21] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 21] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 21] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 21] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 21] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 21] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 21] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 21] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 21] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 21] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 21] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 21] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 21] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 21] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 21] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 21] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 21] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 21] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 21] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 21] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 21] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 21] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 21] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 21] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 21] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 21] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 21] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 21] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 21] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 21] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 21] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 21] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 21] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 21] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 21] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 21] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 21] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 21] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 21] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 21] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 21] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 21] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 21] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 21] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 21] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 21] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 21] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 21] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 21] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 21] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 21] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 21] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 21] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 21] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 21] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 21] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 21] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 21] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 21] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 21] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 21] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 21] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 21] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 21] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 21] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 21] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 21] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 21] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 21] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 21] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 21] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 21] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 21] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 21] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 21] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 21] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 21] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 21] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 21] Brq: ---------------- -[DEBUG][time= 21] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 21] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 21] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 21] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 21] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 21] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 22] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 22] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 22] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 22] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 22] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 22] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 22] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 22] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 22] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 22] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 22] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 22] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 22] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 22] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 22] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 22] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 22] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 22] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 22] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 22] BPUStage3: flushS3=0 -[DEBUG][time= 22] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 22] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 22] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 22] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 22] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 22] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 22] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 22] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 22] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 22] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 22] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 22] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 22] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 22] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 22] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 22] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 22] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 22] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 22] Dispatch2: regfile 0 from 3 -[DEBUG][time= 22] Dispatch2: regfile 1 from 3 -[DEBUG][time= 22] Dispatch2: regfile 2 from 0 -[DEBUG][time= 22] Dispatch2: regfile 3 from 0 -[DEBUG][time= 22] Dispatch2: regfile 4 from 0 -[DEBUG][time= 22] Dispatch2: regfile 5 from 0 -[DEBUG][time= 22] Dispatch2: regfile 6 from 0 -[DEBUG][time= 22] Dispatch2: regfile 7 from 0 -[DEBUG][time= 22] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 22] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 22] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 22] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 22] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 22] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 22] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 22] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 22] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 22] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 22] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 22] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 22] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 22] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 22] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 22] Roq: CSR block should only happen in s_idle -[DEBUG][time= 22] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 22] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 22] Roq: -------------------------------- -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 22] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 22] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 22] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 22] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 22] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 22] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 22] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 22] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 22] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 22] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 22] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 22] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 22] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 22] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 22] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 22] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 22] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 22] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 22] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 22] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 22] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 22] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 22] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 22] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 22] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 22] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 22] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 22] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 22] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 22] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 22] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 22] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 22] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 22] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 22] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 22] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 22] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 22] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 22] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 22] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 22] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 22] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 22] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 22] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 22] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 22] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 22] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 22] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 22] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 22] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 22] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 22] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 22] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 22] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 22] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 22] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 22] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 22] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 22] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 22] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 22] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 22] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 22] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 22] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 22] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 22] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 22] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 22] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 22] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 22] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 22] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 22] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 22] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 22] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 22] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 22] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 22] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 22] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 22] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 22] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 22] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 22] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 22] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 22] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 22] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 22] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 22] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 22] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 22] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 22] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 22] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 22] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 22] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 22] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 22] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 22] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 22] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 22] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 22] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 22] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 22] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 22] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 22] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 22] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 22] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 22] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 22] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 22] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 22] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 22] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 22] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 22] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 22] Brq: ---------------- -[DEBUG][time= 22] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 22] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 22] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 22] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 22] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 22] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 23] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 23] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 23] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 23] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 23] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 23] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 23] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 23] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 23] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 23] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 23] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 23] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 23] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 23] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 23] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 23] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 23] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 23] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 23] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 23] BPUStage3: flushS3=0 -[DEBUG][time= 23] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 23] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 23] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 23] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 23] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 23] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 23] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 23] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 23] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 23] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 23] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 23] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 23] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 23] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 23] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 23] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 23] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 23] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 23] Dispatch2: regfile 0 from 3 -[DEBUG][time= 23] Dispatch2: regfile 1 from 3 -[DEBUG][time= 23] Dispatch2: regfile 2 from 0 -[DEBUG][time= 23] Dispatch2: regfile 3 from 0 -[DEBUG][time= 23] Dispatch2: regfile 4 from 0 -[DEBUG][time= 23] Dispatch2: regfile 5 from 0 -[DEBUG][time= 23] Dispatch2: regfile 6 from 0 -[DEBUG][time= 23] Dispatch2: regfile 7 from 0 -[DEBUG][time= 23] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 23] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 23] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 23] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 23] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 23] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 23] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 23] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 23] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 23] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 23] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 23] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 23] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 23] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 23] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 23] Roq: CSR block should only happen in s_idle -[DEBUG][time= 23] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 23] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 23] Roq: -------------------------------- -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 23] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 23] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 23] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 23] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 23] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 23] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 23] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 23] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 23] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 23] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 23] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 23] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 23] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 23] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 23] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 23] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 23] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 23] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 23] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 23] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 23] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 23] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 23] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 23] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 23] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 23] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 23] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 23] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 23] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 23] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 23] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 23] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 23] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 23] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 23] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 23] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 23] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 23] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 23] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 23] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 23] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 23] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 23] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 23] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 23] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 23] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 23] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 23] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 23] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 23] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 23] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 23] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 23] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 23] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 23] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 23] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 23] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 23] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 23] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 23] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 23] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 23] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 23] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 23] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 23] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 23] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 23] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 23] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 23] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 23] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 23] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 23] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 23] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 23] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 23] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 23] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 23] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 23] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 23] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 23] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 23] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 23] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 23] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 23] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 23] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 23] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 23] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 23] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 23] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 23] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 23] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 23] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 23] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 23] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 23] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 23] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 23] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 23] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 23] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 23] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 23] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 23] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 23] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 23] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 23] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 23] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 23] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 23] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 23] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 23] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 23] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 23] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 23] Brq: ---------------- -[DEBUG][time= 23] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 23] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 23] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 23] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 23] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 23] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 24] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 24] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 24] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 24] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 24] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 24] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 24] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 24] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 24] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 24] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 24] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 24] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 24] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 24] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 24] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 24] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 24] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 24] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 24] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 24] BPUStage3: flushS3=0 -[DEBUG][time= 24] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 24] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 24] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 24] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 24] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 24] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 24] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 24] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 24] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 24] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 24] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 24] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 24] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 24] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 24] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 24] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 24] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 24] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 24] Dispatch2: regfile 0 from 3 -[DEBUG][time= 24] Dispatch2: regfile 1 from 3 -[DEBUG][time= 24] Dispatch2: regfile 2 from 0 -[DEBUG][time= 24] Dispatch2: regfile 3 from 0 -[DEBUG][time= 24] Dispatch2: regfile 4 from 0 -[DEBUG][time= 24] Dispatch2: regfile 5 from 0 -[DEBUG][time= 24] Dispatch2: regfile 6 from 0 -[DEBUG][time= 24] Dispatch2: regfile 7 from 0 -[DEBUG][time= 24] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 24] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 24] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 24] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 24] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 24] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 24] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 24] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 24] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 24] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 24] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 24] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 24] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 24] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 24] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 24] Roq: CSR block should only happen in s_idle -[DEBUG][time= 24] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 24] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 24] Roq: -------------------------------- -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 24] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 24] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 24] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 24] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 24] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 24] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 24] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 24] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 24] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 24] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 24] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 24] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 24] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 24] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 24] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 24] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 24] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 24] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 24] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 24] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 24] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 24] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 24] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 24] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 24] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 24] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 24] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 24] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 24] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 24] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 24] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 24] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 24] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 24] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 24] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 24] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 24] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 24] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 24] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 24] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 24] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 24] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 24] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 24] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 24] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 24] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 24] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 24] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 24] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 24] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 24] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 24] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 24] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 24] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 24] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 24] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 24] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 24] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 24] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 24] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 24] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 24] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 24] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 24] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 24] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 24] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 24] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 24] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 24] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 24] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 24] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 24] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 24] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 24] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 24] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 24] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 24] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 24] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 24] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 24] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 24] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 24] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 24] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 24] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 24] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 24] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 24] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 24] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 24] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 24] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 24] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 24] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 24] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 24] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 24] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 24] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 24] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 24] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 24] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 24] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 24] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 24] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 24] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 24] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 24] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 24] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 24] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 24] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 24] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 24] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 24] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 24] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 24] Brq: ---------------- -[DEBUG][time= 24] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 24] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 24] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 24] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 24] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 24] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 25] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 25] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 25] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 25] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 25] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 25] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 25] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 25] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 25] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 25] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 25] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 25] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 25] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 25] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 25] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 25] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 25] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 25] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 25] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 25] BPUStage3: flushS3=0 -[DEBUG][time= 25] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 25] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 25] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 25] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 25] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 25] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 25] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 25] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 25] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 25] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 25] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 25] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 25] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 25] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 25] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 25] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 25] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 25] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 25] Dispatch2: regfile 0 from 3 -[DEBUG][time= 25] Dispatch2: regfile 1 from 3 -[DEBUG][time= 25] Dispatch2: regfile 2 from 0 -[DEBUG][time= 25] Dispatch2: regfile 3 from 0 -[DEBUG][time= 25] Dispatch2: regfile 4 from 0 -[DEBUG][time= 25] Dispatch2: regfile 5 from 0 -[DEBUG][time= 25] Dispatch2: regfile 6 from 0 -[DEBUG][time= 25] Dispatch2: regfile 7 from 0 -[DEBUG][time= 25] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 25] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 25] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 25] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 25] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 25] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 25] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 25] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 25] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 25] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 25] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 25] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 25] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 25] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 25] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 25] Roq: CSR block should only happen in s_idle -[DEBUG][time= 25] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 25] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 25] Roq: -------------------------------- -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 25] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 25] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 25] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 25] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 25] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 25] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 25] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 25] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 25] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 25] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 25] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 25] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 25] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 25] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 25] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 25] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 25] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 25] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 25] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 25] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 25] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 25] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 25] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 25] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 25] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 25] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 25] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 25] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 25] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 25] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 25] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 25] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 25] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 25] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 25] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 25] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 25] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 25] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 25] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 25] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 25] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 25] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 25] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 25] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 25] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 25] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 25] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 25] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 25] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 25] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 25] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 25] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 25] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 25] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 25] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 25] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 25] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 25] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 25] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 25] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 25] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 25] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 25] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 25] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 25] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 25] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 25] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 25] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 25] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 25] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 25] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 25] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 25] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 25] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 25] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 25] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 25] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 25] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 25] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 25] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 25] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 25] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 25] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 25] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 25] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 25] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 25] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 25] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 25] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 25] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 25] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 25] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 25] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 25] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 25] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 25] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 25] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 25] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 25] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 25] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 25] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 25] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 25] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 25] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 25] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 25] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 25] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 25] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 25] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 25] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 25] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 25] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 25] Brq: ---------------- -[DEBUG][time= 25] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 25] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 25] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 25] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 25] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 25] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 26] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 26] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 26] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 26] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 26] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 26] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 26] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 26] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 26] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 26] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 26] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 26] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 26] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 26] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 26] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 26] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 26] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 26] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 26] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 26] BPUStage3: flushS3=0 -[DEBUG][time= 26] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 26] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 26] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 26] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 26] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 26] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 26] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 26] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 26] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 26] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 26] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 26] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 26] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 26] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 26] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 26] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 26] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 26] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 26] Dispatch2: regfile 0 from 3 -[DEBUG][time= 26] Dispatch2: regfile 1 from 3 -[DEBUG][time= 26] Dispatch2: regfile 2 from 0 -[DEBUG][time= 26] Dispatch2: regfile 3 from 0 -[DEBUG][time= 26] Dispatch2: regfile 4 from 0 -[DEBUG][time= 26] Dispatch2: regfile 5 from 0 -[DEBUG][time= 26] Dispatch2: regfile 6 from 0 -[DEBUG][time= 26] Dispatch2: regfile 7 from 0 -[DEBUG][time= 26] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 26] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 26] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 26] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 26] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 26] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 26] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 26] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 26] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 26] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 26] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 26] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 26] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 26] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 26] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 26] Roq: CSR block should only happen in s_idle -[DEBUG][time= 26] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 26] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 26] Roq: -------------------------------- -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 26] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 26] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 26] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 26] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 26] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 26] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 26] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 26] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 26] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 26] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 26] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 26] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 26] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 26] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 26] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 26] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 26] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 26] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 26] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 26] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 26] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 26] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 26] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 26] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 26] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 26] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 26] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 26] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 26] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 26] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 26] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 26] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 26] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 26] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 26] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 26] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 26] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 26] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 26] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 26] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 26] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 26] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 26] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 26] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 26] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 26] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 26] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 26] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 26] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 26] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 26] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 26] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 26] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 26] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 26] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 26] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 26] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 26] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 26] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 26] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 26] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 26] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 26] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 26] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 26] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 26] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 26] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 26] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 26] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 26] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 26] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 26] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 26] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 26] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 26] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 26] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 26] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 26] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 26] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 26] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 26] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 26] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 26] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 26] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 26] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 26] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 26] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 26] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 26] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 26] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 26] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 26] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 26] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 26] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 26] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 26] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 26] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 26] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 26] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 26] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 26] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 26] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 26] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 26] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 26] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 26] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 26] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 26] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 26] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 26] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 26] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 26] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 26] Brq: ---------------- -[DEBUG][time= 26] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 26] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 26] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 26] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 26] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 26] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 27] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 27] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 27] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 27] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 27] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 27] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 27] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 27] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 27] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 27] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 27] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 27] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 27] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 27] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 27] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 27] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 27] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 27] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 27] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 27] BPUStage3: flushS3=0 -[DEBUG][time= 27] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 27] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 27] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 27] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 27] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 27] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 27] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 27] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 27] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 27] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 27] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 27] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 27] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 27] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 27] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 27] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 27] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 27] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 27] Dispatch2: regfile 0 from 3 -[DEBUG][time= 27] Dispatch2: regfile 1 from 3 -[DEBUG][time= 27] Dispatch2: regfile 2 from 0 -[DEBUG][time= 27] Dispatch2: regfile 3 from 0 -[DEBUG][time= 27] Dispatch2: regfile 4 from 0 -[DEBUG][time= 27] Dispatch2: regfile 5 from 0 -[DEBUG][time= 27] Dispatch2: regfile 6 from 0 -[DEBUG][time= 27] Dispatch2: regfile 7 from 0 -[DEBUG][time= 27] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 27] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 27] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 27] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 27] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 27] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 27] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 27] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 27] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 27] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 27] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 27] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 27] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 27] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 27] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 27] Roq: CSR block should only happen in s_idle -[DEBUG][time= 27] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 27] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 27] Roq: -------------------------------- -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 27] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 27] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 27] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 27] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 27] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 27] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 27] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 27] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 27] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 27] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 27] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 27] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 27] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 27] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 27] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 27] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 27] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 27] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 27] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 27] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 27] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 27] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 27] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 27] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 27] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 27] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 27] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 27] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 27] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 27] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 27] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 27] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 27] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 27] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 27] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 27] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 27] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 27] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 27] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 27] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 27] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 27] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 27] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 27] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 27] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 27] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 27] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 27] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 27] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 27] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 27] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 27] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 27] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 27] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 27] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 27] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 27] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 27] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 27] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 27] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 27] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 27] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 27] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 27] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 27] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 27] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 27] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 27] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 27] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 27] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 27] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 27] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 27] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 27] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 27] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 27] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 27] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 27] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 27] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 27] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 27] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 27] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 27] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 27] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 27] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 27] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 27] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 27] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 27] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 27] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 27] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 27] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 27] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 27] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 27] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 27] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 27] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 27] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 27] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 27] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 27] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 27] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 27] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 27] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 27] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 27] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 27] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 27] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 27] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 27] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 27] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 27] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 27] Brq: ---------------- -[DEBUG][time= 27] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 27] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 27] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 27] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 27] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 27] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 28] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 28] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 28] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 28] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 28] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 28] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 28] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 28] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 28] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 28] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 28] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 28] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 28] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 28] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 28] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 28] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 28] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 28] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 28] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 28] BPUStage3: flushS3=0 -[DEBUG][time= 28] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 28] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 28] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 28] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 28] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 28] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 28] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 28] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 28] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 28] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 28] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 28] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 28] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 28] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 28] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 28] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 28] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 28] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 28] Dispatch2: regfile 0 from 3 -[DEBUG][time= 28] Dispatch2: regfile 1 from 3 -[DEBUG][time= 28] Dispatch2: regfile 2 from 0 -[DEBUG][time= 28] Dispatch2: regfile 3 from 0 -[DEBUG][time= 28] Dispatch2: regfile 4 from 0 -[DEBUG][time= 28] Dispatch2: regfile 5 from 0 -[DEBUG][time= 28] Dispatch2: regfile 6 from 0 -[DEBUG][time= 28] Dispatch2: regfile 7 from 0 -[DEBUG][time= 28] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 28] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 28] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 28] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 28] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 28] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 28] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 28] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 28] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 28] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 28] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 28] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 28] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 28] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 28] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 28] Roq: CSR block should only happen in s_idle -[DEBUG][time= 28] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 28] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 28] Roq: -------------------------------- -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 28] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 28] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 28] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 28] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 28] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 28] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 28] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 28] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 28] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 28] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 28] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 28] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 28] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 28] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 28] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 28] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 28] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 28] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 28] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 28] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 28] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 28] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 28] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 28] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 28] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 28] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 28] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 28] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 28] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 28] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 28] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 28] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 28] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 28] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 28] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 28] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 28] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 28] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 28] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 28] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 28] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 28] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 28] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 28] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 28] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 28] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 28] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 28] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 28] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 28] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 28] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 28] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 28] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 28] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 28] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 28] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 28] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 28] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 28] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 28] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 28] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 28] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 28] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 28] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 28] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 28] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 28] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 28] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 28] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 28] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 28] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 28] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 28] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 28] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 28] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 28] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 28] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 28] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 28] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 28] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 28] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 28] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 28] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 28] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 28] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 28] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 28] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 28] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 28] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 28] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 28] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 28] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 28] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 28] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 28] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 28] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 28] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 28] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 28] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 28] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 28] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 28] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 28] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 28] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 28] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 28] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 28] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 28] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 28] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 28] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 28] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 28] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 28] Brq: ---------------- -[DEBUG][time= 28] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 28] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 28] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 28] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 28] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 28] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 29] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 29] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 29] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 29] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 29] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 29] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 29] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 29] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 29] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 29] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 29] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 29] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 29] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 29] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 29] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 29] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 29] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 29] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 29] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 29] BPUStage3: flushS3=0 -[DEBUG][time= 29] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 29] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 29] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 29] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 29] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 29] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 29] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 29] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 29] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 29] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 29] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 29] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 29] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 29] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 29] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 29] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 29] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 29] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 29] Dispatch2: regfile 0 from 3 -[DEBUG][time= 29] Dispatch2: regfile 1 from 3 -[DEBUG][time= 29] Dispatch2: regfile 2 from 0 -[DEBUG][time= 29] Dispatch2: regfile 3 from 0 -[DEBUG][time= 29] Dispatch2: regfile 4 from 0 -[DEBUG][time= 29] Dispatch2: regfile 5 from 0 -[DEBUG][time= 29] Dispatch2: regfile 6 from 0 -[DEBUG][time= 29] Dispatch2: regfile 7 from 0 -[DEBUG][time= 29] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 29] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 29] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 29] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 29] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 29] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 29] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 29] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 29] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 29] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 29] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 29] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 29] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 29] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 29] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 29] Roq: CSR block should only happen in s_idle -[DEBUG][time= 29] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 29] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 29] Roq: -------------------------------- -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 29] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 29] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 29] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 29] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 29] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 29] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 29] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 29] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 29] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 29] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 29] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 29] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 29] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 29] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 29] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 29] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 29] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 29] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 29] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 29] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 29] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 29] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 29] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 29] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 29] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 29] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 29] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 29] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 29] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 29] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 29] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 29] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 29] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 29] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 29] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 29] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 29] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 29] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 29] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 29] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 29] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 29] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 29] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 29] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 29] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 29] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 29] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 29] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 29] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 29] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 29] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 29] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 29] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 29] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 29] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 29] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 29] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 29] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 29] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 29] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 29] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 29] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 29] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 29] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 29] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 29] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 29] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 29] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 29] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 29] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 29] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 29] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 29] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 29] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 29] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 29] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 29] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 29] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 29] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 29] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 29] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 29] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 29] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 29] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 29] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 29] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 29] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 29] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 29] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 29] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 29] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 29] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 29] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 29] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 29] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 29] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 29] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 29] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 29] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 29] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 29] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 29] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 29] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 29] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 29] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 29] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 29] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 29] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 29] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 29] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 29] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 29] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 29] Brq: ---------------- -[DEBUG][time= 29] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 29] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 29] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 29] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 29] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 29] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 30] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 30] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 30] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 30] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 30] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 30] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 30] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 30] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 30] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 30] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 30] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 30] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 30] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 30] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 30] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 30] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 30] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 30] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 30] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 30] BPUStage3: flushS3=0 -[DEBUG][time= 30] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 30] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 30] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 30] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 30] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 30] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 30] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 30] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 30] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 30] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 30] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 30] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 30] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 30] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 30] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 30] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 30] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 30] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 30] Dispatch2: regfile 0 from 3 -[DEBUG][time= 30] Dispatch2: regfile 1 from 3 -[DEBUG][time= 30] Dispatch2: regfile 2 from 0 -[DEBUG][time= 30] Dispatch2: regfile 3 from 0 -[DEBUG][time= 30] Dispatch2: regfile 4 from 0 -[DEBUG][time= 30] Dispatch2: regfile 5 from 0 -[DEBUG][time= 30] Dispatch2: regfile 6 from 0 -[DEBUG][time= 30] Dispatch2: regfile 7 from 0 -[DEBUG][time= 30] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 30] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 30] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 30] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 30] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 30] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 30] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 30] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 30] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 30] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 30] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 30] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 30] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 30] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 30] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 30] Roq: CSR block should only happen in s_idle -[DEBUG][time= 30] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 30] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 30] Roq: -------------------------------- -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 30] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 30] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 30] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 30] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 30] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 30] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 30] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 30] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 30] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 30] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 30] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 30] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 30] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 30] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 30] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 30] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 30] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 30] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 30] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 30] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 30] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 30] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 30] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 30] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 30] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 30] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 30] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 30] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 30] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 30] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 30] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 30] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 30] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 30] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 30] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 30] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 30] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 30] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 30] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 30] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 30] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 30] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 30] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 30] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 30] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 30] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 30] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 30] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 30] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 30] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 30] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 30] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 30] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 30] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 30] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 30] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 30] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 30] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 30] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 30] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 30] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 30] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 30] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 30] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 30] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 30] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 30] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 30] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 30] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 30] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 30] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 30] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 30] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 30] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 30] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 30] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 30] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 30] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 30] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 30] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 30] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 30] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 30] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 30] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 30] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 30] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 30] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 30] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 30] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 30] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 30] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 30] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 30] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 30] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 30] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 30] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 30] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 30] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 30] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 30] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 30] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 30] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 30] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 30] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 30] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 30] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 30] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 30] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 30] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 30] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 30] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 30] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 30] Brq: ---------------- -[DEBUG][time= 30] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 30] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 30] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 30] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 30] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 30] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 31] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 31] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 31] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 31] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 31] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 31] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 31] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 31] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 31] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 31] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 31] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 31] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 31] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 31] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 31] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 31] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 31] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 31] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 31] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 31] BPUStage3: flushS3=0 -[DEBUG][time= 31] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 31] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 31] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 31] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 31] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 31] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 31] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 31] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 31] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 31] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 31] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 31] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 31] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 31] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 31] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 31] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 31] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 31] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 31] Dispatch2: regfile 0 from 3 -[DEBUG][time= 31] Dispatch2: regfile 1 from 3 -[DEBUG][time= 31] Dispatch2: regfile 2 from 0 -[DEBUG][time= 31] Dispatch2: regfile 3 from 0 -[DEBUG][time= 31] Dispatch2: regfile 4 from 0 -[DEBUG][time= 31] Dispatch2: regfile 5 from 0 -[DEBUG][time= 31] Dispatch2: regfile 6 from 0 -[DEBUG][time= 31] Dispatch2: regfile 7 from 0 -[DEBUG][time= 31] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 31] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 31] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 31] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 31] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 31] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 31] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 31] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 31] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 31] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 31] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 31] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 31] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 31] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 31] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 31] Roq: CSR block should only happen in s_idle -[DEBUG][time= 31] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 31] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 31] Roq: -------------------------------- -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 31] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 31] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 31] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 31] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 31] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 31] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 31] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 31] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 31] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 31] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 31] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 31] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 31] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 31] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 31] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 31] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 31] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 31] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 31] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 31] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 31] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 31] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 31] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 31] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 31] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 31] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 31] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 31] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 31] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 31] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 31] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 31] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 31] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 31] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 31] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 31] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 31] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 31] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 31] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 31] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 31] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 31] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 31] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 31] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 31] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 31] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 31] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 31] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 31] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 31] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 31] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 31] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 31] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 31] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 31] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 31] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 31] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 31] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 31] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 31] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 31] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 31] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 31] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 31] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 31] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 31] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 31] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 31] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 31] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 31] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 31] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 31] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 31] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 31] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 31] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 31] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 31] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 31] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 31] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 31] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 31] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 31] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 31] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 31] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 31] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 31] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 31] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 31] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 31] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 31] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 31] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 31] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 31] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 31] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 31] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 31] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 31] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 31] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 31] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 31] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 31] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 31] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 31] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 31] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 31] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 31] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 31] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 31] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 31] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 31] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 31] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 31] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 31] Brq: ---------------- -[DEBUG][time= 31] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 31] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 31] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 31] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 31] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 31] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 32] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 32] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 32] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 32] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 32] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 32] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 32] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 32] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 32] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 32] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 32] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 32] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 32] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 32] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 32] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 32] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 32] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 32] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 32] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 32] BPUStage3: flushS3=0 -[DEBUG][time= 32] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 32] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 32] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 32] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 32] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 32] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 32] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 32] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 32] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 32] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 32] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 32] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 32] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 32] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 32] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 32] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 32] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 32] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 32] Dispatch2: regfile 0 from 3 -[DEBUG][time= 32] Dispatch2: regfile 1 from 3 -[DEBUG][time= 32] Dispatch2: regfile 2 from 0 -[DEBUG][time= 32] Dispatch2: regfile 3 from 0 -[DEBUG][time= 32] Dispatch2: regfile 4 from 0 -[DEBUG][time= 32] Dispatch2: regfile 5 from 0 -[DEBUG][time= 32] Dispatch2: regfile 6 from 0 -[DEBUG][time= 32] Dispatch2: regfile 7 from 0 -[DEBUG][time= 32] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 32] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 32] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 32] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 32] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 32] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 32] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 32] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 32] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 32] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 32] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 32] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 32] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 32] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 32] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 32] Roq: CSR block should only happen in s_idle -[DEBUG][time= 32] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 32] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 32] Roq: -------------------------------- -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 32] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 32] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 32] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 32] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 32] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 32] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 32] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 32] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 32] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 32] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 32] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 32] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 32] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 32] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 32] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 32] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 32] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 32] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 32] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 32] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 32] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 32] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 32] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 32] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 32] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 32] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 32] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 32] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 32] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 32] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 32] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 32] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 32] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 32] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 32] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 32] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 32] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 32] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 32] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 32] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 32] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 32] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 32] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 32] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 32] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 32] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 32] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 32] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 32] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 32] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 32] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 32] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 32] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 32] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 32] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 32] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 32] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 32] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 32] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 32] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 32] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 32] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 32] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 32] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 32] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 32] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 32] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 32] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 32] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 32] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 32] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 32] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 32] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 32] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 32] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 32] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 32] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 32] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 32] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 32] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 32] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 32] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 32] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 32] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 32] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 32] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 32] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 32] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 32] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 32] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 32] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 32] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 32] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 32] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 32] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 32] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 32] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 32] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 32] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 32] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 32] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 32] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 32] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 32] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 32] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 32] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 32] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 32] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 32] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 32] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 32] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 32] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 32] Brq: ---------------- -[DEBUG][time= 32] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 32] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 32] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 32] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 32] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 32] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 33] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 33] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 33] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 33] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 33] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 33] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 33] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 33] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 33] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 33] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 33] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 33] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 33] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 33] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 33] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 33] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 33] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 33] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 33] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 33] BPUStage3: flushS3=0 -[DEBUG][time= 33] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 33] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 33] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 33] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 33] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 33] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 33] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 33] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 33] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 33] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 33] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 33] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 33] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 33] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 33] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 33] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 33] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 33] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 33] Dispatch2: regfile 0 from 3 -[DEBUG][time= 33] Dispatch2: regfile 1 from 3 -[DEBUG][time= 33] Dispatch2: regfile 2 from 0 -[DEBUG][time= 33] Dispatch2: regfile 3 from 0 -[DEBUG][time= 33] Dispatch2: regfile 4 from 0 -[DEBUG][time= 33] Dispatch2: regfile 5 from 0 -[DEBUG][time= 33] Dispatch2: regfile 6 from 0 -[DEBUG][time= 33] Dispatch2: regfile 7 from 0 -[DEBUG][time= 33] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 33] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 33] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 33] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 33] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 33] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 33] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 33] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 33] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 33] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 33] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 33] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 33] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 33] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 33] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 33] Roq: CSR block should only happen in s_idle -[DEBUG][time= 33] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 33] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 33] Roq: -------------------------------- -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 33] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 33] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 33] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 33] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 33] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 33] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 33] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 33] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 33] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 33] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 33] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 33] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 33] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 33] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 33] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 33] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 33] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 33] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 33] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 33] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 33] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 33] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 33] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 33] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 33] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 33] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 33] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 33] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 33] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 33] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 33] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 33] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 33] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 33] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 33] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 33] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 33] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 33] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 33] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 33] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 33] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 33] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 33] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 33] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 33] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 33] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 33] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 33] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 33] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 33] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 33] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 33] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 33] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 33] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 33] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 33] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 33] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 33] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 33] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 33] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 33] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 33] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 33] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 33] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 33] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 33] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 33] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 33] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 33] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 33] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 33] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 33] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 33] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 33] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 33] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 33] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 33] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 33] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 33] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 33] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 33] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 33] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 33] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 33] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 33] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 33] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 33] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 33] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 33] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 33] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 33] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 33] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 33] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 33] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 33] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 33] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 33] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 33] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 33] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 33] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 33] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 33] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 33] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 33] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 33] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 33] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 33] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 33] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 33] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 33] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 33] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 33] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 33] Brq: ---------------- -[DEBUG][time= 33] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 33] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 33] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 33] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 33] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 33] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 34] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 34] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 34] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 34] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 34] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 34] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 34] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 34] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 34] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 34] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 34] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 34] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 34] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 34] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 34] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 34] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 34] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 34] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 34] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 34] BPUStage3: flushS3=0 -[DEBUG][time= 34] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 34] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 34] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 34] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 34] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 34] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 34] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 34] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 34] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 34] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 34] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 34] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 34] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 34] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 34] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 34] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 34] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 34] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 34] Dispatch2: regfile 0 from 3 -[DEBUG][time= 34] Dispatch2: regfile 1 from 3 -[DEBUG][time= 34] Dispatch2: regfile 2 from 0 -[DEBUG][time= 34] Dispatch2: regfile 3 from 0 -[DEBUG][time= 34] Dispatch2: regfile 4 from 0 -[DEBUG][time= 34] Dispatch2: regfile 5 from 0 -[DEBUG][time= 34] Dispatch2: regfile 6 from 0 -[DEBUG][time= 34] Dispatch2: regfile 7 from 0 -[DEBUG][time= 34] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 34] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 34] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 34] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 34] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 34] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 34] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 34] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 34] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 34] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 34] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 34] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 34] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 34] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 34] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 34] Roq: CSR block should only happen in s_idle -[DEBUG][time= 34] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 34] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 34] Roq: -------------------------------- -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 34] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 34] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 34] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 34] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 34] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 34] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 34] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 34] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 34] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 34] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 34] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 34] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 34] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 34] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 34] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 34] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 34] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 34] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 34] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 34] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 34] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 34] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 34] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 34] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 34] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 34] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 34] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 34] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 34] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 34] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 34] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 34] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 34] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 34] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 34] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 34] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 34] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 34] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 34] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 34] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 34] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 34] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 34] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 34] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 34] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 34] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 34] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 34] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 34] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 34] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 34] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 34] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 34] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 34] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 34] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 34] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 34] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 34] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 34] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 34] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 34] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 34] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 34] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 34] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 34] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 34] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 34] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 34] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 34] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 34] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 34] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 34] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 34] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 34] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 34] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 34] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 34] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 34] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 34] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 34] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 34] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 34] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 34] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 34] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 34] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 34] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 34] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 34] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 34] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 34] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 34] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 34] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 34] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 34] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 34] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 34] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 34] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 34] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 34] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 34] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 34] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 34] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 34] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 34] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 34] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 34] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 34] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 34] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 34] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 34] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 34] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 34] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 34] Brq: ---------------- -[DEBUG][time= 34] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 34] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 34] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 34] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 34] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 34] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 35] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 35] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 35] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 35] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 35] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 35] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 35] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 35] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 35] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 35] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 35] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 35] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 35] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 35] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 35] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 35] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 35] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 35] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 35] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 35] BPUStage3: flushS3=0 -[DEBUG][time= 35] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 35] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 35] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 35] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 35] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 35] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 35] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 35] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 35] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 35] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 35] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 35] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 35] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 35] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 35] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 35] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 35] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 35] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 35] Dispatch2: regfile 0 from 3 -[DEBUG][time= 35] Dispatch2: regfile 1 from 3 -[DEBUG][time= 35] Dispatch2: regfile 2 from 0 -[DEBUG][time= 35] Dispatch2: regfile 3 from 0 -[DEBUG][time= 35] Dispatch2: regfile 4 from 0 -[DEBUG][time= 35] Dispatch2: regfile 5 from 0 -[DEBUG][time= 35] Dispatch2: regfile 6 from 0 -[DEBUG][time= 35] Dispatch2: regfile 7 from 0 -[DEBUG][time= 35] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 35] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 35] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 35] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 35] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 35] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 35] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 35] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 35] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 35] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 35] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 35] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 35] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 35] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 35] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 35] Roq: CSR block should only happen in s_idle -[DEBUG][time= 35] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 35] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 35] Roq: -------------------------------- -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 35] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 35] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 35] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 35] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 35] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 35] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 35] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 35] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 35] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 35] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 35] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 35] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 35] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 35] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 35] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 35] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 35] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 35] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 35] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 35] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 35] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 35] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 35] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 35] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 35] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 35] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 35] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 35] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 35] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 35] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 35] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 35] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 35] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 35] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 35] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 35] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 35] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 35] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 35] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 35] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 35] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 35] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 35] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 35] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 35] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 35] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 35] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 35] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 35] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 35] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 35] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 35] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 35] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 35] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 35] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 35] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 35] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 35] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 35] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 35] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 35] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 35] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 35] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 35] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 35] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 35] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 35] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 35] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 35] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 35] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 35] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 35] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 35] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 35] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 35] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 35] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 35] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 35] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 35] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 35] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 35] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 35] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 35] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 35] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 35] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 35] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 35] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 35] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 35] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 35] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 35] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 35] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 35] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 35] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 35] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 35] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 35] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 35] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 35] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 35] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 35] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 35] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 35] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 35] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 35] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 35] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 35] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 35] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 35] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 35] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 35] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 35] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 35] Brq: ---------------- -[DEBUG][time= 35] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 35] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 35] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 35] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 35] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 35] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 36] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 36] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 36] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 36] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 36] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 36] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 36] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 36] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 36] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 36] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 36] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 36] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 36] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 36] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 36] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 36] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 36] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 36] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 36] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 36] BPUStage3: flushS3=0 -[DEBUG][time= 36] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 36] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 36] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 36] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 36] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 36] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 36] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 36] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 36] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 36] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 36] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 36] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 36] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 36] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 36] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 36] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 36] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 36] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 36] Dispatch2: regfile 0 from 3 -[DEBUG][time= 36] Dispatch2: regfile 1 from 3 -[DEBUG][time= 36] Dispatch2: regfile 2 from 0 -[DEBUG][time= 36] Dispatch2: regfile 3 from 0 -[DEBUG][time= 36] Dispatch2: regfile 4 from 0 -[DEBUG][time= 36] Dispatch2: regfile 5 from 0 -[DEBUG][time= 36] Dispatch2: regfile 6 from 0 -[DEBUG][time= 36] Dispatch2: regfile 7 from 0 -[DEBUG][time= 36] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 36] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 36] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 36] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 36] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 36] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 36] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 36] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 36] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 36] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 36] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 36] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 36] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 36] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 36] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 36] Roq: CSR block should only happen in s_idle -[DEBUG][time= 36] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 36] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 36] Roq: -------------------------------- -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 36] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 36] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 36] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 36] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 36] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 36] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 36] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 36] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 36] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 36] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 36] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 36] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 36] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 36] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 36] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 36] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 36] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 36] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 36] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 36] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 36] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 36] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 36] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 36] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 36] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 36] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 36] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 36] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 36] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 36] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 36] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 36] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 36] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 36] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 36] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 36] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 36] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 36] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 36] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 36] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 36] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 36] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 36] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 36] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 36] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 36] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 36] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 36] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 36] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 36] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 36] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 36] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 36] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 36] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 36] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 36] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 36] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 36] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 36] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 36] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 36] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 36] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 36] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 36] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 36] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 36] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 36] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 36] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 36] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 36] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 36] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 36] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 36] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 36] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 36] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 36] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 36] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 36] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 36] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 36] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 36] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 36] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 36] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 36] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 36] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 36] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 36] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 36] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 36] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 36] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 36] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 36] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 36] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 36] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 36] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 36] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 36] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 36] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 36] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 36] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 36] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 36] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 36] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 36] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 36] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 36] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 36] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 36] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 36] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 36] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 36] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 36] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 36] Brq: ---------------- -[DEBUG][time= 36] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 36] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 36] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 36] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 36] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 36] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 37] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 37] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 37] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 37] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 37] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 37] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 37] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 37] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 37] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 37] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 37] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 37] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 37] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 37] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 37] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 37] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 37] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 37] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 37] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 37] BPUStage3: flushS3=0 -[DEBUG][time= 37] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 37] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 37] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 37] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 37] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 37] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 37] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 37] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 37] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 37] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 37] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 37] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 37] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 37] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 37] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 37] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 37] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 37] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 37] Dispatch2: regfile 0 from 3 -[DEBUG][time= 37] Dispatch2: regfile 1 from 3 -[DEBUG][time= 37] Dispatch2: regfile 2 from 0 -[DEBUG][time= 37] Dispatch2: regfile 3 from 0 -[DEBUG][time= 37] Dispatch2: regfile 4 from 0 -[DEBUG][time= 37] Dispatch2: regfile 5 from 0 -[DEBUG][time= 37] Dispatch2: regfile 6 from 0 -[DEBUG][time= 37] Dispatch2: regfile 7 from 0 -[DEBUG][time= 37] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 37] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 37] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 37] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 37] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 37] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 37] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 37] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 37] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 37] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 37] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 37] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 37] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 37] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 37] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 37] Roq: CSR block should only happen in s_idle -[DEBUG][time= 37] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 37] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 37] Roq: -------------------------------- -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 37] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 37] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 37] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 37] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 37] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 37] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 37] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 37] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 37] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 37] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 37] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 37] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 37] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 37] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 37] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 37] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 37] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 37] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 37] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 37] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 37] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 37] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 37] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 37] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 37] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 37] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 37] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 37] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 37] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 37] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 37] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 37] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 37] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 37] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 37] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 37] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 37] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 37] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 37] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 37] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 37] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 37] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 37] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 37] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 37] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 37] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 37] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 37] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 37] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 37] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 37] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 37] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 37] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 37] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 37] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 37] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 37] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 37] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 37] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 37] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 37] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 37] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 37] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 37] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 37] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 37] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 37] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 37] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 37] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 37] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 37] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 37] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 37] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 37] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 37] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 37] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 37] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 37] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 37] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 37] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 37] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 37] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 37] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 37] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 37] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 37] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 37] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 37] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 37] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 37] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 37] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 37] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 37] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 37] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 37] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 37] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 37] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 37] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 37] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 37] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 37] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 37] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 37] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 37] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 37] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 37] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 37] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 37] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 37] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 37] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 37] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 37] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 37] Brq: ---------------- -[DEBUG][time= 37] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 37] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 37] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 37] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 37] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 37] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 38] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 38] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 38] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 38] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 38] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 38] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 38] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 38] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 38] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 38] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 38] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 38] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 38] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 38] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 38] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 38] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 38] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 38] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 38] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 38] BPUStage3: flushS3=0 -[DEBUG][time= 38] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 38] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 38] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 38] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 38] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 38] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 38] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 38] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 38] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 38] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 38] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 38] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 38] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 38] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 38] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 38] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 38] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 38] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 38] Dispatch2: regfile 0 from 3 -[DEBUG][time= 38] Dispatch2: regfile 1 from 3 -[DEBUG][time= 38] Dispatch2: regfile 2 from 0 -[DEBUG][time= 38] Dispatch2: regfile 3 from 0 -[DEBUG][time= 38] Dispatch2: regfile 4 from 0 -[DEBUG][time= 38] Dispatch2: regfile 5 from 0 -[DEBUG][time= 38] Dispatch2: regfile 6 from 0 -[DEBUG][time= 38] Dispatch2: regfile 7 from 0 -[DEBUG][time= 38] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 38] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 38] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 38] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 38] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 38] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 38] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 38] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 38] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 38] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 38] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 38] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 38] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 38] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 38] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 38] Roq: CSR block should only happen in s_idle -[DEBUG][time= 38] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 38] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 38] Roq: -------------------------------- -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 38] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 38] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 38] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 38] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 38] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 38] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 38] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 38] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 38] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 38] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 38] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 38] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 38] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 38] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 38] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 38] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 38] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 38] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 38] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 38] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 38] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 38] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 38] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 38] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 38] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 38] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 38] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 38] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 38] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 38] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 38] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 38] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 38] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 38] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 38] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 38] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 38] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 38] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 38] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 38] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 38] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 38] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 38] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 38] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 38] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 38] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 38] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 38] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 38] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 38] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 38] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 38] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 38] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 38] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 38] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 38] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 38] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 38] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 38] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 38] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 38] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 38] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 38] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 38] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 38] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 38] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 38] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 38] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 38] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 38] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 38] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 38] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 38] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 38] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 38] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 38] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 38] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 38] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 38] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 38] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 38] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 38] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 38] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 38] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 38] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 38] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 38] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 38] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 38] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 38] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 38] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 38] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 38] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 38] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 38] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 38] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 38] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 38] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 38] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 38] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 38] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 38] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 38] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 38] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 38] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 38] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 38] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 38] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 38] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 38] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 38] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 38] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 38] Brq: ---------------- -[DEBUG][time= 38] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 38] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 38] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 38] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 38] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 38] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 39] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 39] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 39] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 39] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 39] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 39] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 39] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 39] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 39] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 39] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 39] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 39] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 39] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 39] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 39] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 39] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 39] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 39] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 39] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 39] BPUStage3: flushS3=0 -[DEBUG][time= 39] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 39] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 39] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 39] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 39] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 39] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 39] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 39] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 39] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 39] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 39] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 39] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 39] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 39] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 39] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 39] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 39] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 39] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 39] Dispatch2: regfile 0 from 3 -[DEBUG][time= 39] Dispatch2: regfile 1 from 3 -[DEBUG][time= 39] Dispatch2: regfile 2 from 0 -[DEBUG][time= 39] Dispatch2: regfile 3 from 0 -[DEBUG][time= 39] Dispatch2: regfile 4 from 0 -[DEBUG][time= 39] Dispatch2: regfile 5 from 0 -[DEBUG][time= 39] Dispatch2: regfile 6 from 0 -[DEBUG][time= 39] Dispatch2: regfile 7 from 0 -[DEBUG][time= 39] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 39] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 39] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 39] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 39] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 39] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 39] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 39] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 39] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 39] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 39] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 39] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 39] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 39] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 39] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 39] Roq: CSR block should only happen in s_idle -[DEBUG][time= 39] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 39] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 39] Roq: -------------------------------- -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 39] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 39] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 39] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 39] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 39] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 39] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 39] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 39] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 39] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 39] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 39] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 39] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 39] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 39] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 39] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 39] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 39] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 39] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 39] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 39] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 39] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 39] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 39] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 39] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 39] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 39] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 39] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 39] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 39] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 39] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 39] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 39] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 39] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 39] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 39] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 39] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 39] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 39] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 39] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 39] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 39] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 39] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 39] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 39] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 39] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 39] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 39] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 39] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 39] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 39] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 39] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 39] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 39] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 39] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 39] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 39] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 39] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 39] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 39] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 39] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 39] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 39] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 39] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 39] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 39] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 39] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 39] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 39] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 39] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 39] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 39] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 39] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 39] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 39] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 39] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 39] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 39] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 39] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 39] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 39] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 39] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 39] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 39] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 39] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 39] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 39] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 39] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 39] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 39] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 39] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 39] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 39] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 39] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 39] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 39] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 39] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 39] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 39] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 39] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 39] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 39] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 39] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 39] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 39] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 39] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 39] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 39] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 39] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 39] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 39] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 39] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 39] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 39] Brq: ---------------- -[DEBUG][time= 39] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 39] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 39] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 39] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 39] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 39] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 40] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 40] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 40] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 40] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 40] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 40] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 40] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 40] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 40] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 40] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 40] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 40] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 40] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 40] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 40] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 40] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 40] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 40] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 40] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 40] BPUStage3: flushS3=0 -[DEBUG][time= 40] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 40] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 40] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 40] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 40] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 40] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 40] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 40] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 40] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 40] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 40] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 40] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 40] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 40] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 40] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 40] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 40] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 40] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 40] Dispatch2: regfile 0 from 3 -[DEBUG][time= 40] Dispatch2: regfile 1 from 3 -[DEBUG][time= 40] Dispatch2: regfile 2 from 0 -[DEBUG][time= 40] Dispatch2: regfile 3 from 0 -[DEBUG][time= 40] Dispatch2: regfile 4 from 0 -[DEBUG][time= 40] Dispatch2: regfile 5 from 0 -[DEBUG][time= 40] Dispatch2: regfile 6 from 0 -[DEBUG][time= 40] Dispatch2: regfile 7 from 0 -[DEBUG][time= 40] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 40] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 40] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 40] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 40] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 40] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 40] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 40] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 40] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 40] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 40] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 40] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 40] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 40] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 40] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 40] Roq: CSR block should only happen in s_idle -[DEBUG][time= 40] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 40] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 40] Roq: -------------------------------- -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 40] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 40] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 40] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 40] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 40] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 40] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 40] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 40] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 40] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 40] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 40] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 40] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 40] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 40] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 40] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 40] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 40] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 40] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 40] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 40] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 40] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 40] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 40] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 40] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 40] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 40] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 40] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 40] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 40] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 40] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 40] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 40] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 40] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 40] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 40] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 40] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 40] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 40] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 40] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 40] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 40] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 40] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 40] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 40] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 40] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 40] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 40] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 40] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 40] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 40] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 40] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 40] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 40] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 40] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 40] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 40] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 40] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 40] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 40] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 40] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 40] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 40] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 40] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 40] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 40] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 40] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 40] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 40] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 40] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 40] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 40] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 40] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 40] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 40] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 40] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 40] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 40] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 40] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 40] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 40] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 40] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 40] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 40] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 40] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 40] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 40] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 40] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 40] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 40] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 40] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 40] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 40] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 40] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 40] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 40] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 40] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 40] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 40] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 40] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 40] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 40] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 40] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 40] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 40] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 40] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 40] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 40] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 40] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 40] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 40] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 40] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 40] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 40] Brq: ---------------- -[DEBUG][time= 40] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 40] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 40] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 40] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 40] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 40] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 41] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 41] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 41] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 41] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 41] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 41] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 41] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 41] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 41] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 41] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 41] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 41] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 41] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 41] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 41] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 41] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 41] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 41] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 41] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 41] BPUStage3: flushS3=0 -[DEBUG][time= 41] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 41] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 41] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 41] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 41] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 41] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 41] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 41] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 41] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 41] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 41] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 41] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 41] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 41] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 41] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 41] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 41] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 41] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 41] Dispatch2: regfile 0 from 3 -[DEBUG][time= 41] Dispatch2: regfile 1 from 3 -[DEBUG][time= 41] Dispatch2: regfile 2 from 0 -[DEBUG][time= 41] Dispatch2: regfile 3 from 0 -[DEBUG][time= 41] Dispatch2: regfile 4 from 0 -[DEBUG][time= 41] Dispatch2: regfile 5 from 0 -[DEBUG][time= 41] Dispatch2: regfile 6 from 0 -[DEBUG][time= 41] Dispatch2: regfile 7 from 0 -[DEBUG][time= 41] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 41] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 41] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 41] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 41] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 41] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 41] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 41] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 41] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 41] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 41] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 41] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 41] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 41] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 41] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 41] Roq: CSR block should only happen in s_idle -[DEBUG][time= 41] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 41] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 41] Roq: -------------------------------- -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 41] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 41] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 41] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 41] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 41] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 41] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 41] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 41] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 41] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 41] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 41] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 41] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 41] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 41] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 41] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 41] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 41] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 41] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 41] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 41] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 41] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 41] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 41] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 41] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 41] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 41] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 41] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 41] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 41] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 41] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 41] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 41] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 41] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 41] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 41] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 41] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 41] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 41] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 41] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 41] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 41] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 41] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 41] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 41] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 41] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 41] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 41] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 41] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 41] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 41] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 41] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 41] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 41] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 41] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 41] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 41] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 41] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 41] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 41] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 41] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 41] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 41] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 41] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 41] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 41] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 41] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 41] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 41] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 41] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 41] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 41] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 41] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 41] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 41] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 41] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 41] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 41] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 41] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 41] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 41] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 41] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 41] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 41] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 41] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 41] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 41] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 41] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 41] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 41] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 41] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 41] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 41] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 41] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 41] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 41] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 41] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 41] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 41] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 41] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 41] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 41] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 41] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 41] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 41] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 41] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 41] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 41] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 41] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 41] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 41] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 41] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 41] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 41] Brq: ---------------- -[DEBUG][time= 41] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 41] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 41] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 41] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 41] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 41] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 42] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 42] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 42] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 42] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 42] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 42] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 42] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 42] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 42] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 42] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 42] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 42] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 42] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 42] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 42] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 42] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 42] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 42] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 42] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 42] BPUStage3: flushS3=0 -[DEBUG][time= 42] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 42] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 42] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 42] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 42] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 42] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 42] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 42] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 42] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 42] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 42] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 42] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 42] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 42] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 42] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 42] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 42] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 42] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 42] Dispatch2: regfile 0 from 3 -[DEBUG][time= 42] Dispatch2: regfile 1 from 3 -[DEBUG][time= 42] Dispatch2: regfile 2 from 0 -[DEBUG][time= 42] Dispatch2: regfile 3 from 0 -[DEBUG][time= 42] Dispatch2: regfile 4 from 0 -[DEBUG][time= 42] Dispatch2: regfile 5 from 0 -[DEBUG][time= 42] Dispatch2: regfile 6 from 0 -[DEBUG][time= 42] Dispatch2: regfile 7 from 0 -[DEBUG][time= 42] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 42] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 42] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 42] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 42] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 42] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 42] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 42] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 42] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 42] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 42] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 42] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 42] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 42] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 42] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 42] Roq: CSR block should only happen in s_idle -[DEBUG][time= 42] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 42] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 42] Roq: -------------------------------- -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 42] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 42] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 42] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 42] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 42] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 42] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 42] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 42] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 42] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 42] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 42] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 42] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 42] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 42] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 42] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 42] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 42] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 42] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 42] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 42] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 42] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 42] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 42] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 42] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 42] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 42] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 42] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 42] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 42] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 42] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 42] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 42] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 42] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 42] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 42] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 42] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 42] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 42] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 42] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 42] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 42] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 42] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 42] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 42] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 42] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 42] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 42] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 42] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 42] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 42] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 42] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 42] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 42] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 42] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 42] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 42] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 42] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 42] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 42] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 42] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 42] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 42] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 42] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 42] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 42] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 42] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 42] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 42] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 42] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 42] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 42] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 42] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 42] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 42] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 42] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 42] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 42] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 42] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 42] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 42] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 42] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 42] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 42] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 42] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 42] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 42] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 42] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 42] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 42] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 42] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 42] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 42] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 42] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 42] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 42] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 42] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 42] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 42] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 42] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 42] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 42] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 42] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 42] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 42] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 42] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 42] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 42] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 42] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 42] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 42] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 42] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 42] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 42] Brq: ---------------- -[DEBUG][time= 42] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 42] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 42] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 42] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 42] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 42] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 43] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 43] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 43] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 43] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 43] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 43] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 43] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 43] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 43] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 43] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 43] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 43] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 43] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 43] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 43] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 43] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 43] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 43] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 43] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 43] BPUStage3: flushS3=0 -[DEBUG][time= 43] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 43] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 43] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 43] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 43] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 43] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 43] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 43] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 43] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 43] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 43] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 43] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 43] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 43] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 43] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 43] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 43] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 43] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 43] Dispatch2: regfile 0 from 3 -[DEBUG][time= 43] Dispatch2: regfile 1 from 3 -[DEBUG][time= 43] Dispatch2: regfile 2 from 0 -[DEBUG][time= 43] Dispatch2: regfile 3 from 0 -[DEBUG][time= 43] Dispatch2: regfile 4 from 0 -[DEBUG][time= 43] Dispatch2: regfile 5 from 0 -[DEBUG][time= 43] Dispatch2: regfile 6 from 0 -[DEBUG][time= 43] Dispatch2: regfile 7 from 0 -[DEBUG][time= 43] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 43] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 43] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 43] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 43] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 43] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 43] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 43] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 43] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 43] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 43] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 43] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 43] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 43] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 43] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 43] Roq: CSR block should only happen in s_idle -[DEBUG][time= 43] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 43] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 43] Roq: -------------------------------- -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 43] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 43] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 43] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 43] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 43] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 43] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 43] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 43] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 43] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 43] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 43] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 43] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 43] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 43] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 43] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 43] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 43] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 43] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 43] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 43] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 43] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 43] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 43] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 43] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 43] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 43] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 43] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 43] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 43] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 43] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 43] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 43] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 43] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 43] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 43] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 43] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 43] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 43] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 43] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 43] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 43] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 43] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 43] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 43] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 43] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 43] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 43] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 43] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 43] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 43] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 43] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 43] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 43] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 43] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 43] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 43] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 43] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 43] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 43] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 43] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 43] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 43] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 43] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 43] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 43] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 43] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 43] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 43] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 43] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 43] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 43] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 43] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 43] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 43] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 43] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 43] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 43] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 43] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 43] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 43] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 43] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 43] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 43] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 43] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 43] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 43] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 43] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 43] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 43] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 43] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 43] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 43] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 43] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 43] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 43] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 43] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 43] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 43] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 43] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 43] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 43] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 43] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 43] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 43] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 43] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 43] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 43] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 43] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 43] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 43] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 43] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 43] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 43] Brq: ---------------- -[DEBUG][time= 43] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 43] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 43] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 43] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 43] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 43] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 44] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 44] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 44] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 44] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 44] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 44] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 44] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 44] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 44] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 44] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 44] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 44] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 44] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 44] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 44] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 44] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 44] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 44] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 44] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 44] BPUStage3: flushS3=0 -[DEBUG][time= 44] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 44] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 44] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 44] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 44] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 44] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 44] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 44] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 44] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 44] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 44] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 44] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 44] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 44] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 44] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 44] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 44] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 44] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 44] Dispatch2: regfile 0 from 3 -[DEBUG][time= 44] Dispatch2: regfile 1 from 3 -[DEBUG][time= 44] Dispatch2: regfile 2 from 0 -[DEBUG][time= 44] Dispatch2: regfile 3 from 0 -[DEBUG][time= 44] Dispatch2: regfile 4 from 0 -[DEBUG][time= 44] Dispatch2: regfile 5 from 0 -[DEBUG][time= 44] Dispatch2: regfile 6 from 0 -[DEBUG][time= 44] Dispatch2: regfile 7 from 0 -[DEBUG][time= 44] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 44] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 44] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 44] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 44] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 44] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 44] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 44] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 44] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 44] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 44] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 44] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 44] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 44] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 44] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 44] Roq: CSR block should only happen in s_idle -[DEBUG][time= 44] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 44] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 44] Roq: -------------------------------- -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 44] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 44] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 44] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 44] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 44] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 44] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 44] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 44] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 44] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 44] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 44] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 44] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 44] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 44] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 44] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 44] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 44] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 44] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 44] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 44] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 44] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 44] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 44] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 44] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 44] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 44] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 44] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 44] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 44] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 44] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 44] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 44] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 44] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 44] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 44] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 44] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 44] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 44] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 44] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 44] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 44] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 44] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 44] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 44] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 44] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 44] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 44] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 44] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 44] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 44] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 44] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 44] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 44] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 44] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 44] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 44] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 44] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 44] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 44] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 44] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 44] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 44] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 44] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 44] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 44] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 44] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 44] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 44] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 44] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 44] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 44] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 44] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 44] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 44] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 44] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 44] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 44] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 44] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 44] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 44] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 44] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 44] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 44] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 44] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 44] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 44] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 44] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 44] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 44] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 44] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 44] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 44] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 44] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 44] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 44] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 44] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 44] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 44] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 44] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 44] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 44] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 44] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 44] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 44] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 44] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 44] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 44] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 44] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 44] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 44] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 44] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 44] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 44] Brq: ---------------- -[DEBUG][time= 44] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 44] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 44] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 44] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 44] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 44] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 45] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 45] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 45] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 45] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 45] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 45] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 45] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 45] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 45] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 45] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 45] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 45] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 45] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 45] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 45] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 45] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 45] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 45] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 45] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 45] BPUStage3: flushS3=0 -[DEBUG][time= 45] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 45] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 45] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 45] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 45] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 45] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 45] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 45] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 45] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 45] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 45] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 45] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 45] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 45] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 45] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 45] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 45] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 45] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 45] Dispatch2: regfile 0 from 3 -[DEBUG][time= 45] Dispatch2: regfile 1 from 3 -[DEBUG][time= 45] Dispatch2: regfile 2 from 0 -[DEBUG][time= 45] Dispatch2: regfile 3 from 0 -[DEBUG][time= 45] Dispatch2: regfile 4 from 0 -[DEBUG][time= 45] Dispatch2: regfile 5 from 0 -[DEBUG][time= 45] Dispatch2: regfile 6 from 0 -[DEBUG][time= 45] Dispatch2: regfile 7 from 0 -[DEBUG][time= 45] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 45] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 45] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 45] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 45] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 45] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 45] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 45] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 45] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 45] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 45] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 45] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 45] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 45] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 45] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 45] Roq: CSR block should only happen in s_idle -[DEBUG][time= 45] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 45] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 45] Roq: -------------------------------- -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 45] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 45] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 45] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 45] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 45] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 45] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 45] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 45] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 45] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 45] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 45] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 45] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 45] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 45] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 45] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 45] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 45] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 45] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 45] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 45] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 45] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 45] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 45] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 45] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 45] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 45] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 45] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 45] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 45] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 45] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 45] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 45] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 45] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 45] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 45] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 45] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 45] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 45] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 45] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 45] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 45] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 45] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 45] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 45] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 45] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 45] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 45] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 45] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 45] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 45] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 45] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 45] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 45] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 45] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 45] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 45] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 45] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 45] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 45] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 45] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 45] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 45] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 45] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 45] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 45] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 45] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 45] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 45] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 45] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 45] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 45] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 45] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 45] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 45] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 45] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 45] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 45] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 45] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 45] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 45] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 45] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 45] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 45] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 45] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 45] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 45] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 45] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 45] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 45] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 45] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 45] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 45] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 45] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 45] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 45] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 45] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 45] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 45] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 45] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 45] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 45] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 45] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 45] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 45] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 45] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 45] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 45] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 45] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 45] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 45] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 45] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 45] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 45] Brq: ---------------- -[DEBUG][time= 45] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 45] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 45] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 45] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 45] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 45] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 46] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 46] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 46] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 46] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 46] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 46] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 46] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 46] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 46] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 46] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 46] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 46] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 46] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 46] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 46] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 46] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 46] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 46] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 46] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 46] BPUStage3: flushS3=0 -[DEBUG][time= 46] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 46] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 46] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 46] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 46] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 46] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 46] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 46] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 46] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 46] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 46] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 46] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 46] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 46] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 46] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 46] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 46] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 46] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 46] Dispatch2: regfile 0 from 3 -[DEBUG][time= 46] Dispatch2: regfile 1 from 3 -[DEBUG][time= 46] Dispatch2: regfile 2 from 0 -[DEBUG][time= 46] Dispatch2: regfile 3 from 0 -[DEBUG][time= 46] Dispatch2: regfile 4 from 0 -[DEBUG][time= 46] Dispatch2: regfile 5 from 0 -[DEBUG][time= 46] Dispatch2: regfile 6 from 0 -[DEBUG][time= 46] Dispatch2: regfile 7 from 0 -[DEBUG][time= 46] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 46] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 46] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 46] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 46] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 46] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 46] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 46] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 46] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 46] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 46] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 46] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 46] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 46] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 46] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 46] Roq: CSR block should only happen in s_idle -[DEBUG][time= 46] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 46] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 46] Roq: -------------------------------- -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 46] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 46] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 46] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 46] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 46] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 46] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 46] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 46] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 46] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 46] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 46] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 46] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 46] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 46] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 46] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 46] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 46] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 46] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 46] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 46] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 46] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 46] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 46] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 46] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 46] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 46] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 46] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 46] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 46] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 46] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 46] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 46] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 46] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 46] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 46] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 46] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 46] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 46] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 46] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 46] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 46] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 46] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 46] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 46] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 46] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 46] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 46] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 46] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 46] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 46] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 46] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 46] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 46] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 46] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 46] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 46] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 46] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 46] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 46] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 46] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 46] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 46] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 46] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 46] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 46] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 46] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 46] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 46] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 46] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 46] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 46] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 46] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 46] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 46] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 46] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 46] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 46] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 46] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 46] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 46] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 46] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 46] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 46] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 46] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 46] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 46] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 46] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 46] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 46] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 46] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 46] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 46] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 46] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 46] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 46] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 46] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 46] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 46] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 46] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 46] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 46] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 46] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 46] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 46] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 46] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 46] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 46] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 46] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 46] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 46] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 46] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 46] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 46] Brq: ---------------- -[DEBUG][time= 46] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 46] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 46] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 46] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 46] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 46] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 47] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 47] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 47] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 47] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 47] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 47] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 47] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 47] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 47] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 47] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 47] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 47] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 47] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 47] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 47] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 47] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 47] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 47] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 47] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 47] BPUStage3: flushS3=0 -[DEBUG][time= 47] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 47] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 47] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 47] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 47] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 47] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 47] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 47] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 47] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 47] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 47] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 47] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 47] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 47] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 47] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 47] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 47] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 47] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 47] Dispatch2: regfile 0 from 3 -[DEBUG][time= 47] Dispatch2: regfile 1 from 3 -[DEBUG][time= 47] Dispatch2: regfile 2 from 0 -[DEBUG][time= 47] Dispatch2: regfile 3 from 0 -[DEBUG][time= 47] Dispatch2: regfile 4 from 0 -[DEBUG][time= 47] Dispatch2: regfile 5 from 0 -[DEBUG][time= 47] Dispatch2: regfile 6 from 0 -[DEBUG][time= 47] Dispatch2: regfile 7 from 0 -[DEBUG][time= 47] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 47] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 47] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 47] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 47] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 47] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 47] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 47] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 47] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 47] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 47] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 47] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 47] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 47] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 47] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 47] Roq: CSR block should only happen in s_idle -[DEBUG][time= 47] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 47] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 47] Roq: -------------------------------- -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 47] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 47] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 47] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 47] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 47] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 47] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 47] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 47] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 47] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 47] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 47] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 47] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 47] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 47] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 47] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 47] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 47] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 47] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 47] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 47] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 47] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 47] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 47] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 47] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 47] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 47] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 47] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 47] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 47] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 47] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 47] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 47] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 47] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 47] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 47] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 47] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 47] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 47] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 47] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 47] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 47] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 47] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 47] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 47] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 47] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 47] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 47] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 47] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 47] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 47] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 47] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 47] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 47] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 47] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 47] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 47] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 47] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 47] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 47] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 47] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 47] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 47] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 47] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 47] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 47] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 47] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 47] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 47] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 47] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 47] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 47] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 47] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 47] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 47] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 47] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 47] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 47] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 47] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 47] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 47] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 47] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 47] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 47] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 47] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 47] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 47] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 47] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 47] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 47] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 47] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 47] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 47] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 47] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 47] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 47] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 47] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 47] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 47] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 47] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 47] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 47] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 47] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 47] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 47] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 47] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 47] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 47] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 47] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 47] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 47] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 47] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 47] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 47] Brq: ---------------- -[DEBUG][time= 47] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 47] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 47] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 47] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 47] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 47] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 48] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 48] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 48] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 48] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 48] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 48] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 48] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 48] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 48] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 48] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 48] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 48] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 48] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 48] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 48] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 48] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 48] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 48] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 48] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 48] BPUStage3: flushS3=0 -[DEBUG][time= 48] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 48] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 48] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 48] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 48] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 48] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 48] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 48] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 48] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 48] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 48] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 48] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 48] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 48] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 48] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 48] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 48] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 48] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 48] Dispatch2: regfile 0 from 3 -[DEBUG][time= 48] Dispatch2: regfile 1 from 3 -[DEBUG][time= 48] Dispatch2: regfile 2 from 0 -[DEBUG][time= 48] Dispatch2: regfile 3 from 0 -[DEBUG][time= 48] Dispatch2: regfile 4 from 0 -[DEBUG][time= 48] Dispatch2: regfile 5 from 0 -[DEBUG][time= 48] Dispatch2: regfile 6 from 0 -[DEBUG][time= 48] Dispatch2: regfile 7 from 0 -[DEBUG][time= 48] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 48] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 48] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 48] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 48] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 48] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 48] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 48] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 48] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 48] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 48] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 48] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 48] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 48] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 48] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 48] Roq: CSR block should only happen in s_idle -[DEBUG][time= 48] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 48] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 48] Roq: -------------------------------- -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 48] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 48] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 48] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 48] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 48] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 48] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 48] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 48] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 48] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 48] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 48] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 48] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 48] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 48] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 48] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 48] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 48] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 48] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 48] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 48] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 48] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 48] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 48] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 48] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 48] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 48] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 48] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 48] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 48] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 48] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 48] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 48] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 48] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 48] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 48] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 48] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 48] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 48] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 48] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 48] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 48] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 48] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 48] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 48] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 48] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 48] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 48] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 48] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 48] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 48] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 48] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 48] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 48] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 48] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 48] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 48] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 48] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 48] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 48] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 48] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 48] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 48] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 48] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 48] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 48] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 48] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 48] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 48] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 48] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 48] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 48] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 48] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 48] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 48] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 48] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 48] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 48] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 48] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 48] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 48] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 48] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 48] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 48] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 48] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 48] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 48] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 48] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 48] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 48] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 48] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 48] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 48] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 48] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 48] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 48] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 48] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 48] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 48] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 48] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 48] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 48] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 48] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 48] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 48] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 48] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 48] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 48] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 48] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 48] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 48] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 48] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 48] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 48] Brq: ---------------- -[DEBUG][time= 48] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 48] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 48] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 48] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 48] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 48] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 49] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 49] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 49] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 49] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 49] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 49] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 49] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 49] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 49] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 49] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 49] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 49] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 49] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 49] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 49] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 49] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 49] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 49] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 49] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 49] BPUStage3: flushS3=0 -[DEBUG][time= 49] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 49] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 49] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 49] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 49] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 49] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 49] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 49] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 49] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 49] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 49] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 49] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 49] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 49] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 49] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 49] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 49] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 49] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 49] Dispatch2: regfile 0 from 3 -[DEBUG][time= 49] Dispatch2: regfile 1 from 3 -[DEBUG][time= 49] Dispatch2: regfile 2 from 0 -[DEBUG][time= 49] Dispatch2: regfile 3 from 0 -[DEBUG][time= 49] Dispatch2: regfile 4 from 0 -[DEBUG][time= 49] Dispatch2: regfile 5 from 0 -[DEBUG][time= 49] Dispatch2: regfile 6 from 0 -[DEBUG][time= 49] Dispatch2: regfile 7 from 0 -[DEBUG][time= 49] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 49] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 49] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 49] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 49] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 49] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 49] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 49] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 49] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 49] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 49] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 49] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 49] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 49] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 49] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 49] Roq: CSR block should only happen in s_idle -[DEBUG][time= 49] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 49] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 49] Roq: -------------------------------- -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 49] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 49] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 49] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 49] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 49] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 49] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 49] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 49] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 49] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 49] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 49] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 49] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 49] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 49] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 49] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 49] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 49] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 49] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 49] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 49] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 49] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 49] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 49] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 49] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 49] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 49] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 49] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 49] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 49] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 49] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 49] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 49] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 49] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 49] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 49] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 49] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 49] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 49] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 49] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 49] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 49] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 49] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 49] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 49] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 49] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 49] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 49] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 49] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 49] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 49] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 49] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 49] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 49] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 49] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 49] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 49] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 49] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 49] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 49] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 49] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 49] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 49] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 49] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 49] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 49] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 49] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 49] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 49] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 49] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 49] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 49] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 49] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 49] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 49] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 49] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 49] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 49] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 49] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 49] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 49] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 49] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 49] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 49] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 49] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 49] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 49] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 49] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 49] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 49] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 49] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 49] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 49] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 49] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 49] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 49] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 49] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 49] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 49] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 49] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 49] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 49] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 49] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 49] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 49] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 49] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 49] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 49] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 49] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 49] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 49] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 49] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 49] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 49] Brq: ---------------- -[DEBUG][time= 49] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 49] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 49] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 49] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 49] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 49] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 50] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 50] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 50] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 50] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 50] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 50] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 50] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 50] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 50] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 50] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 50] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 50] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 50] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 50] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 50] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 50] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 50] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 50] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 50] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 50] BPUStage3: flushS3=0 -[DEBUG][time= 50] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 50] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 50] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 50] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 50] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 50] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 50] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 50] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 50] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 50] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 50] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 50] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 50] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 50] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 50] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 50] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 50] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 50] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 50] Dispatch2: regfile 0 from 3 -[DEBUG][time= 50] Dispatch2: regfile 1 from 3 -[DEBUG][time= 50] Dispatch2: regfile 2 from 0 -[DEBUG][time= 50] Dispatch2: regfile 3 from 0 -[DEBUG][time= 50] Dispatch2: regfile 4 from 0 -[DEBUG][time= 50] Dispatch2: regfile 5 from 0 -[DEBUG][time= 50] Dispatch2: regfile 6 from 0 -[DEBUG][time= 50] Dispatch2: regfile 7 from 0 -[DEBUG][time= 50] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 50] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 50] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 50] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 50] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 50] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 50] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 50] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 50] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 50] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 50] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 50] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 50] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 50] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 50] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 50] Roq: CSR block should only happen in s_idle -[DEBUG][time= 50] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 50] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 50] Roq: -------------------------------- -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 50] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 50] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 50] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 50] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 50] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 50] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 50] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 50] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 50] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 50] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 50] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 50] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 50] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 50] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 50] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 50] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 50] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 50] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 50] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 50] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 50] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 50] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 50] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 50] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 50] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 50] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 50] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 50] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 50] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 50] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 50] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 50] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 50] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 50] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 50] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 50] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 50] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 50] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 50] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 50] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 50] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 50] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 50] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 50] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 50] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 50] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 50] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 50] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 50] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 50] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 50] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 50] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 50] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 50] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 50] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 50] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 50] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 50] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 50] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 50] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 50] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 50] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 50] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 50] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 50] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 50] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 50] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 50] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 50] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 50] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 50] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 50] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 50] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 50] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 50] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 50] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 50] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 50] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 50] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 50] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 50] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 50] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 50] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 50] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 50] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 50] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 50] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 50] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 50] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 50] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 50] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 50] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 50] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 50] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 50] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 50] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 50] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 50] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 50] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 50] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 50] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 50] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 50] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 50] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 50] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 50] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 50] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 50] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 50] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 50] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 50] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 50] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 50] Brq: ---------------- -[DEBUG][time= 50] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 50] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 50] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 50] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 50] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 50] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 51] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 51] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 51] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 51] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 51] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 51] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 51] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 51] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 51] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 51] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 51] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 51] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 51] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 51] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 51] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 51] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 51] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 51] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 51] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 51] BPUStage3: flushS3=0 -[DEBUG][time= 51] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 51] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 51] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 51] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 51] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 51] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 51] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 51] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 51] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 51] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 51] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 51] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 51] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 51] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 51] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 51] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 51] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 51] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 51] Dispatch2: regfile 0 from 3 -[DEBUG][time= 51] Dispatch2: regfile 1 from 3 -[DEBUG][time= 51] Dispatch2: regfile 2 from 0 -[DEBUG][time= 51] Dispatch2: regfile 3 from 0 -[DEBUG][time= 51] Dispatch2: regfile 4 from 0 -[DEBUG][time= 51] Dispatch2: regfile 5 from 0 -[DEBUG][time= 51] Dispatch2: regfile 6 from 0 -[DEBUG][time= 51] Dispatch2: regfile 7 from 0 -[DEBUG][time= 51] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 51] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 51] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 51] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 51] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 51] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 51] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 51] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 51] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 51] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 51] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 51] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 51] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 51] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 51] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 51] Roq: CSR block should only happen in s_idle -[DEBUG][time= 51] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 51] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 51] Roq: -------------------------------- -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 51] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 51] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 51] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 51] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 51] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 51] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 51] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 51] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 51] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 51] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 51] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 51] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 51] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 51] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 51] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 51] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 51] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 51] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 51] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 51] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 51] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 51] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 51] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 51] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 51] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 51] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 51] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 51] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 51] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 51] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 51] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 51] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 51] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 51] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 51] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 51] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 51] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 51] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 51] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 51] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 51] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 51] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 51] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 51] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 51] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 51] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 51] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 51] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 51] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 51] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 51] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 51] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 51] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 51] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 51] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 51] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 51] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 51] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 51] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 51] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 51] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 51] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 51] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 51] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 51] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 51] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 51] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 51] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 51] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 51] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 51] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 51] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 51] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 51] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 51] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 51] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 51] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 51] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 51] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 51] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 51] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 51] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 51] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 51] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 51] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 51] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 51] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 51] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 51] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 51] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 51] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 51] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 51] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 51] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 51] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 51] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 51] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 51] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 51] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 51] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 51] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 51] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 51] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 51] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 51] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 51] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 51] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 51] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 51] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 51] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 51] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 51] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 51] Brq: ---------------- -[DEBUG][time= 51] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 51] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 51] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 51] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 51] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 51] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 52] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 52] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 52] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 52] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 52] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 52] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 52] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 52] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 52] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 52] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 52] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 52] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 52] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 52] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 52] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 52] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 52] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 52] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 52] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 52] BPUStage3: flushS3=0 -[DEBUG][time= 52] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 52] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 52] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 52] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 52] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 52] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 52] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 52] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 52] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 52] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 52] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 52] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 52] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 52] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 52] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 52] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 52] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 52] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 52] Dispatch2: regfile 0 from 3 -[DEBUG][time= 52] Dispatch2: regfile 1 from 3 -[DEBUG][time= 52] Dispatch2: regfile 2 from 0 -[DEBUG][time= 52] Dispatch2: regfile 3 from 0 -[DEBUG][time= 52] Dispatch2: regfile 4 from 0 -[DEBUG][time= 52] Dispatch2: regfile 5 from 0 -[DEBUG][time= 52] Dispatch2: regfile 6 from 0 -[DEBUG][time= 52] Dispatch2: regfile 7 from 0 -[DEBUG][time= 52] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 52] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 52] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 52] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 52] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 52] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 52] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 52] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 52] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 52] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 52] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 52] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 52] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 52] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 52] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 52] Roq: CSR block should only happen in s_idle -[DEBUG][time= 52] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 52] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 52] Roq: -------------------------------- -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 52] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 52] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 52] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 52] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 52] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 52] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 52] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 52] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 52] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 52] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 52] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 52] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 52] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 52] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 52] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 52] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 52] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 52] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 52] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 52] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 52] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 52] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 52] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 52] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 52] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 52] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 52] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 52] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 52] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 52] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 52] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 52] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 52] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 52] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 52] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 52] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 52] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 52] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 52] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 52] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 52] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 52] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 52] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 52] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 52] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 52] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 52] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 52] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 52] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 52] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 52] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 52] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 52] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 52] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 52] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 52] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 52] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 52] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 52] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 52] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 52] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 52] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 52] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 52] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 52] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 52] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 52] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 52] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 52] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 52] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 52] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 52] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 52] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 52] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 52] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 52] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 52] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 52] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 52] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 52] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 52] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 52] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 52] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 52] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 52] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 52] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 52] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 52] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 52] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 52] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 52] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 52] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 52] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 52] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 52] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 52] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 52] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 52] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 52] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 52] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 52] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 52] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 52] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 52] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 52] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 52] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 52] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 52] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 52] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 52] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 52] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 52] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 52] Brq: ---------------- -[DEBUG][time= 52] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 52] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 52] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 52] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 52] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 52] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 53] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 53] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 53] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 53] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 53] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 53] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 53] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 53] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 53] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 53] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 53] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 53] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 53] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 53] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 53] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 53] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 53] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 53] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 53] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 53] BPUStage3: flushS3=0 -[DEBUG][time= 53] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 53] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 53] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 53] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 53] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 53] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 53] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 53] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 53] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 53] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 53] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 53] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 53] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 53] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 53] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 53] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 53] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 53] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 53] Dispatch2: regfile 0 from 3 -[DEBUG][time= 53] Dispatch2: regfile 1 from 3 -[DEBUG][time= 53] Dispatch2: regfile 2 from 0 -[DEBUG][time= 53] Dispatch2: regfile 3 from 0 -[DEBUG][time= 53] Dispatch2: regfile 4 from 0 -[DEBUG][time= 53] Dispatch2: regfile 5 from 0 -[DEBUG][time= 53] Dispatch2: regfile 6 from 0 -[DEBUG][time= 53] Dispatch2: regfile 7 from 0 -[DEBUG][time= 53] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 53] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 53] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 53] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 53] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 53] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 53] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 53] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 53] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 53] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 53] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 53] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 53] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 53] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 53] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 53] Roq: CSR block should only happen in s_idle -[DEBUG][time= 53] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 53] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 53] Roq: -------------------------------- -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 53] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 53] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 53] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 53] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 53] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 53] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 53] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 53] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 53] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 53] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 53] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 53] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 53] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 53] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 53] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 53] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 53] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 53] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 53] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 53] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 53] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 53] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 53] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 53] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 53] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 53] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 53] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 53] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 53] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 53] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 53] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 53] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 53] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 53] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 53] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 53] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 53] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 53] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 53] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 53] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 53] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 53] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 53] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 53] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 53] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 53] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 53] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 53] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 53] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 53] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 53] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 53] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 53] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 53] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 53] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 53] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 53] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 53] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 53] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 53] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 53] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 53] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 53] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 53] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 53] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 53] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 53] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 53] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 53] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 53] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 53] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 53] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 53] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 53] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 53] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 53] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 53] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 53] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 53] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 53] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 53] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 53] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 53] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 53] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 53] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 53] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 53] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 53] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 53] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 53] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 53] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 53] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 53] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 53] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 53] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 53] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 53] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 53] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 53] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 53] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 53] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 53] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 53] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 53] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 53] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 53] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 53] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 53] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 53] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 53] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 53] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 53] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 53] Brq: ---------------- -[DEBUG][time= 53] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 53] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 53] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 53] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 53] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 53] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 54] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 54] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 54] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 54] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 54] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 54] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 54] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 54] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 54] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 54] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 54] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 54] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 54] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 54] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 54] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 54] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 54] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 54] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 54] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 54] BPUStage3: flushS3=0 -[DEBUG][time= 54] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 54] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 54] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 54] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 54] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 54] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 54] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 54] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 54] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 54] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 54] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 54] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 54] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 54] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 54] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 54] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 54] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 54] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 54] Dispatch2: regfile 0 from 3 -[DEBUG][time= 54] Dispatch2: regfile 1 from 3 -[DEBUG][time= 54] Dispatch2: regfile 2 from 0 -[DEBUG][time= 54] Dispatch2: regfile 3 from 0 -[DEBUG][time= 54] Dispatch2: regfile 4 from 0 -[DEBUG][time= 54] Dispatch2: regfile 5 from 0 -[DEBUG][time= 54] Dispatch2: regfile 6 from 0 -[DEBUG][time= 54] Dispatch2: regfile 7 from 0 -[DEBUG][time= 54] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 54] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 54] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 54] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 54] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 54] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 54] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 54] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 54] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 54] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 54] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 54] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 54] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 54] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 54] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 54] Roq: CSR block should only happen in s_idle -[DEBUG][time= 54] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 54] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 54] Roq: -------------------------------- -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 54] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 54] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 54] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 54] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 54] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 54] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 54] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 54] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 54] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 54] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 54] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 54] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 54] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 54] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 54] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 54] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 54] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 54] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 54] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 54] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 54] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 54] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 54] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 54] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 54] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 54] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 54] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 54] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 54] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 54] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 54] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 54] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 54] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 54] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 54] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 54] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 54] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 54] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 54] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 54] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 54] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 54] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 54] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 54] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 54] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 54] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 54] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 54] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 54] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 54] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 54] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 54] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 54] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 54] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 54] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 54] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 54] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 54] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 54] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 54] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 54] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 54] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 54] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 54] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 54] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 54] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 54] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 54] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 54] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 54] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 54] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 54] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 54] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 54] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 54] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 54] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 54] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 54] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 54] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 54] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 54] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 54] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 54] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 54] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 54] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 54] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 54] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 54] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 54] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 54] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 54] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 54] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 54] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 54] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 54] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 54] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 54] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 54] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 54] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 54] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 54] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 54] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 54] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 54] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 54] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 54] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 54] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 54] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 54] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 54] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 54] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 54] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 54] Brq: ---------------- -[DEBUG][time= 54] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 54] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 54] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 54] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 54] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 54] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 55] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 55] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 55] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 55] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 55] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 55] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 55] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 55] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 55] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 55] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 55] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 55] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 55] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 55] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 55] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 55] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 55] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 55] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 55] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 55] BPUStage3: flushS3=0 -[DEBUG][time= 55] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 55] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 55] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 55] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 55] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 55] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 55] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 55] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 55] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 55] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 55] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 55] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 55] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 55] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 55] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 55] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 55] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 55] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 55] Dispatch2: regfile 0 from 3 -[DEBUG][time= 55] Dispatch2: regfile 1 from 3 -[DEBUG][time= 55] Dispatch2: regfile 2 from 0 -[DEBUG][time= 55] Dispatch2: regfile 3 from 0 -[DEBUG][time= 55] Dispatch2: regfile 4 from 0 -[DEBUG][time= 55] Dispatch2: regfile 5 from 0 -[DEBUG][time= 55] Dispatch2: regfile 6 from 0 -[DEBUG][time= 55] Dispatch2: regfile 7 from 0 -[DEBUG][time= 55] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 55] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 55] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 55] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 55] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 55] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 55] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 55] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 55] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 55] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 55] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 55] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 55] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 55] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 55] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 55] Roq: CSR block should only happen in s_idle -[DEBUG][time= 55] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 55] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 55] Roq: -------------------------------- -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 55] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 55] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 55] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 55] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 55] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 55] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 55] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 55] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 55] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 55] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 55] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 55] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 55] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 55] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 55] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 55] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 55] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 55] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 55] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 55] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 55] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 55] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 55] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 55] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 55] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 55] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 55] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 55] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 55] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 55] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 55] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 55] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 55] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 55] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 55] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 55] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 55] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 55] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 55] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 55] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 55] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 55] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 55] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 55] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 55] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 55] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 55] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 55] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 55] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 55] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 55] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 55] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 55] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 55] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 55] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 55] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 55] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 55] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 55] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 55] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 55] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 55] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 55] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 55] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 55] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 55] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 55] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 55] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 55] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 55] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 55] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 55] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 55] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 55] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 55] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 55] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 55] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 55] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 55] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 55] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 55] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 55] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 55] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 55] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 55] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 55] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 55] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 55] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 55] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 55] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 55] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 55] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 55] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 55] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 55] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 55] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 55] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 55] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 55] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 55] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 55] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 55] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 55] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 55] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 55] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 55] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 55] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 55] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 55] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 55] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 55] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 55] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 55] Brq: ---------------- -[DEBUG][time= 55] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 55] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 55] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 55] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 55] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 55] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 56] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 56] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 56] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 56] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 56] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 56] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 56] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 56] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 56] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 56] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 56] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 56] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 56] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 56] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 56] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 56] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 56] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 56] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 56] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 56] BPUStage3: flushS3=0 -[DEBUG][time= 56] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 56] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 56] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 56] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 56] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 56] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 56] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 56] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 56] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 56] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 56] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 56] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 56] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 56] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 56] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 56] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 56] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 56] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 56] Dispatch2: regfile 0 from 3 -[DEBUG][time= 56] Dispatch2: regfile 1 from 3 -[DEBUG][time= 56] Dispatch2: regfile 2 from 0 -[DEBUG][time= 56] Dispatch2: regfile 3 from 0 -[DEBUG][time= 56] Dispatch2: regfile 4 from 0 -[DEBUG][time= 56] Dispatch2: regfile 5 from 0 -[DEBUG][time= 56] Dispatch2: regfile 6 from 0 -[DEBUG][time= 56] Dispatch2: regfile 7 from 0 -[DEBUG][time= 56] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 56] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 56] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 56] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 56] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 56] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 56] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 56] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 56] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 56] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 56] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 56] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 56] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 56] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 56] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 56] Roq: CSR block should only happen in s_idle -[DEBUG][time= 56] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 56] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 56] Roq: -------------------------------- -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 56] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 56] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 56] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 56] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 56] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 56] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 56] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 56] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 56] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 56] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 56] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 56] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 56] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 56] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 56] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 56] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 56] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 56] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 56] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 56] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 56] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 56] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 56] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 56] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 56] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 56] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 56] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 56] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 56] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 56] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 56] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 56] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 56] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 56] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 56] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 56] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 56] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 56] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 56] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 56] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 56] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 56] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 56] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 56] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 56] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 56] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 56] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 56] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 56] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 56] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 56] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 56] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 56] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 56] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 56] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 56] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 56] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 56] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 56] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 56] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 56] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 56] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 56] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 56] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 56] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 56] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 56] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 56] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 56] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 56] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 56] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 56] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 56] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 56] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 56] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 56] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 56] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 56] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 56] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 56] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 56] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 56] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 56] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 56] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 56] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 56] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 56] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 56] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 56] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 56] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 56] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 56] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 56] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 56] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 56] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 56] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 56] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 56] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 56] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 56] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 56] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 56] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 56] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 56] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 56] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 56] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 56] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 56] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 56] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 56] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 56] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 56] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 56] Brq: ---------------- -[DEBUG][time= 56] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 56] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 56] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 56] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 56] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 56] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 57] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 57] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 57] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 57] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 57] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 57] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 57] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 57] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 57] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 57] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 57] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 57] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 57] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 57] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 57] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 57] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 57] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 57] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 57] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 57] BPUStage3: flushS3=0 -[DEBUG][time= 57] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 57] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 57] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 57] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 57] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 57] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 57] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 57] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 57] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 57] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 57] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 57] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 57] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 57] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 57] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 57] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 57] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 57] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 57] Dispatch2: regfile 0 from 3 -[DEBUG][time= 57] Dispatch2: regfile 1 from 3 -[DEBUG][time= 57] Dispatch2: regfile 2 from 0 -[DEBUG][time= 57] Dispatch2: regfile 3 from 0 -[DEBUG][time= 57] Dispatch2: regfile 4 from 0 -[DEBUG][time= 57] Dispatch2: regfile 5 from 0 -[DEBUG][time= 57] Dispatch2: regfile 6 from 0 -[DEBUG][time= 57] Dispatch2: regfile 7 from 0 -[DEBUG][time= 57] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 57] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 57] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 57] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 57] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 57] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 57] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 57] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 57] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 57] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 57] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 57] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 57] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 57] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 57] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 57] Roq: CSR block should only happen in s_idle -[DEBUG][time= 57] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 57] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 57] Roq: -------------------------------- -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 57] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 57] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 57] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 57] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 57] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 57] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 57] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 57] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 57] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 57] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 57] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 57] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 57] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 57] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 57] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 57] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 57] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 57] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 57] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 57] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 57] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 57] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 57] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 57] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 57] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 57] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 57] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 57] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 57] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 57] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 57] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 57] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 57] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 57] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 57] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 57] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 57] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 57] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 57] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 57] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 57] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 57] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 57] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 57] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 57] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 57] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 57] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 57] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 57] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 57] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 57] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 57] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 57] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 57] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 57] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 57] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 57] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 57] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 57] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 57] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 57] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 57] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 57] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 57] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 57] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 57] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 57] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 57] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 57] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 57] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 57] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 57] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 57] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 57] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 57] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 57] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 57] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 57] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 57] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 57] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 57] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 57] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 57] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 57] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 57] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 57] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 57] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 57] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 57] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 57] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 57] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 57] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 57] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 57] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 57] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 57] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 57] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 57] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 57] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 57] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 57] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 57] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 57] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 57] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 57] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 57] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 57] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 57] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 57] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 57] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 57] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 57] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 57] Brq: ---------------- -[DEBUG][time= 57] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 57] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 57] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 57] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 57] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 57] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 58] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 58] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 58] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 58] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 58] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 58] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 58] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 58] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 58] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 58] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 58] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 58] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 58] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 58] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 58] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 58] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 58] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 58] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 58] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 58] BPUStage3: flushS3=0 -[DEBUG][time= 58] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 58] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 58] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 58] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 58] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 58] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 58] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 58] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 58] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 58] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 58] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 58] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 58] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 58] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 58] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 58] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 58] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 58] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 58] Dispatch2: regfile 0 from 3 -[DEBUG][time= 58] Dispatch2: regfile 1 from 3 -[DEBUG][time= 58] Dispatch2: regfile 2 from 0 -[DEBUG][time= 58] Dispatch2: regfile 3 from 0 -[DEBUG][time= 58] Dispatch2: regfile 4 from 0 -[DEBUG][time= 58] Dispatch2: regfile 5 from 0 -[DEBUG][time= 58] Dispatch2: regfile 6 from 0 -[DEBUG][time= 58] Dispatch2: regfile 7 from 0 -[DEBUG][time= 58] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 58] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 58] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 58] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 58] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 58] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 58] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 58] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 58] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 58] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 58] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 58] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 58] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 58] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 58] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 58] Roq: CSR block should only happen in s_idle -[DEBUG][time= 58] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 58] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 58] Roq: -------------------------------- -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 58] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 58] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 58] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 58] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 58] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 58] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 58] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 58] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 58] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 58] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 58] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 58] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 58] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 58] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 58] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 58] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 58] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 58] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 58] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 58] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 58] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 58] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 58] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 58] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 58] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 58] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 58] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 58] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 58] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 58] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 58] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 58] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 58] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 58] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 58] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 58] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 58] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 58] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 58] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 58] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 58] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 58] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 58] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 58] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 58] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 58] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 58] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 58] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 58] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 58] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 58] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 58] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 58] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 58] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 58] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 58] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 58] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 58] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 58] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 58] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 58] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 58] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 58] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 58] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 58] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 58] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 58] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 58] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 58] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 58] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 58] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 58] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 58] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 58] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 58] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 58] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 58] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 58] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 58] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 58] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 58] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 58] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 58] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 58] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 58] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 58] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 58] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 58] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 58] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 58] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 58] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 58] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 58] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 58] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 58] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 58] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 58] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 58] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 58] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 58] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 58] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 58] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 58] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 58] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 58] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 58] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 58] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 58] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 58] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 58] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 58] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 58] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 58] Brq: ---------------- -[DEBUG][time= 58] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 58] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 58] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 58] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 58] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 58] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 59] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 59] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 59] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 59] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 59] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 59] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 59] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 59] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 59] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 59] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 59] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 59] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 59] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 59] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 59] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 59] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 59] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 59] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 59] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 59] BPUStage3: flushS3=0 -[DEBUG][time= 59] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 59] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 59] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 59] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 59] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 59] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 59] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 59] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 59] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 59] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 59] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 59] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 59] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 59] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 59] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 59] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 59] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 59] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 59] Dispatch2: regfile 0 from 3 -[DEBUG][time= 59] Dispatch2: regfile 1 from 3 -[DEBUG][time= 59] Dispatch2: regfile 2 from 0 -[DEBUG][time= 59] Dispatch2: regfile 3 from 0 -[DEBUG][time= 59] Dispatch2: regfile 4 from 0 -[DEBUG][time= 59] Dispatch2: regfile 5 from 0 -[DEBUG][time= 59] Dispatch2: regfile 6 from 0 -[DEBUG][time= 59] Dispatch2: regfile 7 from 0 -[DEBUG][time= 59] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 59] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 59] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 59] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 59] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 59] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 59] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 59] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 59] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 59] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 59] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 59] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 59] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 59] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 59] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 59] Roq: CSR block should only happen in s_idle -[DEBUG][time= 59] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 59] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 59] Roq: -------------------------------- -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 59] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 59] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 59] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 59] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 59] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 59] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 59] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 59] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 59] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 59] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 59] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 59] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 59] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 59] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 59] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 59] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 59] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 59] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 59] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 59] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 59] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 59] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 59] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 59] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 59] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 59] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 59] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 59] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 59] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 59] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 59] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 59] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 59] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 59] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 59] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 59] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 59] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 59] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 59] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 59] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 59] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 59] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 59] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 59] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 59] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 59] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 59] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 59] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 59] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 59] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 59] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 59] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 59] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 59] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 59] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 59] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 59] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 59] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 59] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 59] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 59] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 59] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 59] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 59] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 59] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 59] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 59] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 59] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 59] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 59] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 59] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 59] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 59] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 59] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 59] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 59] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 59] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 59] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 59] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 59] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 59] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 59] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 59] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 59] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 59] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 59] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 59] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 59] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 59] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 59] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 59] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 59] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 59] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 59] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 59] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 59] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 59] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 59] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 59] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 59] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 59] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 59] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 59] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 59] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 59] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 59] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 59] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 59] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 59] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 59] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 59] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 59] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 59] Brq: ---------------- -[DEBUG][time= 59] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 59] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 59] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 59] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 59] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 59] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 60] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 60] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 60] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 60] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 60] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 60] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 60] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 60] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 60] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 60] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 60] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 60] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 60] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 60] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 60] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 60] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 60] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 60] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 60] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 60] BPUStage3: flushS3=0 -[DEBUG][time= 60] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 60] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 60] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 60] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 60] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 60] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 60] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 60] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 60] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 60] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 60] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 60] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 60] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 60] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 60] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 60] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 60] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 60] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 60] Dispatch2: regfile 0 from 3 -[DEBUG][time= 60] Dispatch2: regfile 1 from 3 -[DEBUG][time= 60] Dispatch2: regfile 2 from 0 -[DEBUG][time= 60] Dispatch2: regfile 3 from 0 -[DEBUG][time= 60] Dispatch2: regfile 4 from 0 -[DEBUG][time= 60] Dispatch2: regfile 5 from 0 -[DEBUG][time= 60] Dispatch2: regfile 6 from 0 -[DEBUG][time= 60] Dispatch2: regfile 7 from 0 -[DEBUG][time= 60] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 60] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 60] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 60] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 60] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 60] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 60] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 60] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 60] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 60] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 60] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 60] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 60] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 60] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 60] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 60] Roq: CSR block should only happen in s_idle -[DEBUG][time= 60] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 60] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 60] Roq: -------------------------------- -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 60] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 60] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 60] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 60] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 60] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 60] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 60] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 60] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 60] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 60] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 60] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 60] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 60] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 60] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 60] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 60] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 60] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 60] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 60] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 60] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 60] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 60] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 60] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 60] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 60] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 60] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 60] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 60] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 60] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 60] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 60] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 60] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 60] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 60] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 60] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 60] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 60] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 60] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 60] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 60] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 60] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 60] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 60] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 60] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 60] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 60] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 60] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 60] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 60] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 60] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 60] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 60] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 60] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 60] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 60] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 60] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 60] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 60] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 60] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 60] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 60] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 60] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 60] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 60] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 60] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 60] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 60] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 60] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 60] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 60] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 60] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 60] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 60] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 60] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 60] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 60] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 60] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 60] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 60] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 60] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 60] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 60] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 60] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 60] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 60] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 60] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 60] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 60] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 60] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 60] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 60] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 60] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 60] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 60] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 60] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 60] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 60] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 60] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 60] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 60] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 60] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 60] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 60] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 60] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 60] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 60] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 60] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 60] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 60] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 60] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 60] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 60] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 60] Brq: ---------------- -[DEBUG][time= 60] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 60] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 60] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 60] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 60] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 60] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 61] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 61] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 61] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 61] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 61] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 61] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 61] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 61] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 61] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 61] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 61] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 61] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 61] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 61] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 61] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 61] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 61] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 61] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 61] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 61] BPUStage3: flushS3=0 -[DEBUG][time= 61] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 61] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 61] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 61] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 61] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 61] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 61] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 61] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 61] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 61] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 61] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 61] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 61] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 61] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 61] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 61] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 61] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 61] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 61] Dispatch2: regfile 0 from 3 -[DEBUG][time= 61] Dispatch2: regfile 1 from 3 -[DEBUG][time= 61] Dispatch2: regfile 2 from 0 -[DEBUG][time= 61] Dispatch2: regfile 3 from 0 -[DEBUG][time= 61] Dispatch2: regfile 4 from 0 -[DEBUG][time= 61] Dispatch2: regfile 5 from 0 -[DEBUG][time= 61] Dispatch2: regfile 6 from 0 -[DEBUG][time= 61] Dispatch2: regfile 7 from 0 -[DEBUG][time= 61] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 61] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 61] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 61] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 61] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 61] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 61] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 61] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 61] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 61] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 61] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 61] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 61] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 61] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 61] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 61] Roq: CSR block should only happen in s_idle -[DEBUG][time= 61] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 61] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 61] Roq: -------------------------------- -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 61] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 61] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 61] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 61] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 61] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 61] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 61] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 61] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 61] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 61] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 61] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 61] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 61] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 61] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 61] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 61] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 61] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 61] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 61] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 61] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 61] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 61] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 61] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 61] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 61] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 61] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 61] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 61] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 61] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 61] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 61] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 61] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 61] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 61] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 61] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 61] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 61] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 61] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 61] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 61] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 61] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 61] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 61] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 61] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 61] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 61] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 61] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 61] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 61] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 61] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 61] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 61] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 61] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 61] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 61] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 61] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 61] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 61] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 61] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 61] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 61] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 61] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 61] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 61] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 61] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 61] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 61] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 61] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 61] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 61] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 61] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 61] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 61] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 61] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 61] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 61] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 61] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 61] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 61] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 61] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 61] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 61] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 61] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 61] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 61] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 61] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 61] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 61] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 61] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 61] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 61] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 61] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 61] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 61] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 61] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 61] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 61] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 61] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 61] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 61] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 61] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 61] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 61] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 61] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 61] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 61] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 61] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 61] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 61] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 61] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 61] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 61] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 61] Brq: ---------------- -[DEBUG][time= 61] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 61] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 61] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 61] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 61] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 61] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 62] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 62] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 62] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 62] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 62] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 62] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 62] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 62] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 62] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 62] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 62] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 62] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 62] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 62] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 62] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 62] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 62] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 62] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 62] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 62] BPUStage3: flushS3=0 -[DEBUG][time= 62] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 62] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 62] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 62] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 62] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 62] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 62] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 62] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 62] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 62] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 62] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 62] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 62] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 62] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 62] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 62] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 62] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 62] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 62] Dispatch2: regfile 0 from 3 -[DEBUG][time= 62] Dispatch2: regfile 1 from 3 -[DEBUG][time= 62] Dispatch2: regfile 2 from 0 -[DEBUG][time= 62] Dispatch2: regfile 3 from 0 -[DEBUG][time= 62] Dispatch2: regfile 4 from 0 -[DEBUG][time= 62] Dispatch2: regfile 5 from 0 -[DEBUG][time= 62] Dispatch2: regfile 6 from 0 -[DEBUG][time= 62] Dispatch2: regfile 7 from 0 -[DEBUG][time= 62] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 62] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 62] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 62] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 62] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 62] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 62] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 62] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 62] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 62] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 62] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 62] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 62] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 62] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 62] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 62] Roq: CSR block should only happen in s_idle -[DEBUG][time= 62] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 62] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 62] Roq: -------------------------------- -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 62] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 62] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 62] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 62] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 62] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 62] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 62] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 62] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 62] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 62] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 62] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 62] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 62] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 62] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 62] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 62] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 62] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 62] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 62] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 62] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 62] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 62] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 62] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 62] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 62] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 62] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 62] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 62] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 62] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 62] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 62] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 62] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 62] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 62] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 62] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 62] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 62] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 62] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 62] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 62] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 62] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 62] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 62] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 62] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 62] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 62] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 62] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 62] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 62] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 62] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 62] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 62] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 62] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 62] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 62] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 62] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 62] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 62] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 62] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 62] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 62] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 62] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 62] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 62] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 62] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 62] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 62] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 62] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 62] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 62] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 62] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 62] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 62] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 62] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 62] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 62] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 62] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 62] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 62] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 62] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 62] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 62] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 62] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 62] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 62] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 62] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 62] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 62] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 62] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 62] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 62] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 62] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 62] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 62] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 62] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 62] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 62] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 62] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 62] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 62] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 62] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 62] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 62] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 62] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 62] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 62] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 62] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 62] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 62] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 62] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 62] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 62] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 62] Brq: ---------------- -[DEBUG][time= 62] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 62] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 62] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 62] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 62] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 62] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 63] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 63] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 63] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 63] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 63] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 63] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 63] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 63] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 63] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 63] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 63] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 63] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 63] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 63] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 63] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 63] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 63] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 63] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 63] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 63] BPUStage3: flushS3=0 -[DEBUG][time= 63] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 63] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 63] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 63] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 63] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 63] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 63] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 63] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 63] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 63] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 63] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 63] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 63] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 63] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 63] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 63] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 63] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 63] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 63] Dispatch2: regfile 0 from 3 -[DEBUG][time= 63] Dispatch2: regfile 1 from 3 -[DEBUG][time= 63] Dispatch2: regfile 2 from 0 -[DEBUG][time= 63] Dispatch2: regfile 3 from 0 -[DEBUG][time= 63] Dispatch2: regfile 4 from 0 -[DEBUG][time= 63] Dispatch2: regfile 5 from 0 -[DEBUG][time= 63] Dispatch2: regfile 6 from 0 -[DEBUG][time= 63] Dispatch2: regfile 7 from 0 -[DEBUG][time= 63] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 63] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 63] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 63] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 63] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 63] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 63] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 63] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 63] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 63] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 63] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 63] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 63] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 63] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 63] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 63] Roq: CSR block should only happen in s_idle -[DEBUG][time= 63] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 63] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 63] Roq: -------------------------------- -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 63] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 63] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 63] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 63] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 63] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 63] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 63] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 63] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 63] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 63] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 63] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 63] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 63] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 63] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 63] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 63] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 63] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 63] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 63] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 63] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 63] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 63] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 63] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 63] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 63] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 63] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 63] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 63] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 63] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 63] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 63] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 63] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 63] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 63] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 63] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 63] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 63] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 63] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 63] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 63] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 63] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 63] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 63] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 63] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 63] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 63] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 63] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 63] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 63] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 63] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 63] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 63] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 63] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 63] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 63] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 63] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 63] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 63] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 63] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 63] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 63] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 63] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 63] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 63] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 63] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 63] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 63] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 63] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 63] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 63] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 63] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 63] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 63] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 63] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 63] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 63] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 63] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 63] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 63] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 63] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 63] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 63] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 63] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 63] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 63] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 63] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 63] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 63] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 63] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 63] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 63] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 63] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 63] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 63] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 63] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 63] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 63] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 63] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 63] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 63] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 63] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 63] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 63] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 63] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 63] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 63] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 63] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 63] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 63] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 63] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 63] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 63] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 63] Brq: ---------------- -[DEBUG][time= 63] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 63] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 63] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 63] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 63] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 63] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 64] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 64] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 64] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 64] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 64] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 64] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 64] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 64] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 64] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 64] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 64] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 64] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 64] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 64] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 64] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 64] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 64] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 64] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 64] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 64] BPUStage3: flushS3=0 -[DEBUG][time= 64] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 64] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 64] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 64] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 64] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 64] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 64] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 64] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 64] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 64] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 64] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 64] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 64] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 64] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 64] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 64] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 64] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 64] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 64] Dispatch2: regfile 0 from 3 -[DEBUG][time= 64] Dispatch2: regfile 1 from 3 -[DEBUG][time= 64] Dispatch2: regfile 2 from 0 -[DEBUG][time= 64] Dispatch2: regfile 3 from 0 -[DEBUG][time= 64] Dispatch2: regfile 4 from 0 -[DEBUG][time= 64] Dispatch2: regfile 5 from 0 -[DEBUG][time= 64] Dispatch2: regfile 6 from 0 -[DEBUG][time= 64] Dispatch2: regfile 7 from 0 -[DEBUG][time= 64] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 64] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 64] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 64] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 64] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 64] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 64] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 64] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 64] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 64] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 64] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 64] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 64] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 64] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 64] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 64] Roq: CSR block should only happen in s_idle -[DEBUG][time= 64] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 64] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 64] Roq: -------------------------------- -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 64] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 64] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 64] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 64] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 64] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 64] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 64] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 64] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 64] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 64] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 64] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 64] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 64] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 64] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 64] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 64] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 64] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 64] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 64] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 64] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 64] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 64] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 64] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 64] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 64] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 64] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 64] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 64] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 64] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 64] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 64] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 64] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 64] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 64] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 64] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 64] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 64] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 64] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 64] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 64] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 64] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 64] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 64] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 64] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 64] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 64] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 64] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 64] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 64] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 64] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 64] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 64] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 64] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 64] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 64] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 64] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 64] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 64] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 64] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 64] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 64] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 64] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 64] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 64] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 64] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 64] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 64] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 64] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 64] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 64] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 64] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 64] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 64] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 64] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 64] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 64] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 64] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 64] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 64] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 64] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 64] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 64] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 64] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 64] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 64] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 64] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 64] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 64] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 64] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 64] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 64] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 64] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 64] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 64] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 64] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 64] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 64] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 64] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 64] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 64] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 64] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 64] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 64] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 64] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 64] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 64] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 64] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 64] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 64] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 64] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 64] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 64] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 64] Brq: ---------------- -[DEBUG][time= 64] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 64] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 64] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 64] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 64] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 64] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 65] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 65] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 65] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 65] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 65] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 65] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 65] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 65] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 65] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 65] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 65] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 65] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 65] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 65] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 65] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 65] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 65] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 65] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 65] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 65] BPUStage3: flushS3=0 -[DEBUG][time= 65] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 65] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 65] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 65] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 65] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 65] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 65] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 65] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 65] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 65] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 65] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 65] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 65] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 65] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 65] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 65] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 65] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 65] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 65] Dispatch2: regfile 0 from 3 -[DEBUG][time= 65] Dispatch2: regfile 1 from 3 -[DEBUG][time= 65] Dispatch2: regfile 2 from 0 -[DEBUG][time= 65] Dispatch2: regfile 3 from 0 -[DEBUG][time= 65] Dispatch2: regfile 4 from 0 -[DEBUG][time= 65] Dispatch2: regfile 5 from 0 -[DEBUG][time= 65] Dispatch2: regfile 6 from 0 -[DEBUG][time= 65] Dispatch2: regfile 7 from 0 -[DEBUG][time= 65] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 65] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 65] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 65] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 65] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 65] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 65] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 65] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 65] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 65] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 65] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 65] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 65] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 65] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 65] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 65] Roq: CSR block should only happen in s_idle -[DEBUG][time= 65] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 65] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 65] Roq: -------------------------------- -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 65] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 65] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 65] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 65] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 65] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 65] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 65] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 65] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 65] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 65] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 65] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 65] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 65] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 65] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 65] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 65] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 65] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 65] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 65] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 65] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 65] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 65] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 65] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 65] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 65] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 65] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 65] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 65] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 65] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 65] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 65] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 65] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 65] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 65] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 65] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 65] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 65] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 65] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 65] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 65] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 65] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 65] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 65] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 65] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 65] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 65] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 65] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 65] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 65] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 65] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 65] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 65] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 65] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 65] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 65] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 65] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 65] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 65] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 65] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 65] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 65] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 65] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 65] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 65] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 65] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 65] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 65] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 65] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 65] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 65] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 65] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 65] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 65] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 65] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 65] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 65] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 65] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 65] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 65] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 65] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 65] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 65] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 65] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 65] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 65] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 65] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 65] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 65] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 65] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 65] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 65] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 65] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 65] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 65] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 65] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 65] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 65] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 65] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 65] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 65] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 65] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 65] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 65] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 65] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 65] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 65] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 65] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 65] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 65] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 65] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 65] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 65] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 65] Brq: ---------------- -[DEBUG][time= 65] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 65] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 65] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 65] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 65] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 65] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 66] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 66] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 66] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 66] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 66] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 66] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 66] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 66] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 66] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 66] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 66] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 66] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 66] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 66] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 66] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 66] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 66] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 66] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 66] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 66] BPUStage3: flushS3=0 -[DEBUG][time= 66] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 66] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 66] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 66] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 66] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 66] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 66] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 66] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 66] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 66] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 66] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 66] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 66] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 66] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 66] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 66] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 66] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 66] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 66] Dispatch2: regfile 0 from 3 -[DEBUG][time= 66] Dispatch2: regfile 1 from 3 -[DEBUG][time= 66] Dispatch2: regfile 2 from 0 -[DEBUG][time= 66] Dispatch2: regfile 3 from 0 -[DEBUG][time= 66] Dispatch2: regfile 4 from 0 -[DEBUG][time= 66] Dispatch2: regfile 5 from 0 -[DEBUG][time= 66] Dispatch2: regfile 6 from 0 -[DEBUG][time= 66] Dispatch2: regfile 7 from 0 -[DEBUG][time= 66] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 66] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 66] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 66] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 66] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 66] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 66] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 66] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 66] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 66] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 66] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 66] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 66] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 66] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 66] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 66] Roq: CSR block should only happen in s_idle -[DEBUG][time= 66] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 66] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 66] Roq: -------------------------------- -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 66] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 66] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 66] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 66] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 66] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 66] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 66] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 66] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 66] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 66] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 66] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 66] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 66] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 66] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 66] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 66] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 66] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 66] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 66] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 66] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 66] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 66] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 66] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 66] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 66] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 66] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 66] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 66] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 66] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 66] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 66] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 66] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 66] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 66] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 66] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 66] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 66] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 66] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 66] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 66] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 66] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 66] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 66] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 66] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 66] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 66] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 66] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 66] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 66] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 66] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 66] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 66] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 66] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 66] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 66] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 66] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 66] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 66] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 66] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 66] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 66] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 66] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 66] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 66] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 66] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 66] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 66] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 66] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 66] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 66] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 66] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 66] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 66] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 66] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 66] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 66] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 66] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 66] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 66] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 66] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 66] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 66] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 66] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 66] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 66] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 66] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 66] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 66] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 66] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 66] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 66] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 66] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 66] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 66] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 66] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 66] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 66] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 66] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 66] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 66] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 66] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 66] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 66] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 66] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 66] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 66] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 66] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 66] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 66] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 66] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 66] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 66] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 66] Brq: ---------------- -[DEBUG][time= 66] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 66] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 66] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 66] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 66] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 66] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 67] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 67] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 67] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 67] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 67] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 67] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 67] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 67] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 67] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 67] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 67] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 67] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 67] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 67] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 67] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 67] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 67] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 67] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 67] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 67] BPUStage3: flushS3=0 -[DEBUG][time= 67] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 67] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 67] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 67] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 67] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 67] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 67] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 67] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 67] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 67] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 67] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 67] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 67] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 67] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 67] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 67] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 67] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 67] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 67] Dispatch2: regfile 0 from 3 -[DEBUG][time= 67] Dispatch2: regfile 1 from 3 -[DEBUG][time= 67] Dispatch2: regfile 2 from 0 -[DEBUG][time= 67] Dispatch2: regfile 3 from 0 -[DEBUG][time= 67] Dispatch2: regfile 4 from 0 -[DEBUG][time= 67] Dispatch2: regfile 5 from 0 -[DEBUG][time= 67] Dispatch2: regfile 6 from 0 -[DEBUG][time= 67] Dispatch2: regfile 7 from 0 -[DEBUG][time= 67] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 67] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 67] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 67] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 67] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 67] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 67] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 67] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 67] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 67] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 67] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 67] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 67] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 67] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 67] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 67] Roq: CSR block should only happen in s_idle -[DEBUG][time= 67] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 67] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 67] Roq: -------------------------------- -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 67] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 67] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 67] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 67] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 67] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 67] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 67] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 67] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 67] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 67] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 67] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 67] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 67] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 67] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 67] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 67] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 67] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 67] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 67] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 67] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 67] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 67] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 67] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 67] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 67] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 67] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 67] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 67] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 67] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 67] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 67] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 67] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 67] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 67] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 67] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 67] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 67] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 67] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 67] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 67] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 67] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 67] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 67] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 67] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 67] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 67] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 67] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 67] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 67] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 67] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 67] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 67] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 67] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 67] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 67] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 67] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 67] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 67] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 67] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 67] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 67] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 67] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 67] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 67] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 67] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 67] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 67] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 67] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 67] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 67] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 67] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 67] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 67] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 67] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 67] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 67] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 67] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 67] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 67] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 67] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 67] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 67] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 67] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 67] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 67] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 67] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 67] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 67] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 67] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 67] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 67] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 67] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 67] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 67] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 67] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 67] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 67] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 67] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 67] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 67] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 67] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 67] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 67] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 67] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 67] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 67] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 67] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 67] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 67] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 67] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 67] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 67] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 67] Brq: ---------------- -[DEBUG][time= 67] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 67] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 67] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 67] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 67] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 67] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 68] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 68] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 68] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 68] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 68] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 68] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 68] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 68] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 68] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 68] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 68] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 68] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 68] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 68] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 68] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 68] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 68] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 68] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 68] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 68] BPUStage3: flushS3=0 -[DEBUG][time= 68] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 68] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 68] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 68] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 68] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 68] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 68] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 68] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 68] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 68] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 68] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 68] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 68] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 68] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 68] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 68] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 68] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 68] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 68] Dispatch2: regfile 0 from 3 -[DEBUG][time= 68] Dispatch2: regfile 1 from 3 -[DEBUG][time= 68] Dispatch2: regfile 2 from 0 -[DEBUG][time= 68] Dispatch2: regfile 3 from 0 -[DEBUG][time= 68] Dispatch2: regfile 4 from 0 -[DEBUG][time= 68] Dispatch2: regfile 5 from 0 -[DEBUG][time= 68] Dispatch2: regfile 6 from 0 -[DEBUG][time= 68] Dispatch2: regfile 7 from 0 -[DEBUG][time= 68] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 68] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 68] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 68] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 68] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 68] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 68] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 68] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 68] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 68] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 68] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 68] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 68] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 68] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 68] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 68] Roq: CSR block should only happen in s_idle -[DEBUG][time= 68] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 68] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 68] Roq: -------------------------------- -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 68] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 68] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 68] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 68] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 68] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 68] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 68] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 68] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 68] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 68] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 68] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 68] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 68] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 68] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 68] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 68] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 68] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 68] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 68] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 68] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 68] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 68] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 68] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 68] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 68] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 68] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 68] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 68] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 68] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 68] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 68] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 68] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 68] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 68] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 68] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 68] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 68] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 68] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 68] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 68] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 68] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 68] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 68] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 68] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 68] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 68] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 68] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 68] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 68] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 68] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 68] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 68] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 68] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 68] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 68] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 68] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 68] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 68] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 68] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 68] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 68] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 68] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 68] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 68] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 68] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 68] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 68] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 68] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 68] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 68] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 68] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 68] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 68] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 68] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 68] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 68] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 68] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 68] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 68] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 68] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 68] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 68] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 68] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 68] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 68] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 68] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 68] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 68] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 68] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 68] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 68] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 68] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 68] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 68] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 68] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 68] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 68] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 68] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 68] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 68] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 68] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 68] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 68] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 68] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 68] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 68] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 68] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 68] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 68] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 68] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 68] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 68] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 68] Brq: ---------------- -[DEBUG][time= 68] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 68] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 68] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 68] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 68] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 68] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 69] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 69] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 69] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 69] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 69] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 69] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 69] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 69] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 69] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 69] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 69] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 69] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 69] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 69] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 69] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 69] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 69] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 69] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 69] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 69] BPUStage3: flushS3=0 -[DEBUG][time= 69] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 69] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 69] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 69] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 69] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 69] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 69] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 69] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 69] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 69] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 69] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 69] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 69] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 69] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 69] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 69] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 69] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 69] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 69] Dispatch2: regfile 0 from 3 -[DEBUG][time= 69] Dispatch2: regfile 1 from 3 -[DEBUG][time= 69] Dispatch2: regfile 2 from 0 -[DEBUG][time= 69] Dispatch2: regfile 3 from 0 -[DEBUG][time= 69] Dispatch2: regfile 4 from 0 -[DEBUG][time= 69] Dispatch2: regfile 5 from 0 -[DEBUG][time= 69] Dispatch2: regfile 6 from 0 -[DEBUG][time= 69] Dispatch2: regfile 7 from 0 -[DEBUG][time= 69] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 69] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 69] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 69] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 69] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 69] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 69] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 69] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 69] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 69] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 69] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 69] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 69] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 69] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 69] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 69] Roq: CSR block should only happen in s_idle -[DEBUG][time= 69] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 69] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 69] Roq: -------------------------------- -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 69] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 69] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 69] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 69] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 69] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 69] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 69] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 69] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 69] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 69] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 69] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 69] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 69] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 69] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 69] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 69] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 69] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 69] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 69] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 69] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 69] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 69] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 69] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 69] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 69] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 69] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 69] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 69] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 69] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 69] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 69] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 69] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 69] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 69] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 69] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 69] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 69] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 69] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 69] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 69] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 69] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 69] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 69] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 69] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 69] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 69] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 69] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 69] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 69] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 69] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 69] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 69] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 69] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 69] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 69] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 69] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 69] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 69] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 69] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 69] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 69] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 69] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 69] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 69] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 69] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 69] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 69] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 69] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 69] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 69] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 69] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 69] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 69] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 69] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 69] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 69] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 69] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 69] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 69] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 69] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 69] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 69] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 69] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 69] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 69] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 69] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 69] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 69] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 69] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 69] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 69] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 69] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 69] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 69] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 69] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 69] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 69] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 69] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 69] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 69] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 69] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 69] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 69] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 69] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 69] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 69] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 69] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 69] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 69] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 69] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 69] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 69] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 69] Brq: ---------------- -[DEBUG][time= 69] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 69] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 69] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 69] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 69] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 69] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 70] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 70] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 70] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 70] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 70] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 70] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 70] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 70] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 70] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 70] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 70] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 70] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 70] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 70] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 70] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 70] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 70] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 70] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 70] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 70] BPUStage3: flushS3=0 -[DEBUG][time= 70] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 70] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 70] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 70] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 70] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 70] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 70] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 70] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 70] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 70] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 70] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 70] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 70] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 70] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 70] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 70] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 70] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 70] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 70] Dispatch2: regfile 0 from 3 -[DEBUG][time= 70] Dispatch2: regfile 1 from 3 -[DEBUG][time= 70] Dispatch2: regfile 2 from 0 -[DEBUG][time= 70] Dispatch2: regfile 3 from 0 -[DEBUG][time= 70] Dispatch2: regfile 4 from 0 -[DEBUG][time= 70] Dispatch2: regfile 5 from 0 -[DEBUG][time= 70] Dispatch2: regfile 6 from 0 -[DEBUG][time= 70] Dispatch2: regfile 7 from 0 -[DEBUG][time= 70] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 70] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 70] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 70] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 70] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 70] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 70] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 70] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 70] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 70] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 70] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 70] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 70] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 70] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 70] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 70] Roq: CSR block should only happen in s_idle -[DEBUG][time= 70] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 70] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 70] Roq: -------------------------------- -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 70] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 70] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 70] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 70] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 70] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 70] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 70] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 70] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 70] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 70] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 70] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 70] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 70] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 70] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 70] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 70] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 70] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 70] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 70] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 70] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 70] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 70] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 70] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 70] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 70] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 70] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 70] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 70] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 70] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 70] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 70] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 70] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 70] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 70] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 70] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 70] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 70] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 70] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 70] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 70] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 70] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 70] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 70] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 70] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 70] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 70] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 70] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 70] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 70] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 70] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 70] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 70] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 70] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 70] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 70] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 70] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 70] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 70] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 70] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 70] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 70] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 70] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 70] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 70] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 70] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 70] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 70] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 70] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 70] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 70] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 70] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 70] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 70] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 70] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 70] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 70] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 70] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 70] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 70] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 70] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 70] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 70] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 70] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 70] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 70] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 70] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 70] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 70] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 70] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 70] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 70] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 70] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 70] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 70] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 70] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 70] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 70] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 70] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 70] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 70] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 70] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 70] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 70] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 70] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 70] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 70] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 70] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 70] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 70] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 70] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 70] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 70] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 70] Brq: ---------------- -[DEBUG][time= 70] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 70] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 70] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 70] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 70] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 70] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 71] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 71] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 71] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 71] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 71] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 71] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 71] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 71] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 71] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 71] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 71] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 71] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 71] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 71] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 71] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 71] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 71] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 71] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 71] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 71] BPUStage3: flushS3=0 -[DEBUG][time= 71] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 71] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 71] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 71] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 71] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 71] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 71] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 71] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 71] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 71] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 71] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 71] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 71] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 71] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 71] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 71] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 71] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 71] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 71] Dispatch2: regfile 0 from 3 -[DEBUG][time= 71] Dispatch2: regfile 1 from 3 -[DEBUG][time= 71] Dispatch2: regfile 2 from 0 -[DEBUG][time= 71] Dispatch2: regfile 3 from 0 -[DEBUG][time= 71] Dispatch2: regfile 4 from 0 -[DEBUG][time= 71] Dispatch2: regfile 5 from 0 -[DEBUG][time= 71] Dispatch2: regfile 6 from 0 -[DEBUG][time= 71] Dispatch2: regfile 7 from 0 -[DEBUG][time= 71] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 71] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 71] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 71] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 71] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 71] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 71] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 71] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 71] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 71] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 71] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 71] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 71] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 71] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 71] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 71] Roq: CSR block should only happen in s_idle -[DEBUG][time= 71] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 71] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 71] Roq: -------------------------------- -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 71] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 71] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 71] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 71] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 71] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 71] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 71] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 71] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 71] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 71] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 71] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 71] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 71] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 71] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 71] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 71] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 71] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 71] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 71] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 71] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 71] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 71] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 71] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 71] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 71] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 71] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 71] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 71] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 71] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 71] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 71] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 71] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 71] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 71] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 71] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 71] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 71] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 71] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 71] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 71] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 71] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 71] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 71] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 71] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 71] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 71] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 71] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 71] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 71] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 71] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 71] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 71] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 71] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 71] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 71] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 71] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 71] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 71] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 71] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 71] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 71] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 71] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 71] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 71] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 71] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 71] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 71] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 71] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 71] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 71] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 71] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 71] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 71] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 71] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 71] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 71] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 71] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 71] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 71] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 71] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 71] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 71] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 71] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 71] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 71] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 71] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 71] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 71] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 71] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 71] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 71] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 71] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 71] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 71] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 71] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 71] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 71] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 71] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 71] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 71] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 71] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 71] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 71] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 71] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 71] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 71] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 71] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 71] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 71] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 71] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 71] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 71] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 71] Brq: ---------------- -[DEBUG][time= 71] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 71] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 71] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 71] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 71] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 71] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 72] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 72] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 72] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 72] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 72] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 72] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 72] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 72] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 72] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 72] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 72] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 72] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 72] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 72] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 72] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 72] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 72] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 72] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 72] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 72] BPUStage3: flushS3=0 -[DEBUG][time= 72] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 72] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 72] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 72] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 72] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 72] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 72] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 72] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 72] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 72] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 72] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 72] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 72] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 72] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 72] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 72] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 72] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 72] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 72] Dispatch2: regfile 0 from 3 -[DEBUG][time= 72] Dispatch2: regfile 1 from 3 -[DEBUG][time= 72] Dispatch2: regfile 2 from 0 -[DEBUG][time= 72] Dispatch2: regfile 3 from 0 -[DEBUG][time= 72] Dispatch2: regfile 4 from 0 -[DEBUG][time= 72] Dispatch2: regfile 5 from 0 -[DEBUG][time= 72] Dispatch2: regfile 6 from 0 -[DEBUG][time= 72] Dispatch2: regfile 7 from 0 -[DEBUG][time= 72] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 72] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 72] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 72] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 72] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 72] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 72] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 72] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 72] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 72] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 72] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 72] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 72] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 72] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 72] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 72] Roq: CSR block should only happen in s_idle -[DEBUG][time= 72] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 72] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 72] Roq: -------------------------------- -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 72] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 72] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 72] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 72] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 72] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 72] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 72] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 72] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 72] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 72] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 72] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 72] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 72] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 72] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 72] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 72] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 72] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 72] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 72] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 72] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 72] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 72] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 72] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 72] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 72] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 72] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 72] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 72] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 72] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 72] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 72] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 72] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 72] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 72] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 72] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 72] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 72] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 72] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 72] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 72] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 72] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 72] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 72] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 72] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 72] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 72] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 72] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 72] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 72] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 72] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 72] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 72] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 72] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 72] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 72] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 72] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 72] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 72] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 72] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 72] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 72] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 72] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 72] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 72] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 72] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 72] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 72] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 72] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 72] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 72] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 72] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 72] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 72] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 72] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 72] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 72] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 72] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 72] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 72] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 72] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 72] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 72] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 72] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 72] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 72] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 72] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 72] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 72] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 72] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 72] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 72] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 72] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 72] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 72] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 72] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 72] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 72] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 72] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 72] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 72] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 72] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 72] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 72] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 72] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 72] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 72] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 72] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 72] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 72] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 72] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 72] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 72] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 72] Brq: ---------------- -[DEBUG][time= 72] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 72] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 72] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 72] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 72] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 72] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 73] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 73] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 73] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 73] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 73] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 73] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 73] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 73] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 73] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 73] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 73] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 73] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 73] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 73] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 73] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 73] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 73] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 73] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 73] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 73] BPUStage3: flushS3=0 -[DEBUG][time= 73] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 73] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 73] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 73] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 73] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 73] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 73] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 73] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 73] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 73] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 73] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 73] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 73] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 73] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 73] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 73] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 73] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 73] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 73] Dispatch2: regfile 0 from 3 -[DEBUG][time= 73] Dispatch2: regfile 1 from 3 -[DEBUG][time= 73] Dispatch2: regfile 2 from 0 -[DEBUG][time= 73] Dispatch2: regfile 3 from 0 -[DEBUG][time= 73] Dispatch2: regfile 4 from 0 -[DEBUG][time= 73] Dispatch2: regfile 5 from 0 -[DEBUG][time= 73] Dispatch2: regfile 6 from 0 -[DEBUG][time= 73] Dispatch2: regfile 7 from 0 -[DEBUG][time= 73] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 73] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 73] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 73] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 73] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 73] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 73] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 73] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 73] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 73] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 73] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 73] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 73] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 73] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 73] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 73] Roq: CSR block should only happen in s_idle -[DEBUG][time= 73] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 73] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 73] Roq: -------------------------------- -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 73] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 73] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 73] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 73] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 73] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 73] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 73] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 73] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 73] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 73] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 73] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 73] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 73] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 73] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 73] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 73] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 73] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 73] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 73] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 73] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 73] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 73] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 73] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 73] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 73] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 73] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 73] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 73] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 73] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 73] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 73] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 73] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 73] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 73] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 73] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 73] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 73] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 73] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 73] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 73] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 73] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 73] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 73] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 73] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 73] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 73] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 73] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 73] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 73] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 73] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 73] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 73] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 73] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 73] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 73] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 73] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 73] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 73] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 73] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 73] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 73] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 73] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 73] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 73] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 73] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 73] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 73] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 73] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 73] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 73] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 73] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 73] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 73] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 73] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 73] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 73] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 73] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 73] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 73] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 73] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 73] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 73] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 73] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 73] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 73] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 73] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 73] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 73] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 73] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 73] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 73] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 73] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 73] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 73] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 73] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 73] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 73] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 73] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 73] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 73] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 73] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 73] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 73] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 73] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 73] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 73] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 73] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 73] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 73] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 73] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 73] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 73] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 73] Brq: ---------------- -[DEBUG][time= 73] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 73] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 73] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 73] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 73] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 73] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 74] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 74] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 74] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 74] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 74] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 74] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 74] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 74] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 74] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 74] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 74] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 74] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 74] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 74] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 74] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 74] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 74] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 74] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 74] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 74] BPUStage3: flushS3=0 -[DEBUG][time= 74] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 74] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 74] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 74] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 74] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 74] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 74] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 74] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 74] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 74] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 74] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 74] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 74] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 74] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 74] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 74] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 74] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 74] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 74] Dispatch2: regfile 0 from 3 -[DEBUG][time= 74] Dispatch2: regfile 1 from 3 -[DEBUG][time= 74] Dispatch2: regfile 2 from 0 -[DEBUG][time= 74] Dispatch2: regfile 3 from 0 -[DEBUG][time= 74] Dispatch2: regfile 4 from 0 -[DEBUG][time= 74] Dispatch2: regfile 5 from 0 -[DEBUG][time= 74] Dispatch2: regfile 6 from 0 -[DEBUG][time= 74] Dispatch2: regfile 7 from 0 -[DEBUG][time= 74] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 74] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 74] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 74] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 74] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 74] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 74] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 74] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 74] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 74] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 74] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 74] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 74] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 74] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 74] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 74] Roq: CSR block should only happen in s_idle -[DEBUG][time= 74] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 74] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 74] Roq: -------------------------------- -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 74] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 74] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 74] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 74] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 74] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 74] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 74] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 74] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 74] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 74] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 74] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 74] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 74] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 74] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 74] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 74] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 74] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 74] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 74] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 74] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 74] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 74] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 74] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 74] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 74] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 74] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 74] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 74] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 74] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 74] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 74] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 74] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 74] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 74] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 74] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 74] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 74] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 74] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 74] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 74] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 74] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 74] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 74] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 74] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 74] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 74] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 74] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 74] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 74] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 74] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 74] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 74] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 74] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 74] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 74] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 74] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 74] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 74] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 74] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 74] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 74] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 74] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 74] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 74] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 74] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 74] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 74] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 74] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 74] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 74] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 74] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 74] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 74] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 74] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 74] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 74] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 74] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 74] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 74] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 74] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 74] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 74] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 74] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 74] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 74] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 74] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 74] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 74] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 74] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 74] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 74] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 74] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 74] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 74] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 74] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 74] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 74] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 74] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 74] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 74] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 74] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 74] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 74] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 74] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 74] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 74] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 74] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 74] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 74] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 74] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 74] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 74] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 74] Brq: ---------------- -[DEBUG][time= 74] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 74] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 74] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 74] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 74] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 74] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 75] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 75] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 75] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 75] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 75] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 75] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 75] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 75] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 75] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 75] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 75] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 75] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 75] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 75] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 75] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 75] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 75] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 75] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 75] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 75] BPUStage3: flushS3=0 -[DEBUG][time= 75] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 75] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 75] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 75] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 75] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 75] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 75] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 75] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 75] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 75] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 75] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 75] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 75] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 75] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 75] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 75] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 75] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 75] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 75] Dispatch2: regfile 0 from 3 -[DEBUG][time= 75] Dispatch2: regfile 1 from 3 -[DEBUG][time= 75] Dispatch2: regfile 2 from 0 -[DEBUG][time= 75] Dispatch2: regfile 3 from 0 -[DEBUG][time= 75] Dispatch2: regfile 4 from 0 -[DEBUG][time= 75] Dispatch2: regfile 5 from 0 -[DEBUG][time= 75] Dispatch2: regfile 6 from 0 -[DEBUG][time= 75] Dispatch2: regfile 7 from 0 -[DEBUG][time= 75] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 75] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 75] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 75] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 75] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 75] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 75] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 75] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 75] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 75] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 75] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 75] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 75] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 75] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 75] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 75] Roq: CSR block should only happen in s_idle -[DEBUG][time= 75] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 75] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 75] Roq: -------------------------------- -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 75] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 75] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 75] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 75] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 75] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 75] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 75] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 75] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 75] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 75] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 75] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 75] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 75] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 75] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 75] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 75] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 75] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 75] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 75] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 75] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 75] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 75] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 75] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 75] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 75] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 75] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 75] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 75] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 75] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 75] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 75] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 75] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 75] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 75] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 75] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 75] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 75] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 75] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 75] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 75] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 75] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 75] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 75] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 75] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 75] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 75] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 75] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 75] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 75] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 75] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 75] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 75] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 75] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 75] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 75] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 75] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 75] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 75] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 75] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 75] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 75] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 75] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 75] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 75] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 75] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 75] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 75] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 75] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 75] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 75] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 75] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 75] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 75] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 75] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 75] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 75] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 75] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 75] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 75] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 75] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 75] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 75] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 75] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 75] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 75] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 75] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 75] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 75] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 75] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 75] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 75] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 75] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 75] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 75] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 75] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 75] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 75] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 75] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 75] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 75] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 75] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 75] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 75] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 75] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 75] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 75] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 75] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 75] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 75] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 75] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 75] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 75] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 75] Brq: ---------------- -[DEBUG][time= 75] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 75] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 75] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 75] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 75] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 75] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 76] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 76] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 76] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 76] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 76] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 76] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 76] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 76] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 76] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 76] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 76] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 76] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 76] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 76] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 76] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 76] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 76] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 76] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 76] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 76] BPUStage3: flushS3=0 -[DEBUG][time= 76] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 76] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 76] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 76] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 76] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 76] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 76] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 76] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 76] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 76] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 76] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 76] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 76] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 76] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 76] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 76] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 76] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 76] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 76] Dispatch2: regfile 0 from 3 -[DEBUG][time= 76] Dispatch2: regfile 1 from 3 -[DEBUG][time= 76] Dispatch2: regfile 2 from 0 -[DEBUG][time= 76] Dispatch2: regfile 3 from 0 -[DEBUG][time= 76] Dispatch2: regfile 4 from 0 -[DEBUG][time= 76] Dispatch2: regfile 5 from 0 -[DEBUG][time= 76] Dispatch2: regfile 6 from 0 -[DEBUG][time= 76] Dispatch2: regfile 7 from 0 -[DEBUG][time= 76] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 76] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 76] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 76] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 76] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 76] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 76] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 76] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 76] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 76] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 76] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 76] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 76] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 76] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 76] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 76] Roq: CSR block should only happen in s_idle -[DEBUG][time= 76] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 76] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 76] Roq: -------------------------------- -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 76] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 76] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 76] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 76] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 76] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 76] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 76] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 76] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 76] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 76] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 76] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 76] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 76] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 76] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 76] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 76] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 76] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 76] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 76] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 76] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 76] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 76] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 76] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 76] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 76] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 76] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 76] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 76] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 76] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 76] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 76] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 76] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 76] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 76] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 76] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 76] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 76] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 76] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 76] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 76] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 76] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 76] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 76] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 76] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 76] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 76] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 76] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 76] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 76] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 76] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 76] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 76] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 76] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 76] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 76] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 76] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 76] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 76] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 76] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 76] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 76] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 76] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 76] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 76] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 76] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 76] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 76] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 76] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 76] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 76] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 76] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 76] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 76] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 76] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 76] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 76] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 76] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 76] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 76] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 76] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 76] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 76] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 76] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 76] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 76] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 76] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 76] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 76] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 76] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 76] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 76] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 76] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 76] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 76] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 76] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 76] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 76] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 76] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 76] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 76] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 76] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 76] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 76] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 76] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 76] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 76] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 76] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 76] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 76] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 76] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 76] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 76] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 76] Brq: ---------------- -[DEBUG][time= 76] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 76] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 76] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 76] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 76] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 76] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 77] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 77] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 77] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 77] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 77] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 77] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 77] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 77] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 77] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 77] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 77] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 77] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 77] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 77] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 77] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 77] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 77] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 77] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 77] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 77] BPUStage3: flushS3=0 -[DEBUG][time= 77] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 77] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 77] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 77] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 77] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 77] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 77] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 77] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 77] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 77] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 77] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 77] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 77] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 77] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 77] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 77] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 77] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 77] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 77] Dispatch2: regfile 0 from 3 -[DEBUG][time= 77] Dispatch2: regfile 1 from 3 -[DEBUG][time= 77] Dispatch2: regfile 2 from 0 -[DEBUG][time= 77] Dispatch2: regfile 3 from 0 -[DEBUG][time= 77] Dispatch2: regfile 4 from 0 -[DEBUG][time= 77] Dispatch2: regfile 5 from 0 -[DEBUG][time= 77] Dispatch2: regfile 6 from 0 -[DEBUG][time= 77] Dispatch2: regfile 7 from 0 -[DEBUG][time= 77] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 77] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 77] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 77] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 77] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 77] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 77] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 77] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 77] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 77] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 77] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 77] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 77] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 77] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 77] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 77] Roq: CSR block should only happen in s_idle -[DEBUG][time= 77] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 77] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 77] Roq: -------------------------------- -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 77] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 77] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 77] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 77] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 77] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 77] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 77] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 77] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 77] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 77] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 77] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 77] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 77] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 77] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 77] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 77] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 77] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 77] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 77] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 77] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 77] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 77] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 77] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 77] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 77] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 77] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 77] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 77] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 77] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 77] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 77] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 77] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 77] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 77] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 77] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 77] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 77] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 77] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 77] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 77] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 77] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 77] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 77] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 77] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 77] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 77] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 77] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 77] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 77] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 77] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 77] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 77] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 77] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 77] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 77] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 77] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 77] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 77] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 77] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 77] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 77] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 77] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 77] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 77] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 77] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 77] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 77] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 77] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 77] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 77] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 77] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 77] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 77] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 77] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 77] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 77] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 77] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 77] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 77] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 77] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 77] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 77] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 77] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 77] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 77] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 77] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 77] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 77] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 77] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 77] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 77] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 77] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 77] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 77] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 77] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 77] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 77] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 77] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 77] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 77] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 77] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 77] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 77] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 77] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 77] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 77] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 77] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 77] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 77] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 77] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 77] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 77] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 77] Brq: ---------------- -[DEBUG][time= 77] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 77] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 77] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 77] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 77] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 77] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 78] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 78] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 78] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 78] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 78] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 78] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 78] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 78] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 78] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 78] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 78] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 78] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 78] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 78] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 78] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 78] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 78] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 78] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 78] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 78] BPUStage3: flushS3=0 -[DEBUG][time= 78] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 78] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 78] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 78] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 78] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 78] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 78] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 78] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 78] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 78] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 78] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 78] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 78] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 78] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 78] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 78] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 78] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 78] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 78] Dispatch2: regfile 0 from 3 -[DEBUG][time= 78] Dispatch2: regfile 1 from 3 -[DEBUG][time= 78] Dispatch2: regfile 2 from 0 -[DEBUG][time= 78] Dispatch2: regfile 3 from 0 -[DEBUG][time= 78] Dispatch2: regfile 4 from 0 -[DEBUG][time= 78] Dispatch2: regfile 5 from 0 -[DEBUG][time= 78] Dispatch2: regfile 6 from 0 -[DEBUG][time= 78] Dispatch2: regfile 7 from 0 -[DEBUG][time= 78] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 78] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 78] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 78] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 78] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 78] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 78] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 78] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 78] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 78] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 78] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 78] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 78] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 78] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 78] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 78] Roq: CSR block should only happen in s_idle -[DEBUG][time= 78] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 78] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 78] Roq: -------------------------------- -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 78] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 78] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 78] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 78] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 78] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 78] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 78] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 78] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 78] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 78] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 78] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 78] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 78] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 78] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 78] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 78] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 78] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 78] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 78] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 78] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 78] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 78] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 78] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 78] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 78] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 78] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 78] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 78] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 78] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 78] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 78] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 78] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 78] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 78] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 78] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 78] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 78] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 78] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 78] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 78] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 78] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 78] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 78] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 78] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 78] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 78] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 78] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 78] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 78] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 78] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 78] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 78] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 78] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 78] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 78] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 78] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 78] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 78] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 78] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 78] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 78] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 78] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 78] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 78] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 78] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 78] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 78] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 78] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 78] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 78] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 78] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 78] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 78] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 78] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 78] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 78] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 78] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 78] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 78] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 78] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 78] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 78] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 78] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 78] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 78] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 78] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 78] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 78] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 78] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 78] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 78] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 78] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 78] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 78] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 78] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 78] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 78] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 78] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 78] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 78] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 78] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 78] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 78] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 78] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 78] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 78] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 78] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 78] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 78] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 78] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 78] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 78] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 78] Brq: ---------------- -[DEBUG][time= 78] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 78] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 78] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 78] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 78] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 78] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 79] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 79] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 79] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 79] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 79] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 79] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 79] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 79] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 79] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 79] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 79] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 79] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 79] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 79] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 79] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 79] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 79] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 79] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 79] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 79] BPUStage3: flushS3=0 -[DEBUG][time= 79] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 79] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 79] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 79] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 79] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 79] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 79] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 79] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 79] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 79] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 79] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 79] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 79] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 79] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 79] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 79] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 79] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 79] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 79] Dispatch2: regfile 0 from 3 -[DEBUG][time= 79] Dispatch2: regfile 1 from 3 -[DEBUG][time= 79] Dispatch2: regfile 2 from 0 -[DEBUG][time= 79] Dispatch2: regfile 3 from 0 -[DEBUG][time= 79] Dispatch2: regfile 4 from 0 -[DEBUG][time= 79] Dispatch2: regfile 5 from 0 -[DEBUG][time= 79] Dispatch2: regfile 6 from 0 -[DEBUG][time= 79] Dispatch2: regfile 7 from 0 -[DEBUG][time= 79] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 79] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 79] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 79] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 79] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 79] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 79] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 79] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 79] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 79] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 79] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 79] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 79] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 79] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 79] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 79] Roq: CSR block should only happen in s_idle -[DEBUG][time= 79] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 79] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 79] Roq: -------------------------------- -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 79] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 79] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 79] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 79] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 79] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 79] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 79] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 79] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 79] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 79] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 79] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 79] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 79] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 79] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 79] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 79] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 79] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 79] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 79] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 79] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 79] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 79] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 79] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 79] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 79] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 79] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 79] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 79] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 79] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 79] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 79] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 79] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 79] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 79] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 79] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 79] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 79] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 79] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 79] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 79] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 79] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 79] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 79] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 79] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 79] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 79] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 79] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 79] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 79] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 79] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 79] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 79] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 79] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 79] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 79] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 79] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 79] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 79] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 79] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 79] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 79] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 79] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 79] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 79] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 79] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 79] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 79] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 79] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 79] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 79] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 79] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 79] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 79] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 79] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 79] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 79] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 79] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 79] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 79] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 79] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 79] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 79] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 79] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 79] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 79] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 79] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 79] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 79] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 79] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 79] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 79] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 79] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 79] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 79] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 79] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 79] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 79] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 79] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 79] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 79] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 79] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 79] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 79] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 79] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 79] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 79] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 79] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 79] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 79] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 79] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 79] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 79] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 79] Brq: ---------------- -[DEBUG][time= 79] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 79] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 79] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 79] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 79] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 79] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 80] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 80] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 80] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 80] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 80] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 80] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 80] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 80] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 80] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 80] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 80] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 80] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 80] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 80] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 80] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 80] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 80] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 80] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 80] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 80] BPUStage3: flushS3=0 -[DEBUG][time= 80] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 80] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 80] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 80] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 80] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 80] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 80] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 80] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 80] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 80] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 80] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 80] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 80] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 80] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 80] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 80] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 80] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 80] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 80] Dispatch2: regfile 0 from 3 -[DEBUG][time= 80] Dispatch2: regfile 1 from 3 -[DEBUG][time= 80] Dispatch2: regfile 2 from 0 -[DEBUG][time= 80] Dispatch2: regfile 3 from 0 -[DEBUG][time= 80] Dispatch2: regfile 4 from 0 -[DEBUG][time= 80] Dispatch2: regfile 5 from 0 -[DEBUG][time= 80] Dispatch2: regfile 6 from 0 -[DEBUG][time= 80] Dispatch2: regfile 7 from 0 -[DEBUG][time= 80] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 80] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 80] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 80] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 80] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 80] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 80] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 80] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 80] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 80] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 80] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 80] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 80] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 80] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 80] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 80] Roq: CSR block should only happen in s_idle -[DEBUG][time= 80] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 80] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 80] Roq: -------------------------------- -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 80] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 80] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 80] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 80] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 80] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 80] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 80] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 80] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 80] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 80] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 80] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 80] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 80] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 80] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 80] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 80] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 80] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 80] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 80] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 80] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 80] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 80] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 80] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 80] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 80] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 80] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 80] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 80] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 80] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 80] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 80] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 80] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 80] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 80] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 80] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 80] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 80] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 80] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 80] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 80] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 80] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 80] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 80] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 80] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 80] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 80] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 80] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 80] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 80] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 80] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 80] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 80] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 80] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 80] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 80] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 80] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 80] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 80] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 80] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 80] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 80] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 80] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 80] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 80] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 80] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 80] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 80] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 80] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 80] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 80] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 80] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 80] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 80] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 80] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 80] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 80] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 80] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 80] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 80] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 80] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 80] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 80] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 80] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 80] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 80] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 80] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 80] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 80] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 80] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 80] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 80] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 80] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 80] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 80] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 80] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 80] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 80] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 80] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 80] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 80] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 80] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 80] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 80] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 80] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 80] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 80] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 80] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 80] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 80] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 80] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 80] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 80] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 80] Brq: ---------------- -[DEBUG][time= 80] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 80] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 80] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 80] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 80] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 80] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 81] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 81] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 81] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 81] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 81] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 81] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 81] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 81] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 81] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 81] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 81] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 81] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 81] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 81] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 81] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 81] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 81] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 81] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 81] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 81] BPUStage3: flushS3=0 -[DEBUG][time= 81] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 81] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 81] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 81] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 81] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 81] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 81] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 81] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 81] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 81] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 81] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 81] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 81] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 81] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 81] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 81] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 81] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 81] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 81] Dispatch2: regfile 0 from 3 -[DEBUG][time= 81] Dispatch2: regfile 1 from 3 -[DEBUG][time= 81] Dispatch2: regfile 2 from 0 -[DEBUG][time= 81] Dispatch2: regfile 3 from 0 -[DEBUG][time= 81] Dispatch2: regfile 4 from 0 -[DEBUG][time= 81] Dispatch2: regfile 5 from 0 -[DEBUG][time= 81] Dispatch2: regfile 6 from 0 -[DEBUG][time= 81] Dispatch2: regfile 7 from 0 -[DEBUG][time= 81] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 81] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 81] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 81] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 81] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 81] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 81] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 81] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 81] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 81] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 81] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 81] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 81] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 81] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 81] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 81] Roq: CSR block should only happen in s_idle -[DEBUG][time= 81] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 81] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 81] Roq: -------------------------------- -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 81] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 81] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 81] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 81] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 81] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 81] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 81] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 81] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 81] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 81] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 81] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 81] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 81] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 81] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 81] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 81] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 81] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 81] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 81] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 81] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 81] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 81] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 81] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 81] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 81] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 81] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 81] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 81] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 81] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 81] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 81] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 81] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 81] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 81] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 81] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 81] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 81] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 81] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 81] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 81] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 81] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 81] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 81] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 81] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 81] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 81] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 81] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 81] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 81] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 81] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 81] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 81] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 81] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 81] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 81] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 81] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 81] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 81] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 81] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 81] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 81] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 81] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 81] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 81] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 81] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 81] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 81] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 81] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 81] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 81] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 81] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 81] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 81] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 81] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 81] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 81] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 81] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 81] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 81] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 81] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 81] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 81] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 81] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 81] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 81] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 81] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 81] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 81] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 81] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 81] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 81] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 81] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 81] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 81] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 81] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 81] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 81] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 81] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 81] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 81] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 81] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 81] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 81] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 81] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 81] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 81] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 81] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 81] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 81] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 81] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 81] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 81] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 81] Brq: ---------------- -[DEBUG][time= 81] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 81] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 81] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 81] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 81] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 81] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 82] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 82] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 82] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 82] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 82] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 82] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 82] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 82] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 82] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 82] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 82] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 82] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 82] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 82] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 82] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 82] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 82] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 82] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 82] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 82] BPUStage3: flushS3=0 -[DEBUG][time= 82] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 82] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 82] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 82] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 82] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 82] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 82] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 82] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 82] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 82] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 82] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 82] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 82] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 82] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 82] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 82] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 82] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 82] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 82] Dispatch2: regfile 0 from 3 -[DEBUG][time= 82] Dispatch2: regfile 1 from 3 -[DEBUG][time= 82] Dispatch2: regfile 2 from 0 -[DEBUG][time= 82] Dispatch2: regfile 3 from 0 -[DEBUG][time= 82] Dispatch2: regfile 4 from 0 -[DEBUG][time= 82] Dispatch2: regfile 5 from 0 -[DEBUG][time= 82] Dispatch2: regfile 6 from 0 -[DEBUG][time= 82] Dispatch2: regfile 7 from 0 -[DEBUG][time= 82] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 82] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 82] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 82] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 82] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 82] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 82] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 82] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 82] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 82] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 82] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 82] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 82] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 82] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 82] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 82] Roq: CSR block should only happen in s_idle -[DEBUG][time= 82] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 82] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 82] Roq: -------------------------------- -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 82] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 82] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 82] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 82] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 82] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 82] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 82] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 82] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 82] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 82] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 82] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 82] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 82] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 82] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 82] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 82] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 82] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 82] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 82] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 82] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 82] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 82] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 82] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 82] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 82] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 82] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 82] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 82] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 82] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 82] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 82] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 82] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 82] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 82] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 82] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 82] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 82] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 82] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 82] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 82] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 82] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 82] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 82] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 82] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 82] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 82] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 82] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 82] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 82] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 82] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 82] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 82] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 82] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 82] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 82] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 82] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 82] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 82] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 82] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 82] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 82] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 82] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 82] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 82] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 82] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 82] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 82] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 82] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 82] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 82] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 82] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 82] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 82] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 82] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 82] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 82] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 82] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 82] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 82] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 82] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 82] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 82] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 82] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 82] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 82] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 82] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 82] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 82] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 82] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 82] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 82] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 82] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 82] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 82] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 82] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 82] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 82] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 82] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 82] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 82] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 82] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 82] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 82] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 82] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 82] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 82] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 82] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 82] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 82] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 82] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 82] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 82] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 82] Brq: ---------------- -[DEBUG][time= 82] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 82] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 82] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 82] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 82] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 82] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 83] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 83] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 83] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 83] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 83] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 83] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 83] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 83] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 83] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 83] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 83] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 83] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 83] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 83] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 83] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 83] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 83] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 83] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 83] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 83] BPUStage3: flushS3=0 -[DEBUG][time= 83] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 83] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 83] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 83] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 83] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 83] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 83] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 83] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 83] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 83] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 83] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 83] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 83] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 83] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 83] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 83] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 83] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 83] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 83] Dispatch2: regfile 0 from 3 -[DEBUG][time= 83] Dispatch2: regfile 1 from 3 -[DEBUG][time= 83] Dispatch2: regfile 2 from 0 -[DEBUG][time= 83] Dispatch2: regfile 3 from 0 -[DEBUG][time= 83] Dispatch2: regfile 4 from 0 -[DEBUG][time= 83] Dispatch2: regfile 5 from 0 -[DEBUG][time= 83] Dispatch2: regfile 6 from 0 -[DEBUG][time= 83] Dispatch2: regfile 7 from 0 -[DEBUG][time= 83] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 83] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 83] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 83] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 83] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 83] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 83] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 83] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 83] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 83] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 83] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 83] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 83] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 83] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 83] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 83] Roq: CSR block should only happen in s_idle -[DEBUG][time= 83] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 83] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 83] Roq: -------------------------------- -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 83] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 83] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 83] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 83] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 83] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 83] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 83] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 83] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 83] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 83] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 83] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 83] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 83] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 83] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 83] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 83] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 83] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 83] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 83] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 83] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 83] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 83] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 83] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 83] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 83] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 83] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 83] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 83] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 83] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 83] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 83] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 83] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 83] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 83] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 83] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 83] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 83] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 83] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 83] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 83] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 83] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 83] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 83] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 83] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 83] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 83] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 83] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 83] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 83] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 83] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 83] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 83] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 83] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 83] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 83] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 83] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 83] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 83] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 83] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 83] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 83] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 83] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 83] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 83] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 83] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 83] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 83] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 83] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 83] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 83] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 83] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 83] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 83] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 83] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 83] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 83] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 83] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 83] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 83] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 83] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 83] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 83] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 83] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 83] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 83] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 83] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 83] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 83] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 83] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 83] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 83] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 83] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 83] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 83] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 83] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 83] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 83] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 83] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 83] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 83] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 83] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 83] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 83] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 83] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 83] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 83] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 83] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 83] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 83] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 83] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 83] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 83] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 83] Brq: ---------------- -[DEBUG][time= 83] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 83] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 83] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 83] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 83] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 83] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 84] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 84] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 84] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 84] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 84] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 84] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 84] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 84] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 84] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 84] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 84] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 84] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 84] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 84] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 84] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 84] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 84] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 84] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 84] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 84] BPUStage3: flushS3=0 -[DEBUG][time= 84] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 84] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 84] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 84] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 84] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 84] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 84] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 84] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 84] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 84] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 84] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 84] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 84] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 84] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 84] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 84] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 84] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 84] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 84] Dispatch2: regfile 0 from 3 -[DEBUG][time= 84] Dispatch2: regfile 1 from 3 -[DEBUG][time= 84] Dispatch2: regfile 2 from 0 -[DEBUG][time= 84] Dispatch2: regfile 3 from 0 -[DEBUG][time= 84] Dispatch2: regfile 4 from 0 -[DEBUG][time= 84] Dispatch2: regfile 5 from 0 -[DEBUG][time= 84] Dispatch2: regfile 6 from 0 -[DEBUG][time= 84] Dispatch2: regfile 7 from 0 -[DEBUG][time= 84] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 84] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 84] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 84] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 84] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 84] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 84] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 84] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 84] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 84] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 84] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 84] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 84] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 84] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 84] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 84] Roq: CSR block should only happen in s_idle -[DEBUG][time= 84] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 84] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 84] Roq: -------------------------------- -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 84] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 84] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 84] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 84] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 84] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 84] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 84] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 84] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 84] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 84] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 84] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 84] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 84] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 84] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 84] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 84] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 84] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 84] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 84] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 84] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 84] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 84] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 84] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 84] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 84] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 84] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 84] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 84] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 84] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 84] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 84] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 84] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 84] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 84] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 84] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 84] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 84] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 84] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 84] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 84] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 84] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 84] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 84] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 84] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 84] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 84] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 84] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 84] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 84] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 84] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 84] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 84] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 84] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 84] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 84] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 84] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 84] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 84] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 84] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 84] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 84] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 84] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 84] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 84] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 84] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 84] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 84] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 84] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 84] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 84] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 84] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 84] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 84] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 84] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 84] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 84] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 84] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 84] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 84] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 84] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 84] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 84] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 84] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 84] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 84] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 84] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 84] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 84] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 84] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 84] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 84] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 84] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 84] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 84] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 84] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 84] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 84] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 84] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 84] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 84] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 84] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 84] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 84] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 84] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 84] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 84] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 84] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 84] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 84] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 84] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 84] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 84] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 84] Brq: ---------------- -[DEBUG][time= 84] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 84] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 84] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 84] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 84] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 84] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 85] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 85] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 85] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 85] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 85] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 85] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 85] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 85] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 85] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 85] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 85] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 85] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 85] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 85] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 85] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 85] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 85] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 85] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 85] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 85] BPUStage3: flushS3=0 -[DEBUG][time= 85] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 85] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 85] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 85] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 85] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 85] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 85] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 85] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 85] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 85] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 85] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 85] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 85] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 85] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 85] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 85] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 85] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 85] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 85] Dispatch2: regfile 0 from 3 -[DEBUG][time= 85] Dispatch2: regfile 1 from 3 -[DEBUG][time= 85] Dispatch2: regfile 2 from 0 -[DEBUG][time= 85] Dispatch2: regfile 3 from 0 -[DEBUG][time= 85] Dispatch2: regfile 4 from 0 -[DEBUG][time= 85] Dispatch2: regfile 5 from 0 -[DEBUG][time= 85] Dispatch2: regfile 6 from 0 -[DEBUG][time= 85] Dispatch2: regfile 7 from 0 -[DEBUG][time= 85] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 85] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 85] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 85] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 85] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 85] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 85] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 85] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 85] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 85] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 85] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 85] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 85] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 85] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 85] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 85] Roq: CSR block should only happen in s_idle -[DEBUG][time= 85] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 85] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 85] Roq: -------------------------------- -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 85] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 85] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 85] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 85] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 85] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 85] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 85] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 85] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 85] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 85] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 85] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 85] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 85] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 85] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 85] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 85] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 85] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 85] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 85] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 85] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 85] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 85] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 85] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 85] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 85] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 85] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 85] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 85] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 85] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 85] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 85] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 85] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 85] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 85] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 85] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 85] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 85] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 85] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 85] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 85] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 85] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 85] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 85] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 85] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 85] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 85] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 85] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 85] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 85] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 85] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 85] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 85] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 85] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 85] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 85] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 85] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 85] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 85] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 85] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 85] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 85] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 85] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 85] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 85] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 85] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 85] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 85] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 85] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 85] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 85] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 85] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 85] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 85] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 85] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 85] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 85] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 85] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 85] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 85] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 85] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 85] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 85] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 85] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 85] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 85] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 85] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 85] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 85] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 85] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 85] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 85] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 85] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 85] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 85] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 85] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 85] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 85] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 85] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 85] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 85] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 85] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 85] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 85] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 85] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 85] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 85] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 85] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 85] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 85] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 85] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 85] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 85] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 85] Brq: ---------------- -[DEBUG][time= 85] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 85] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 85] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 85] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 85] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 85] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 86] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 86] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 86] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 86] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 86] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 86] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 86] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 86] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 86] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 86] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 86] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 86] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 86] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 86] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 86] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 86] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 86] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 86] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 86] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 86] BPUStage3: flushS3=0 -[DEBUG][time= 86] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 86] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 86] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 86] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 86] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 86] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 86] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 86] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 86] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 86] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 86] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 86] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 86] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 86] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 86] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 86] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 86] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 86] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 86] Dispatch2: regfile 0 from 3 -[DEBUG][time= 86] Dispatch2: regfile 1 from 3 -[DEBUG][time= 86] Dispatch2: regfile 2 from 0 -[DEBUG][time= 86] Dispatch2: regfile 3 from 0 -[DEBUG][time= 86] Dispatch2: regfile 4 from 0 -[DEBUG][time= 86] Dispatch2: regfile 5 from 0 -[DEBUG][time= 86] Dispatch2: regfile 6 from 0 -[DEBUG][time= 86] Dispatch2: regfile 7 from 0 -[DEBUG][time= 86] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 86] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 86] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 86] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 86] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 86] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 86] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 86] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 86] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 86] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 86] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 86] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 86] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 86] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 86] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 86] Roq: CSR block should only happen in s_idle -[DEBUG][time= 86] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 86] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 86] Roq: -------------------------------- -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 86] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 86] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 86] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 86] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 86] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 86] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 86] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 86] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 86] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 86] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 86] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 86] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 86] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 86] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 86] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 86] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 86] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 86] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 86] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 86] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 86] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 86] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 86] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 86] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 86] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 86] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 86] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 86] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 86] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 86] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 86] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 86] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 86] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 86] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 86] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 86] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 86] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 86] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 86] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 86] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 86] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 86] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 86] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 86] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 86] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 86] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 86] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 86] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 86] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 86] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 86] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 86] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 86] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 86] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 86] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 86] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 86] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 86] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 86] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 86] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 86] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 86] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 86] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 86] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 86] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 86] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 86] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 86] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 86] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 86] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 86] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 86] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 86] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 86] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 86] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 86] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 86] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 86] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 86] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 86] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 86] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 86] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 86] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 86] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 86] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 86] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 86] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 86] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 86] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 86] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 86] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 86] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 86] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 86] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 86] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 86] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 86] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 86] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 86] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 86] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 86] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 86] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 86] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 86] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 86] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 86] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 86] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 86] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 86] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 86] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 86] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 86] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 86] Brq: ---------------- -[DEBUG][time= 86] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 86] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 86] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 86] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 86] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 86] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 87] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 87] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 87] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 87] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 87] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 87] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 87] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 87] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 87] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 87] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 87] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 87] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 87] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 87] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 87] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 87] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 87] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 87] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 87] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 87] BPUStage3: flushS3=0 -[DEBUG][time= 87] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 87] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 87] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 87] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 87] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 87] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 87] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 87] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 87] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 87] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 87] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 87] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 87] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 87] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 87] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 87] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 87] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 87] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 87] Dispatch2: regfile 0 from 3 -[DEBUG][time= 87] Dispatch2: regfile 1 from 3 -[DEBUG][time= 87] Dispatch2: regfile 2 from 0 -[DEBUG][time= 87] Dispatch2: regfile 3 from 0 -[DEBUG][time= 87] Dispatch2: regfile 4 from 0 -[DEBUG][time= 87] Dispatch2: regfile 5 from 0 -[DEBUG][time= 87] Dispatch2: regfile 6 from 0 -[DEBUG][time= 87] Dispatch2: regfile 7 from 0 -[DEBUG][time= 87] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 87] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 87] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 87] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 87] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 87] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 87] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 87] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 87] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 87] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 87] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 87] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 87] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 87] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 87] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 87] Roq: CSR block should only happen in s_idle -[DEBUG][time= 87] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 87] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 87] Roq: -------------------------------- -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 87] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 87] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 87] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 87] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 87] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 87] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 87] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 87] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 87] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 87] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 87] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 87] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 87] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 87] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 87] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 87] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 87] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 87] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 87] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 87] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 87] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 87] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 87] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 87] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 87] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 87] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 87] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 87] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 87] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 87] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 87] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 87] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 87] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 87] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 87] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 87] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 87] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 87] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 87] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 87] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 87] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 87] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 87] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 87] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 87] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 87] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 87] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 87] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 87] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 87] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 87] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 87] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 87] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 87] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 87] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 87] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 87] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 87] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 87] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 87] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 87] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 87] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 87] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 87] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 87] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 87] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 87] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 87] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 87] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 87] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 87] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 87] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 87] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 87] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 87] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 87] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 87] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 87] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 87] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 87] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 87] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 87] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 87] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 87] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 87] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 87] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 87] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 87] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 87] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 87] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 87] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 87] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 87] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 87] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 87] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 87] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 87] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 87] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 87] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 87] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 87] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 87] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 87] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 87] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 87] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 87] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 87] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 87] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 87] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 87] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 87] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 87] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 87] Brq: ---------------- -[DEBUG][time= 87] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 87] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 87] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 87] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 87] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 87] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 88] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 88] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 88] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 88] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 88] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 88] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 88] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 88] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 88] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 88] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 88] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 88] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 88] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 88] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 88] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 88] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 88] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 88] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 88] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 88] BPUStage3: flushS3=0 -[DEBUG][time= 88] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 88] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 88] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 88] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 88] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 88] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 88] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 88] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 88] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 88] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 88] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 88] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 88] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 88] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 88] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 88] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 88] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 88] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 88] Dispatch2: regfile 0 from 3 -[DEBUG][time= 88] Dispatch2: regfile 1 from 3 -[DEBUG][time= 88] Dispatch2: regfile 2 from 0 -[DEBUG][time= 88] Dispatch2: regfile 3 from 0 -[DEBUG][time= 88] Dispatch2: regfile 4 from 0 -[DEBUG][time= 88] Dispatch2: regfile 5 from 0 -[DEBUG][time= 88] Dispatch2: regfile 6 from 0 -[DEBUG][time= 88] Dispatch2: regfile 7 from 0 -[DEBUG][time= 88] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 88] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 88] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 88] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 88] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 88] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 88] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 88] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 88] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 88] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 88] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 88] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 88] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 88] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 88] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 88] Roq: CSR block should only happen in s_idle -[DEBUG][time= 88] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 88] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 88] Roq: -------------------------------- -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 88] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 88] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 88] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 88] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 88] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 88] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 88] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 88] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 88] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 88] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 88] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 88] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 88] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 88] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 88] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 88] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 88] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 88] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 88] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 88] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 88] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 88] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 88] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 88] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 88] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 88] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 88] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 88] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 88] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 88] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 88] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 88] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 88] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 88] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 88] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 88] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 88] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 88] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 88] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 88] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 88] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 88] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 88] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 88] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 88] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 88] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 88] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 88] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 88] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 88] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 88] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 88] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 88] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 88] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 88] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 88] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 88] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 88] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 88] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 88] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 88] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 88] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 88] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 88] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 88] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 88] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 88] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 88] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 88] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 88] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 88] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 88] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 88] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 88] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 88] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 88] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 88] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 88] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 88] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 88] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 88] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 88] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 88] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 88] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 88] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 88] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 88] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 88] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 88] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 88] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 88] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 88] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 88] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 88] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 88] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 88] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 88] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 88] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 88] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 88] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 88] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 88] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 88] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 88] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 88] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 88] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 88] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 88] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 88] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 88] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 88] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 88] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 88] Brq: ---------------- -[DEBUG][time= 88] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 88] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 88] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 88] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 88] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 88] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 89] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 89] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 89] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 89] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 89] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 89] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 89] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 89] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 89] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 89] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 89] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 89] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 89] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 89] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 89] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 89] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 89] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 89] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 89] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 89] BPUStage3: flushS3=0 -[DEBUG][time= 89] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 89] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 89] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 89] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 89] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 89] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 89] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 89] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 89] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 89] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 89] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 89] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 89] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 89] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 89] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 89] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 89] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 89] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 89] Dispatch2: regfile 0 from 3 -[DEBUG][time= 89] Dispatch2: regfile 1 from 3 -[DEBUG][time= 89] Dispatch2: regfile 2 from 0 -[DEBUG][time= 89] Dispatch2: regfile 3 from 0 -[DEBUG][time= 89] Dispatch2: regfile 4 from 0 -[DEBUG][time= 89] Dispatch2: regfile 5 from 0 -[DEBUG][time= 89] Dispatch2: regfile 6 from 0 -[DEBUG][time= 89] Dispatch2: regfile 7 from 0 -[DEBUG][time= 89] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 89] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 89] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 89] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 89] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 89] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 89] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 89] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 89] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 89] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 89] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 89] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 89] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 89] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 89] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 89] Roq: CSR block should only happen in s_idle -[DEBUG][time= 89] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 89] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 89] Roq: -------------------------------- -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 89] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 89] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 89] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 89] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 89] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 89] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 89] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 89] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 89] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 89] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 89] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 89] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 89] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 89] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 89] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 89] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 89] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 89] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 89] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 89] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 89] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 89] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 89] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 89] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 89] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 89] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 89] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 89] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 89] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 89] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 89] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 89] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 89] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 89] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 89] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 89] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 89] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 89] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 89] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 89] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 89] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 89] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 89] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 89] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 89] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 89] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 89] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 89] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 89] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 89] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 89] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 89] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 89] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 89] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 89] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 89] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 89] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 89] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 89] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 89] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 89] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 89] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 89] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 89] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 89] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 89] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 89] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 89] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 89] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 89] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 89] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 89] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 89] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 89] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 89] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 89] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 89] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 89] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 89] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 89] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 89] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 89] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 89] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 89] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 89] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 89] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 89] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 89] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 89] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 89] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 89] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 89] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 89] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 89] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 89] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 89] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 89] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 89] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 89] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 89] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 89] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 89] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 89] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 89] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 89] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 89] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 89] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 89] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 89] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 89] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 89] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 89] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 89] Brq: ---------------- -[DEBUG][time= 89] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 89] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 89] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 89] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 89] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 89] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 90] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 90] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 90] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 90] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 90] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 90] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 90] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 90] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 90] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 90] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 90] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 90] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 90] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 90] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 90] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 90] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 90] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 90] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 90] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 90] BPUStage3: flushS3=0 -[DEBUG][time= 90] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 90] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 90] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 90] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 90] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 90] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 90] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 90] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 90] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 90] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 90] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 90] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 90] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 90] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 90] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 90] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 90] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 90] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 90] Dispatch2: regfile 0 from 3 -[DEBUG][time= 90] Dispatch2: regfile 1 from 3 -[DEBUG][time= 90] Dispatch2: regfile 2 from 0 -[DEBUG][time= 90] Dispatch2: regfile 3 from 0 -[DEBUG][time= 90] Dispatch2: regfile 4 from 0 -[DEBUG][time= 90] Dispatch2: regfile 5 from 0 -[DEBUG][time= 90] Dispatch2: regfile 6 from 0 -[DEBUG][time= 90] Dispatch2: regfile 7 from 0 -[DEBUG][time= 90] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 90] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 90] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 90] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 90] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 90] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 90] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 90] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 90] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 90] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 90] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 90] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 90] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 90] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 90] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 90] Roq: CSR block should only happen in s_idle -[DEBUG][time= 90] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 90] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 90] Roq: -------------------------------- -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 90] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 90] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 90] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 90] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 90] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 90] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 90] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 90] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 90] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 90] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 90] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 90] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 90] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 90] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 90] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 90] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 90] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 90] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 90] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 90] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 90] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 90] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 90] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 90] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 90] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 90] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 90] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 90] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 90] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 90] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 90] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 90] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 90] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 90] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 90] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 90] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 90] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 90] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 90] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 90] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 90] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 90] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 90] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 90] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 90] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 90] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 90] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 90] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 90] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 90] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 90] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 90] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 90] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 90] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 90] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 90] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 90] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 90] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 90] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 90] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 90] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 90] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 90] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 90] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 90] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 90] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 90] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 90] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 90] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 90] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 90] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 90] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 90] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 90] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 90] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 90] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 90] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 90] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 90] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 90] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 90] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 90] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 90] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 90] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 90] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 90] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 90] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 90] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 90] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 90] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 90] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 90] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 90] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 90] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 90] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 90] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 90] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 90] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 90] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 90] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 90] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 90] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 90] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 90] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 90] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 90] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 90] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 90] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 90] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 90] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 90] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 90] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 90] Brq: ---------------- -[DEBUG][time= 90] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 90] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 90] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 90] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 90] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 90] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 91] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 91] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 91] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 91] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 91] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 91] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 91] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 91] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 91] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 91] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 91] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 91] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 91] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 91] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 91] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 91] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 91] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 91] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 91] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 91] BPUStage3: flushS3=0 -[DEBUG][time= 91] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 91] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 91] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 91] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 91] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 91] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 91] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 91] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 91] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 91] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 91] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 91] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 91] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 91] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 91] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 91] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 91] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 91] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 91] Dispatch2: regfile 0 from 3 -[DEBUG][time= 91] Dispatch2: regfile 1 from 3 -[DEBUG][time= 91] Dispatch2: regfile 2 from 0 -[DEBUG][time= 91] Dispatch2: regfile 3 from 0 -[DEBUG][time= 91] Dispatch2: regfile 4 from 0 -[DEBUG][time= 91] Dispatch2: regfile 5 from 0 -[DEBUG][time= 91] Dispatch2: regfile 6 from 0 -[DEBUG][time= 91] Dispatch2: regfile 7 from 0 -[DEBUG][time= 91] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 91] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 91] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 91] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 91] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 91] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 91] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 91] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 91] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 91] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 91] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 91] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 91] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 91] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 91] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 91] Roq: CSR block should only happen in s_idle -[DEBUG][time= 91] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 91] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 91] Roq: -------------------------------- -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 91] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 91] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 91] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 91] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 91] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 91] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 91] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 91] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 91] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 91] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 91] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 91] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 91] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 91] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 91] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 91] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 91] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 91] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 91] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 91] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 91] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 91] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 91] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 91] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 91] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 91] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 91] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 91] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 91] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 91] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 91] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 91] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 91] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 91] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 91] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 91] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 91] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 91] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 91] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 91] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 91] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 91] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 91] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 91] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 91] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 91] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 91] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 91] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 91] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 91] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 91] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 91] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 91] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 91] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 91] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 91] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 91] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 91] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 91] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 91] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 91] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 91] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 91] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 91] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 91] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 91] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 91] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 91] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 91] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 91] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 91] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 91] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 91] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 91] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 91] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 91] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 91] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 91] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 91] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 91] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 91] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 91] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 91] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 91] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 91] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 91] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 91] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 91] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 91] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 91] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 91] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 91] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 91] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 91] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 91] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 91] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 91] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 91] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 91] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 91] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 91] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 91] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 91] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 91] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 91] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 91] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 91] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 91] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 91] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 91] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 91] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 91] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 91] Brq: ---------------- -[DEBUG][time= 91] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 91] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 91] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 91] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 91] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 91] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 92] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 92] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 92] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 92] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 92] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 92] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 92] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 92] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 92] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 92] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 92] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 92] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 92] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 92] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 92] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 92] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 92] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 92] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 92] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 92] BPUStage3: flushS3=0 -[DEBUG][time= 92] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 92] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 92] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 92] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 92] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 92] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 92] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 92] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 92] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 92] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 92] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 92] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 92] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 92] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 92] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 92] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 92] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 92] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 92] Dispatch2: regfile 0 from 3 -[DEBUG][time= 92] Dispatch2: regfile 1 from 3 -[DEBUG][time= 92] Dispatch2: regfile 2 from 0 -[DEBUG][time= 92] Dispatch2: regfile 3 from 0 -[DEBUG][time= 92] Dispatch2: regfile 4 from 0 -[DEBUG][time= 92] Dispatch2: regfile 5 from 0 -[DEBUG][time= 92] Dispatch2: regfile 6 from 0 -[DEBUG][time= 92] Dispatch2: regfile 7 from 0 -[DEBUG][time= 92] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 92] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 92] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 92] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 92] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 92] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 92] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 92] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 92] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 92] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 92] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 92] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 92] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 92] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 92] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 92] Roq: CSR block should only happen in s_idle -[DEBUG][time= 92] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 92] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 92] Roq: -------------------------------- -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 92] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 92] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 92] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 92] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 92] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 92] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 92] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 92] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 92] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 92] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 92] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 92] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 92] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 92] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 92] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 92] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 92] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 92] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 92] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 92] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 92] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 92] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 92] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 92] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 92] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 92] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 92] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 92] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 92] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 92] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 92] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 92] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 92] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 92] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 92] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 92] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 92] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 92] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 92] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 92] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 92] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 92] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 92] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 92] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 92] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 92] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 92] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 92] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 92] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 92] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 92] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 92] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 92] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 92] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 92] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 92] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 92] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 92] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 92] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 92] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 92] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 92] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 92] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 92] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 92] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 92] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 92] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 92] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 92] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 92] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 92] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 92] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 92] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 92] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 92] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 92] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 92] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 92] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 92] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 92] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 92] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 92] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 92] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 92] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 92] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 92] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 92] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 92] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 92] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 92] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 92] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 92] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 92] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 92] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 92] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 92] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 92] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 92] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 92] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 92] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 92] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 92] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 92] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 92] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 92] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 92] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 92] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 92] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 92] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 92] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 92] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 92] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 92] Brq: ---------------- -[DEBUG][time= 92] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 92] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 92] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 92] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 92] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 92] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 93] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 93] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 93] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 93] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 93] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 93] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 93] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 93] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 93] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 93] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 93] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 93] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 93] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 93] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 93] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 93] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 93] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 93] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 93] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 93] BPUStage3: flushS3=0 -[DEBUG][time= 93] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 93] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 93] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 93] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 93] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 93] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 93] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 93] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 93] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 93] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 93] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 93] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 93] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 93] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 93] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 93] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 93] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 93] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 93] Dispatch2: regfile 0 from 3 -[DEBUG][time= 93] Dispatch2: regfile 1 from 3 -[DEBUG][time= 93] Dispatch2: regfile 2 from 0 -[DEBUG][time= 93] Dispatch2: regfile 3 from 0 -[DEBUG][time= 93] Dispatch2: regfile 4 from 0 -[DEBUG][time= 93] Dispatch2: regfile 5 from 0 -[DEBUG][time= 93] Dispatch2: regfile 6 from 0 -[DEBUG][time= 93] Dispatch2: regfile 7 from 0 -[DEBUG][time= 93] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 93] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 93] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 93] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 93] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 93] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 93] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 93] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 93] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 93] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 93] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 93] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 93] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 93] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 93] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 93] Roq: CSR block should only happen in s_idle -[DEBUG][time= 93] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 93] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 93] Roq: -------------------------------- -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 93] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 93] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 93] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 93] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 93] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 93] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 93] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 93] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 93] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 93] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 93] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 93] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 93] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 93] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 93] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 93] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 93] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 93] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 93] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 93] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 93] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 93] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 93] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 93] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 93] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 93] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 93] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 93] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 93] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 93] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 93] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 93] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 93] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 93] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 93] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 93] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 93] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 93] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 93] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 93] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 93] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 93] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 93] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 93] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 93] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 93] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 93] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 93] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 93] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 93] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 93] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 93] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 93] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 93] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 93] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 93] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 93] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 93] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 93] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 93] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 93] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 93] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 93] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 93] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 93] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 93] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 93] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 93] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 93] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 93] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 93] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 93] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 93] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 93] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 93] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 93] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 93] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 93] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 93] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 93] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 93] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 93] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 93] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 93] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 93] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 93] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 93] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 93] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 93] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 93] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 93] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 93] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 93] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 93] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 93] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 93] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 93] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 93] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 93] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 93] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 93] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 93] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 93] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 93] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 93] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 93] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 93] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 93] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 93] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 93] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 93] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 93] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 93] Brq: ---------------- -[DEBUG][time= 93] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 93] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 93] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 93] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 93] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 93] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 94] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 94] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 94] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 94] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 94] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 94] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 94] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 94] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 94] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 94] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 94] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 94] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 94] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 94] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 94] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 94] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 94] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 94] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 94] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 94] BPUStage3: flushS3=0 -[DEBUG][time= 94] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 94] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 94] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 94] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 94] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 94] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 94] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 94] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 94] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 94] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 94] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 94] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 94] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 94] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 94] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 94] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 94] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 94] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 94] Dispatch2: regfile 0 from 3 -[DEBUG][time= 94] Dispatch2: regfile 1 from 3 -[DEBUG][time= 94] Dispatch2: regfile 2 from 0 -[DEBUG][time= 94] Dispatch2: regfile 3 from 0 -[DEBUG][time= 94] Dispatch2: regfile 4 from 0 -[DEBUG][time= 94] Dispatch2: regfile 5 from 0 -[DEBUG][time= 94] Dispatch2: regfile 6 from 0 -[DEBUG][time= 94] Dispatch2: regfile 7 from 0 -[DEBUG][time= 94] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 94] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 94] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 94] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 94] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 94] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 94] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 94] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 94] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 94] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 94] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 94] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 94] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 94] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 94] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 94] Roq: CSR block should only happen in s_idle -[DEBUG][time= 94] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 94] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 94] Roq: -------------------------------- -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 94] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 94] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 94] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 94] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 94] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 94] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 94] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 94] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 94] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 94] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 94] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 94] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 94] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 94] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 94] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 94] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 94] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 94] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 94] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 94] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 94] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 94] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 94] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 94] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 94] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 94] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 94] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 94] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 94] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 94] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 94] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 94] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 94] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 94] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 94] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 94] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 94] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 94] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 94] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 94] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 94] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 94] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 94] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 94] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 94] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 94] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 94] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 94] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 94] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 94] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 94] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 94] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 94] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 94] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 94] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 94] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 94] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 94] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 94] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 94] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 94] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 94] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 94] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 94] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 94] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 94] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 94] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 94] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 94] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 94] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 94] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 94] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 94] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 94] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 94] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 94] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 94] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 94] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 94] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 94] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 94] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 94] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 94] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 94] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 94] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 94] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 94] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 94] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 94] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 94] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 94] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 94] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 94] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 94] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 94] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 94] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 94] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 94] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 94] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 94] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 94] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 94] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 94] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 94] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 94] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 94] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 94] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 94] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 94] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 94] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 94] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 94] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 94] Brq: ---------------- -[DEBUG][time= 94] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 94] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 94] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 94] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 94] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 94] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 95] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 95] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 95] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 95] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 95] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 95] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 95] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 95] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 95] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 95] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 95] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 95] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 95] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 95] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 95] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 95] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 95] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 95] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 95] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 95] BPUStage3: flushS3=0 -[DEBUG][time= 95] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 95] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 95] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 95] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 95] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 95] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 95] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 95] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 95] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 95] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 95] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 95] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 95] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 95] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 95] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 95] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 95] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 95] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 95] Dispatch2: regfile 0 from 3 -[DEBUG][time= 95] Dispatch2: regfile 1 from 3 -[DEBUG][time= 95] Dispatch2: regfile 2 from 0 -[DEBUG][time= 95] Dispatch2: regfile 3 from 0 -[DEBUG][time= 95] Dispatch2: regfile 4 from 0 -[DEBUG][time= 95] Dispatch2: regfile 5 from 0 -[DEBUG][time= 95] Dispatch2: regfile 6 from 0 -[DEBUG][time= 95] Dispatch2: regfile 7 from 0 -[DEBUG][time= 95] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 95] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 95] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 95] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 95] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 95] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 95] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 95] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 95] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 95] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 95] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 95] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 95] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 95] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 95] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 95] Roq: CSR block should only happen in s_idle -[DEBUG][time= 95] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 95] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 95] Roq: -------------------------------- -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 95] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 95] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 95] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 95] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 95] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 95] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 95] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 95] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 95] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 95] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 95] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 95] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 95] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 95] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 95] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 95] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 95] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 95] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 95] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 95] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 95] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 95] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 95] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 95] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 95] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 95] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 95] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 95] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 95] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 95] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 95] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 95] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 95] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 95] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 95] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 95] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 95] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 95] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 95] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 95] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 95] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 95] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 95] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 95] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 95] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 95] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 95] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 95] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 95] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 95] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 95] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 95] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 95] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 95] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 95] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 95] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 95] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 95] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 95] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 95] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 95] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 95] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 95] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 95] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 95] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 95] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 95] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 95] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 95] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 95] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 95] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 95] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 95] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 95] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 95] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 95] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 95] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 95] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 95] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 95] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 95] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 95] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 95] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 95] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 95] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 95] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 95] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 95] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 95] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 95] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 95] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 95] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 95] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 95] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 95] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 95] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 95] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 95] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 95] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 95] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 95] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 95] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 95] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 95] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 95] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 95] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 95] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 95] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 95] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 95] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 95] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 95] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 95] Brq: ---------------- -[DEBUG][time= 95] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 95] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 95] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 95] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 95] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 95] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 96] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 96] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 96] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 96] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 96] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 96] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 96] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 96] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 96] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 96] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 96] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 96] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 96] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 96] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 96] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 96] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 96] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 96] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 96] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 96] BPUStage3: flushS3=0 -[DEBUG][time= 96] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 96] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 96] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 96] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 96] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 96] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 96] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 96] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 96] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 96] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 96] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 96] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 96] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 96] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 96] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 96] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 96] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 96] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 96] Dispatch2: regfile 0 from 3 -[DEBUG][time= 96] Dispatch2: regfile 1 from 3 -[DEBUG][time= 96] Dispatch2: regfile 2 from 0 -[DEBUG][time= 96] Dispatch2: regfile 3 from 0 -[DEBUG][time= 96] Dispatch2: regfile 4 from 0 -[DEBUG][time= 96] Dispatch2: regfile 5 from 0 -[DEBUG][time= 96] Dispatch2: regfile 6 from 0 -[DEBUG][time= 96] Dispatch2: regfile 7 from 0 -[DEBUG][time= 96] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 96] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 96] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 96] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 96] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 96] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 96] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 96] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 96] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 96] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 96] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 96] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 96] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 96] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 96] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 96] Roq: CSR block should only happen in s_idle -[DEBUG][time= 96] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 96] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 96] Roq: -------------------------------- -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 96] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 96] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 96] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 96] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 96] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 96] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 96] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 96] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 96] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 96] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 96] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 96] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 96] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 96] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 96] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 96] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 96] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 96] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 96] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 96] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 96] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 96] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 96] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 96] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 96] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 96] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 96] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 96] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 96] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 96] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 96] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 96] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 96] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 96] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 96] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 96] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 96] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 96] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 96] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 96] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 96] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 96] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 96] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 96] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 96] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 96] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 96] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 96] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 96] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 96] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 96] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 96] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 96] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 96] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 96] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 96] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 96] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 96] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 96] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 96] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 96] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 96] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 96] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 96] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 96] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 96] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 96] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 96] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 96] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 96] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 96] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 96] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 96] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 96] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 96] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 96] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 96] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 96] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 96] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 96] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 96] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 96] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 96] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 96] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 96] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 96] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 96] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 96] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 96] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 96] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 96] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 96] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 96] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 96] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 96] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 96] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 96] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 96] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 96] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 96] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 96] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 96] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 96] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 96] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 96] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 96] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 96] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 96] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 96] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 96] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 96] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 96] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 96] Brq: ---------------- -[DEBUG][time= 96] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 96] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 96] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 96] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 96] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 96] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 97] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 97] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 97] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 97] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 97] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 97] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 97] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 97] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 97] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 97] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 97] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 97] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 97] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 97] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 97] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 97] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 97] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 97] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 97] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 97] BPUStage3: flushS3=0 -[DEBUG][time= 97] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 97] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 97] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 97] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 97] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 97] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 97] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 97] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 97] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 97] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 97] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 97] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 97] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 97] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 97] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 97] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 97] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 97] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 97] Dispatch2: regfile 0 from 3 -[DEBUG][time= 97] Dispatch2: regfile 1 from 3 -[DEBUG][time= 97] Dispatch2: regfile 2 from 0 -[DEBUG][time= 97] Dispatch2: regfile 3 from 0 -[DEBUG][time= 97] Dispatch2: regfile 4 from 0 -[DEBUG][time= 97] Dispatch2: regfile 5 from 0 -[DEBUG][time= 97] Dispatch2: regfile 6 from 0 -[DEBUG][time= 97] Dispatch2: regfile 7 from 0 -[DEBUG][time= 97] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 97] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 97] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 97] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 97] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 97] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 97] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 97] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 97] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 97] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 97] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 97] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 97] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 97] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 97] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 97] Roq: CSR block should only happen in s_idle -[DEBUG][time= 97] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 97] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 97] Roq: -------------------------------- -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 97] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 97] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 97] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 97] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 97] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 97] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 97] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 97] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 97] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 97] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 97] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 97] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 97] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 97] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 97] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 97] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 97] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 97] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 97] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 97] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 97] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 97] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 97] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 97] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 97] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 97] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 97] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 97] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 97] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 97] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 97] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 97] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 97] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 97] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 97] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 97] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 97] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 97] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 97] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 97] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 97] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 97] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 97] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 97] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 97] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 97] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 97] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 97] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 97] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 97] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 97] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 97] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 97] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 97] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 97] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 97] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 97] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 97] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 97] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 97] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 97] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 97] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 97] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 97] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 97] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 97] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 97] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 97] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 97] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 97] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 97] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 97] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 97] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 97] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 97] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 97] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 97] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 97] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 97] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 97] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 97] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 97] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 97] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 97] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 97] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 97] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 97] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 97] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 97] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 97] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 97] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 97] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 97] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 97] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 97] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 97] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 97] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 97] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 97] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 97] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 97] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 97] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 97] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 97] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 97] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 97] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 97] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 97] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 97] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 97] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 97] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 97] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 97] Brq: ---------------- -[DEBUG][time= 97] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 97] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 97] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 97] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 97] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 97] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 98] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 98] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 98] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 98] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 98] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 98] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 98] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 98] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 98] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 98] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 98] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 98] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 98] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 98] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 98] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 98] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 98] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 98] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 98] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 98] BPUStage3: flushS3=0 -[DEBUG][time= 98] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 98] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 98] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 98] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 98] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 98] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 98] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 98] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 98] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 98] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 98] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 98] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 98] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 98] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 98] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 98] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 98] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 98] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 98] Dispatch2: regfile 0 from 3 -[DEBUG][time= 98] Dispatch2: regfile 1 from 3 -[DEBUG][time= 98] Dispatch2: regfile 2 from 0 -[DEBUG][time= 98] Dispatch2: regfile 3 from 0 -[DEBUG][time= 98] Dispatch2: regfile 4 from 0 -[DEBUG][time= 98] Dispatch2: regfile 5 from 0 -[DEBUG][time= 98] Dispatch2: regfile 6 from 0 -[DEBUG][time= 98] Dispatch2: regfile 7 from 0 -[DEBUG][time= 98] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 98] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 98] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 98] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 98] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 98] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 98] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 98] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 98] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 98] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 98] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 98] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 98] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 98] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 98] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 98] Roq: CSR block should only happen in s_idle -[DEBUG][time= 98] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 98] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 98] Roq: -------------------------------- -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 98] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 98] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 98] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 98] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 98] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 98] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 98] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 98] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 98] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 98] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 98] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 98] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 98] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 98] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 98] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 98] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 98] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 98] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 98] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 98] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 98] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 98] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 98] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 98] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 98] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 98] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 98] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 98] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 98] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 98] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 98] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 98] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 98] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 98] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 98] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 98] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 98] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 98] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 98] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 98] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 98] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 98] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 98] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 98] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 98] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 98] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 98] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 98] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 98] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 98] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 98] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 98] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 98] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 98] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 98] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 98] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 98] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 98] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 98] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 98] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 98] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 98] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 98] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 98] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 98] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 98] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 98] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 98] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 98] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 98] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 98] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 98] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 98] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 98] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 98] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 98] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 98] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 98] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 98] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 98] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 98] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 98] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 98] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 98] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 98] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 98] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 98] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 98] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 98] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 98] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 98] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 98] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 98] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 98] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 98] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 98] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 98] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 98] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 98] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 98] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 98] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 98] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 98] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 98] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 98] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 98] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 98] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 98] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 98] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 98] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 98] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 98] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 98] Brq: ---------------- -[DEBUG][time= 98] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 98] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 98] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 98] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 98] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 98] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 99] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 99] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 99] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 99] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 99] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 99] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 99] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 99] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 99] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 99] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 99] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 99] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 99] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 99] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 99] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 99] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 99] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 99] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 99] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 99] BPUStage3: flushS3=0 -[DEBUG][time= 99] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 99] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 99] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 99] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 99] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 99] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 99] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 99] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 99] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 99] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 99] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 99] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 99] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 99] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 99] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 99] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 99] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 99] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 99] Dispatch2: regfile 0 from 3 -[DEBUG][time= 99] Dispatch2: regfile 1 from 3 -[DEBUG][time= 99] Dispatch2: regfile 2 from 0 -[DEBUG][time= 99] Dispatch2: regfile 3 from 0 -[DEBUG][time= 99] Dispatch2: regfile 4 from 0 -[DEBUG][time= 99] Dispatch2: regfile 5 from 0 -[DEBUG][time= 99] Dispatch2: regfile 6 from 0 -[DEBUG][time= 99] Dispatch2: regfile 7 from 0 -[DEBUG][time= 99] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 99] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 99] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 99] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 99] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 99] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 99] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 99] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 99] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 99] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 99] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 99] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 99] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 99] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 99] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 99] Roq: CSR block should only happen in s_idle -[DEBUG][time= 99] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 99] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 99] Roq: -------------------------------- -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 99] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 99] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 99] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 99] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 99] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 99] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 99] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 99] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 99] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 99] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 99] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 99] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 99] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 99] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 99] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 99] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 99] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 99] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 99] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 99] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 99] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 99] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 99] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 99] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 99] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 99] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 99] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 99] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 99] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 99] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 99] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 99] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 99] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 99] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 99] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 99] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 99] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 99] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 99] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 99] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 99] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 99] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 99] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 99] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 99] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 99] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 99] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 99] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 99] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 99] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 99] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 99] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 99] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 99] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 99] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 99] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 99] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 99] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 99] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 99] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 99] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 99] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 99] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 99] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 99] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 99] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 99] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 99] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 99] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 99] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 99] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 99] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 99] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 99] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 99] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 99] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 99] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 99] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 99] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 99] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 99] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 99] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 99] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 99] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 99] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 99] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 99] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 99] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 99] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 99] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 99] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 99] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 99] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 99] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 99] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 99] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 99] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 99] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 99] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 99] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 99] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 99] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 99] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 99] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 99] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 99] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 99] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 99] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 99] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 99] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 99] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 99] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 99] Brq: ---------------- -[DEBUG][time= 99] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 99] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 99] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 99] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 99] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 99] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 100] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 100] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 100] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 100] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 100] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 100] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 100] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 100] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 100] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 100] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 100] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 100] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 100] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 100] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 100] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 100] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 100] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 100] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 100] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 100] BPUStage3: flushS3=0 -[DEBUG][time= 100] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 100] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 100] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 100] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 100] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 100] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 100] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 100] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 100] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 100] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 100] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 100] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 100] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 100] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 100] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 100] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 100] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 100] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 100] Dispatch2: regfile 0 from 3 -[DEBUG][time= 100] Dispatch2: regfile 1 from 3 -[DEBUG][time= 100] Dispatch2: regfile 2 from 0 -[DEBUG][time= 100] Dispatch2: regfile 3 from 0 -[DEBUG][time= 100] Dispatch2: regfile 4 from 0 -[DEBUG][time= 100] Dispatch2: regfile 5 from 0 -[DEBUG][time= 100] Dispatch2: regfile 6 from 0 -[DEBUG][time= 100] Dispatch2: regfile 7 from 0 -[DEBUG][time= 100] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 100] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 100] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 100] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 100] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 100] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 100] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 100] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 100] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 100] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 100] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 100] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 100] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 100] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 100] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 100] Roq: CSR block should only happen in s_idle -[DEBUG][time= 100] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 100] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 100] Roq: -------------------------------- -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 100] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 100] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 100] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 100] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 100] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 100] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 100] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 100] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 100] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 100] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 100] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 100] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 100] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 100] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 100] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 100] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 100] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 100] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 100] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 100] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 100] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 100] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 100] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 100] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 100] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 100] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 100] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 100] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 100] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 100] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 100] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 100] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 100] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 100] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 100] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 100] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 100] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 100] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 100] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 100] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 100] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 100] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 100] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 100] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 100] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 100] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 100] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 100] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 100] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 100] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 100] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 100] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 100] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 100] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 100] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 100] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 100] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 100] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 100] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 100] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 100] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 100] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 100] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 100] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 100] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 100] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 100] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 100] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 100] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 100] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 100] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 100] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 100] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 100] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 100] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 100] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 100] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 100] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 100] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 100] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 100] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 100] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 100] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 100] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 100] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 100] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 100] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 100] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 100] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 100] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 100] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 100] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 100] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 100] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 100] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 100] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 100] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 100] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 100] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 100] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 100] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 100] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 100] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 100] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 100] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 100] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 100] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 100] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 100] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 100] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 100] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 100] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 100] Brq: ---------------- -[DEBUG][time= 100] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 100] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 100] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 100] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 100] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 100] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 101] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 101] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 101] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 101] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 101] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 101] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 101] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 101] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 101] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 101] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 101] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 101] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 101] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 101] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 101] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 101] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 101] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 101] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 101] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 101] BPUStage3: flushS3=0 -[DEBUG][time= 101] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 101] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 101] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 101] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 101] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 101] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 101] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 101] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 101] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 101] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 101] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 101] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 101] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 101] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 101] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 101] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 101] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 101] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 101] Dispatch2: regfile 0 from 3 -[DEBUG][time= 101] Dispatch2: regfile 1 from 3 -[DEBUG][time= 101] Dispatch2: regfile 2 from 0 -[DEBUG][time= 101] Dispatch2: regfile 3 from 0 -[DEBUG][time= 101] Dispatch2: regfile 4 from 0 -[DEBUG][time= 101] Dispatch2: regfile 5 from 0 -[DEBUG][time= 101] Dispatch2: regfile 6 from 0 -[DEBUG][time= 101] Dispatch2: regfile 7 from 0 -[DEBUG][time= 101] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 101] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 101] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 101] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 101] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 101] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 101] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 101] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 101] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 101] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 101] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 101] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 101] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 101] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 101] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 101] Roq: CSR block should only happen in s_idle -[DEBUG][time= 101] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 101] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 101] Roq: -------------------------------- -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 101] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 101] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 101] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 101] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 101] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 101] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 101] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 101] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 101] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 101] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 101] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 101] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 101] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 101] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 101] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 101] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 101] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 101] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 101] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 101] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 101] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 101] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 101] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 101] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 101] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 101] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 101] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 101] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 101] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 101] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 101] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 101] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 101] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 101] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 101] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 101] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 101] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 101] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 101] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 101] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 101] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 101] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 101] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 101] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 101] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 101] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 101] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 101] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 101] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 101] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 101] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 101] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 101] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 101] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 101] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 101] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 101] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 101] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 101] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 101] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 101] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 101] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 101] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 101] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 101] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 101] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 101] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 101] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 101] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 101] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 101] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 101] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 101] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 101] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 101] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 101] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 101] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 101] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 101] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 101] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 101] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 101] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 101] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 101] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 101] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 101] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 101] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 101] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 101] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 101] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 101] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 101] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 101] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 101] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 101] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 101] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 101] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 101] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 101] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 101] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 101] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 101] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 101] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 101] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 101] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 101] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 101] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 101] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 101] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 101] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 101] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 101] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 101] Brq: ---------------- -[DEBUG][time= 101] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 101] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 101] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 101] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 101] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 101] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 102] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 102] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 102] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 102] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 102] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 102] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 102] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 102] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 102] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 102] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 102] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 102] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 102] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 102] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 102] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 102] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 102] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 102] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 102] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 102] BPUStage3: flushS3=0 -[DEBUG][time= 102] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 102] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 102] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 102] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 102] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 102] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 102] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 102] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 102] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 102] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 102] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 102] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 102] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 102] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 102] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 102] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 102] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 102] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 102] Dispatch2: regfile 0 from 3 -[DEBUG][time= 102] Dispatch2: regfile 1 from 3 -[DEBUG][time= 102] Dispatch2: regfile 2 from 0 -[DEBUG][time= 102] Dispatch2: regfile 3 from 0 -[DEBUG][time= 102] Dispatch2: regfile 4 from 0 -[DEBUG][time= 102] Dispatch2: regfile 5 from 0 -[DEBUG][time= 102] Dispatch2: regfile 6 from 0 -[DEBUG][time= 102] Dispatch2: regfile 7 from 0 -[DEBUG][time= 102] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 102] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 102] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 102] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 102] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 102] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 102] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 102] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 102] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 102] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 102] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 102] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 102] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 102] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 102] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 102] Roq: CSR block should only happen in s_idle -[DEBUG][time= 102] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 102] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 102] Roq: -------------------------------- -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 102] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 102] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 102] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 102] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 102] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 102] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 102] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 102] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 102] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 102] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 102] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 102] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 102] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 102] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 102] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 102] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 102] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 102] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 102] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 102] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 102] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 102] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 102] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 102] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 102] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 102] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 102] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 102] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 102] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 102] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 102] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 102] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 102] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 102] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 102] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 102] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 102] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 102] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 102] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 102] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 102] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 102] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 102] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 102] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 102] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 102] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 102] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 102] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 102] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 102] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 102] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 102] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 102] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 102] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 102] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 102] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 102] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 102] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 102] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 102] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 102] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 102] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 102] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 102] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 102] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 102] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 102] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 102] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 102] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 102] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 102] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 102] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 102] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 102] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 102] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 102] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 102] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 102] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 102] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 102] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 102] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 102] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 102] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 102] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 102] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 102] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 102] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 102] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 102] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 102] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 102] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 102] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 102] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 102] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 102] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 102] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 102] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 102] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 102] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 102] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 102] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 102] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 102] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 102] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 102] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 102] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 102] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 102] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 102] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 102] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 102] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 102] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 102] Brq: ---------------- -[DEBUG][time= 102] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 102] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 102] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 102] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 102] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 102] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 103] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 103] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 103] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 103] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 103] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 103] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 103] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 103] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 103] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 103] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 103] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 103] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 103] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 103] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 103] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 103] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 103] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 103] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 103] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 103] BPUStage3: flushS3=0 -[DEBUG][time= 103] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 103] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 103] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 103] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 103] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 103] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 103] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 103] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 103] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 103] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 103] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 103] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 103] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 103] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 103] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 103] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 103] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 103] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 103] Dispatch2: regfile 0 from 3 -[DEBUG][time= 103] Dispatch2: regfile 1 from 3 -[DEBUG][time= 103] Dispatch2: regfile 2 from 0 -[DEBUG][time= 103] Dispatch2: regfile 3 from 0 -[DEBUG][time= 103] Dispatch2: regfile 4 from 0 -[DEBUG][time= 103] Dispatch2: regfile 5 from 0 -[DEBUG][time= 103] Dispatch2: regfile 6 from 0 -[DEBUG][time= 103] Dispatch2: regfile 7 from 0 -[DEBUG][time= 103] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 103] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 103] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 103] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 103] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 103] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 103] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 103] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 103] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 103] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 103] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 103] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 103] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 103] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 103] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 103] Roq: CSR block should only happen in s_idle -[DEBUG][time= 103] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 103] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 103] Roq: -------------------------------- -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 103] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 103] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 103] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 103] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 103] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 103] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 103] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 103] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 103] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 103] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 103] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 103] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 103] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 103] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 103] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 103] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 103] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 103] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 103] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 103] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 103] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 103] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 103] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 103] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 103] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 103] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 103] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 103] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 103] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 103] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 103] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 103] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 103] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 103] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 103] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 103] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 103] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 103] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 103] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 103] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 103] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 103] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 103] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 103] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 103] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 103] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 103] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 103] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 103] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 103] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 103] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 103] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 103] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 103] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 103] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 103] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 103] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 103] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 103] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 103] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 103] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 103] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 103] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 103] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 103] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 103] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 103] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 103] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 103] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 103] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 103] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 103] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 103] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 103] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 103] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 103] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 103] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 103] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 103] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 103] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 103] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 103] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 103] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 103] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 103] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 103] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 103] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 103] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 103] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 103] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 103] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 103] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 103] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 103] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 103] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 103] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 103] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 103] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 103] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 103] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 103] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 103] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 103] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 103] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 103] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 103] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 103] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 103] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 103] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 103] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 103] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 103] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 103] Brq: ---------------- -[DEBUG][time= 103] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 103] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 103] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 103] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 103] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 103] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 104] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 104] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 104] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 104] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 104] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 104] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 104] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 104] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 104] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 104] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 104] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 104] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 104] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 104] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 104] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 104] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 104] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 104] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 104] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 104] BPUStage3: flushS3=0 -[DEBUG][time= 104] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 104] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 104] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 104] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 104] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 104] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 104] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 104] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 104] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 104] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 104] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 104] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 104] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 104] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 104] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 104] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 104] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 104] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 104] Dispatch2: regfile 0 from 3 -[DEBUG][time= 104] Dispatch2: regfile 1 from 3 -[DEBUG][time= 104] Dispatch2: regfile 2 from 0 -[DEBUG][time= 104] Dispatch2: regfile 3 from 0 -[DEBUG][time= 104] Dispatch2: regfile 4 from 0 -[DEBUG][time= 104] Dispatch2: regfile 5 from 0 -[DEBUG][time= 104] Dispatch2: regfile 6 from 0 -[DEBUG][time= 104] Dispatch2: regfile 7 from 0 -[DEBUG][time= 104] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 104] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 104] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 104] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 104] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 104] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 104] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 104] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 104] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 104] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 104] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 104] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 104] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 104] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 104] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 104] Roq: CSR block should only happen in s_idle -[DEBUG][time= 104] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 104] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 104] Roq: -------------------------------- -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 104] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 104] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 104] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 104] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 104] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 104] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 104] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 104] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 104] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 104] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 104] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 104] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 104] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 104] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 104] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 104] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 104] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 104] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 104] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 104] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 104] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 104] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 104] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 104] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 104] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 104] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 104] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 104] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 104] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 104] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 104] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 104] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 104] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 104] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 104] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 104] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 104] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 104] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 104] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 104] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 104] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 104] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 104] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 104] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 104] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 104] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 104] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 104] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 104] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 104] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 104] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 104] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 104] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 104] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 104] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 104] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 104] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 104] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 104] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 104] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 104] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 104] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 104] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 104] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 104] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 104] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 104] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 104] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 104] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 104] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 104] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 104] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 104] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 104] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 104] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 104] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 104] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 104] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 104] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 104] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 104] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 104] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 104] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 104] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 104] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 104] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 104] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 104] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 104] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 104] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 104] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 104] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 104] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 104] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 104] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 104] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 104] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 104] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 104] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 104] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 104] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 104] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 104] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 104] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 104] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 104] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 104] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 104] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 104] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 104] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 104] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 104] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 104] Brq: ---------------- -[DEBUG][time= 104] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 104] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 104] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 104] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 104] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 104] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 105] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 105] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 105] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 105] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 105] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 105] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 105] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 105] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 105] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 105] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 105] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 105] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 105] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 105] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 105] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 105] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 105] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 105] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 105] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 105] BPUStage3: flushS3=0 -[DEBUG][time= 105] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 105] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 105] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 105] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 105] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 105] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 105] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 105] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 105] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 105] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 105] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 105] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 105] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 105] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 105] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 105] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 105] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 105] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 105] Dispatch2: regfile 0 from 3 -[DEBUG][time= 105] Dispatch2: regfile 1 from 3 -[DEBUG][time= 105] Dispatch2: regfile 2 from 0 -[DEBUG][time= 105] Dispatch2: regfile 3 from 0 -[DEBUG][time= 105] Dispatch2: regfile 4 from 0 -[DEBUG][time= 105] Dispatch2: regfile 5 from 0 -[DEBUG][time= 105] Dispatch2: regfile 6 from 0 -[DEBUG][time= 105] Dispatch2: regfile 7 from 0 -[DEBUG][time= 105] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 105] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 105] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 105] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 105] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 105] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 105] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 105] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 105] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 105] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 105] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 105] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 105] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 105] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 105] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 105] Roq: CSR block should only happen in s_idle -[DEBUG][time= 105] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 105] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 105] Roq: -------------------------------- -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 105] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 105] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 105] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 105] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 105] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 105] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 105] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 105] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 105] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 105] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 105] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 105] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 105] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 105] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 105] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 105] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 105] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 105] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 105] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 105] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 105] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 105] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 105] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 105] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 105] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 105] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 105] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 105] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 105] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 105] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 105] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 105] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 105] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 105] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 105] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 105] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 105] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 105] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 105] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 105] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 105] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 105] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 105] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 105] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 105] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 105] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 105] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 105] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 105] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 105] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 105] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 105] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 105] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 105] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 105] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 105] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 105] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 105] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 105] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 105] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 105] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 105] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 105] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 105] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 105] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 105] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 105] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 105] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 105] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 105] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 105] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 105] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 105] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 105] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 105] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 105] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 105] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 105] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 105] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 105] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 105] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 105] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 105] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 105] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 105] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 105] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 105] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 105] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 105] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 105] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 105] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 105] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 105] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 105] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 105] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 105] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 105] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 105] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 105] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 105] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 105] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 105] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 105] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 105] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 105] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 105] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 105] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 105] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 105] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 105] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 105] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 105] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 105] Brq: ---------------- -[DEBUG][time= 105] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 105] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 105] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 105] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 105] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 105] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 106] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 106] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 106] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 106] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 106] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 106] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 106] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 106] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 106] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 106] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 106] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 106] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 106] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 106] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 106] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 106] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 106] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 106] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 106] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 106] BPUStage3: flushS3=0 -[DEBUG][time= 106] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 106] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 106] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 106] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 106] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 106] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 106] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 106] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 106] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 106] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 106] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 106] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 106] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 106] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 106] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 106] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 106] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 106] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 106] Dispatch2: regfile 0 from 3 -[DEBUG][time= 106] Dispatch2: regfile 1 from 3 -[DEBUG][time= 106] Dispatch2: regfile 2 from 0 -[DEBUG][time= 106] Dispatch2: regfile 3 from 0 -[DEBUG][time= 106] Dispatch2: regfile 4 from 0 -[DEBUG][time= 106] Dispatch2: regfile 5 from 0 -[DEBUG][time= 106] Dispatch2: regfile 6 from 0 -[DEBUG][time= 106] Dispatch2: regfile 7 from 0 -[DEBUG][time= 106] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 106] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 106] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 106] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 106] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 106] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 106] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 106] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 106] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 106] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 106] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 106] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 106] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 106] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 106] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 106] Roq: CSR block should only happen in s_idle -[DEBUG][time= 106] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 106] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 106] Roq: -------------------------------- -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 106] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 106] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 106] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 106] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 106] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 106] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 106] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 106] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 106] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 106] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 106] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 106] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 106] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 106] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 106] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 106] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 106] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 106] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 106] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 106] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 106] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 106] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 106] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 106] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 106] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 106] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 106] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 106] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 106] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 106] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 106] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 106] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 106] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 106] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 106] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 106] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 106] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 106] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 106] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 106] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 106] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 106] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 106] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 106] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 106] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 106] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 106] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 106] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 106] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 106] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 106] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 106] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 106] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 106] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 106] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 106] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 106] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 106] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 106] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 106] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 106] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 106] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 106] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 106] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 106] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 106] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 106] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 106] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 106] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 106] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 106] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 106] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 106] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 106] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 106] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 106] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 106] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 106] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 106] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 106] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 106] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 106] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 106] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 106] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 106] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 106] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 106] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 106] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 106] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 106] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 106] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 106] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 106] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 106] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 106] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 106] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 106] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 106] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 106] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 106] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 106] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 106] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 106] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 106] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 106] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 106] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 106] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 106] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 106] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 106] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 106] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 106] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 106] Brq: ---------------- -[DEBUG][time= 106] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 106] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 106] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 106] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 106] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 106] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 107] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 107] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 107] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 107] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 107] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 107] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 107] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 107] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 107] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 107] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 107] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 107] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 107] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 107] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 107] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 107] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 107] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 107] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 107] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 107] BPUStage3: flushS3=0 -[DEBUG][time= 107] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 107] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 107] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 107] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 107] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 107] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 107] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 107] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 107] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 107] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 107] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 107] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 107] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 107] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 107] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 107] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 107] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 107] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 107] Dispatch2: regfile 0 from 3 -[DEBUG][time= 107] Dispatch2: regfile 1 from 3 -[DEBUG][time= 107] Dispatch2: regfile 2 from 0 -[DEBUG][time= 107] Dispatch2: regfile 3 from 0 -[DEBUG][time= 107] Dispatch2: regfile 4 from 0 -[DEBUG][time= 107] Dispatch2: regfile 5 from 0 -[DEBUG][time= 107] Dispatch2: regfile 6 from 0 -[DEBUG][time= 107] Dispatch2: regfile 7 from 0 -[DEBUG][time= 107] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 107] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 107] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 107] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 107] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 107] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 107] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 107] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 107] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 107] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 107] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 107] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 107] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 107] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 107] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 107] Roq: CSR block should only happen in s_idle -[DEBUG][time= 107] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 107] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 107] Roq: -------------------------------- -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 107] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 107] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 107] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 107] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 107] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 107] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 107] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 107] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 107] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 107] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 107] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 107] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 107] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 107] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 107] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 107] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 107] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 107] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 107] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 107] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 107] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 107] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 107] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 107] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 107] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 107] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 107] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 107] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 107] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 107] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 107] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 107] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 107] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 107] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 107] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 107] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 107] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 107] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 107] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 107] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 107] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 107] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 107] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 107] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 107] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 107] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 107] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 107] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 107] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 107] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 107] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 107] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 107] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 107] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 107] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 107] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 107] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 107] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 107] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 107] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 107] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 107] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 107] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 107] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 107] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 107] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 107] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 107] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 107] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 107] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 107] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 107] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 107] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 107] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 107] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 107] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 107] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 107] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 107] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 107] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 107] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 107] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 107] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 107] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 107] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 107] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 107] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 107] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 107] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 107] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 107] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 107] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 107] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 107] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 107] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 107] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 107] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 107] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 107] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 107] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 107] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 107] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 107] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 107] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 107] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 107] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 107] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 107] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 107] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 107] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 107] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 107] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 107] Brq: ---------------- -[DEBUG][time= 107] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 107] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 107] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 107] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 107] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 107] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 108] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 108] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 108] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 108] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 108] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 108] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 108] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 108] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 108] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 108] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 108] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 108] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 108] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 108] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 108] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 108] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 108] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 108] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 108] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 108] BPUStage3: flushS3=0 -[DEBUG][time= 108] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 108] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 108] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 108] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 108] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 108] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 108] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 108] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 108] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 108] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 108] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 108] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 108] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 108] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 108] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 108] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 108] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 108] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 108] Dispatch2: regfile 0 from 3 -[DEBUG][time= 108] Dispatch2: regfile 1 from 3 -[DEBUG][time= 108] Dispatch2: regfile 2 from 0 -[DEBUG][time= 108] Dispatch2: regfile 3 from 0 -[DEBUG][time= 108] Dispatch2: regfile 4 from 0 -[DEBUG][time= 108] Dispatch2: regfile 5 from 0 -[DEBUG][time= 108] Dispatch2: regfile 6 from 0 -[DEBUG][time= 108] Dispatch2: regfile 7 from 0 -[DEBUG][time= 108] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 108] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 108] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 108] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 108] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 108] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 108] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 108] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 108] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 108] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 108] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 108] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 108] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 108] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 108] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 108] Roq: CSR block should only happen in s_idle -[DEBUG][time= 108] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 108] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 108] Roq: -------------------------------- -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 108] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 108] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 108] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 108] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 108] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 108] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 108] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 108] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 108] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 108] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 108] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 108] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 108] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 108] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 108] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 108] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 108] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 108] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 108] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 108] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 108] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 108] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 108] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 108] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 108] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 108] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 108] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 108] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 108] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 108] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 108] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 108] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 108] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 108] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 108] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 108] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 108] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 108] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 108] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 108] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 108] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 108] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 108] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 108] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 108] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 108] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 108] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 108] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 108] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 108] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 108] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 108] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 108] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 108] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 108] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 108] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 108] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 108] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 108] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 108] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 108] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 108] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 108] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 108] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 108] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 108] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 108] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 108] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 108] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 108] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 108] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 108] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 108] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 108] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 108] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 108] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 108] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 108] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 108] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 108] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 108] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 108] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 108] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 108] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 108] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 108] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 108] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 108] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 108] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 108] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 108] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 108] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 108] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 108] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 108] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 108] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 108] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 108] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 108] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 108] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 108] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 108] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 108] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 108] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 108] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 108] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 108] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 108] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 108] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 108] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 108] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 108] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 108] Brq: ---------------- -[DEBUG][time= 108] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 108] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 108] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 108] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 108] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 108] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 109] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 109] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 109] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 109] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 109] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 109] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 109] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 109] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 109] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 109] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 109] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 109] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 109] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 109] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 109] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 109] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 109] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 109] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 109] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 109] BPUStage3: flushS3=0 -[DEBUG][time= 109] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 109] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 109] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 109] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 109] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 109] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 109] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 109] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 109] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 109] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 109] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 109] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 109] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 109] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 109] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 109] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 109] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 109] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 109] Dispatch2: regfile 0 from 3 -[DEBUG][time= 109] Dispatch2: regfile 1 from 3 -[DEBUG][time= 109] Dispatch2: regfile 2 from 0 -[DEBUG][time= 109] Dispatch2: regfile 3 from 0 -[DEBUG][time= 109] Dispatch2: regfile 4 from 0 -[DEBUG][time= 109] Dispatch2: regfile 5 from 0 -[DEBUG][time= 109] Dispatch2: regfile 6 from 0 -[DEBUG][time= 109] Dispatch2: regfile 7 from 0 -[DEBUG][time= 109] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 109] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 109] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 109] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 109] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 109] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 109] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 109] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 109] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 109] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 109] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 109] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 109] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 109] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 109] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 109] Roq: CSR block should only happen in s_idle -[DEBUG][time= 109] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 109] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 109] Roq: -------------------------------- -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 109] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 109] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 109] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 109] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 109] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 109] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 109] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 109] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 109] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 109] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 109] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 109] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 109] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 109] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 109] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 109] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 109] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 109] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 109] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 109] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 109] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 109] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 109] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 109] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 109] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 109] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 109] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 109] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 109] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 109] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 109] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 109] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 109] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 109] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 109] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 109] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 109] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 109] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 109] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 109] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 109] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 109] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 109] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 109] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 109] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 109] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 109] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 109] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 109] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 109] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 109] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 109] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 109] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 109] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 109] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 109] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 109] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 109] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 109] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 109] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 109] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 109] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 109] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 109] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 109] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 109] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 109] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 109] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 109] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 109] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 109] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 109] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 109] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 109] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 109] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 109] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 109] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 109] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 109] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 109] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 109] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 109] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 109] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 109] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 109] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 109] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 109] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 109] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 109] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 109] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 109] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 109] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 109] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 109] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 109] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 109] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 109] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 109] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 109] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 109] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 109] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 109] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 109] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 109] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 109] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 109] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 109] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 109] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 109] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 109] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 109] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 109] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 109] Brq: ---------------- -[DEBUG][time= 109] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 109] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 109] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 109] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 109] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 109] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 110] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 110] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 110] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 110] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 110] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 110] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 110] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 110] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 110] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 110] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 110] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 110] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 110] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 110] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 110] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 110] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 110] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 110] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 110] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 110] BPUStage3: flushS3=0 -[DEBUG][time= 110] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 110] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 110] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 110] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 110] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 110] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 110] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 110] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 110] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 110] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 110] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 110] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 110] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 110] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 110] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 110] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 110] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 110] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 110] Dispatch2: regfile 0 from 3 -[DEBUG][time= 110] Dispatch2: regfile 1 from 3 -[DEBUG][time= 110] Dispatch2: regfile 2 from 0 -[DEBUG][time= 110] Dispatch2: regfile 3 from 0 -[DEBUG][time= 110] Dispatch2: regfile 4 from 0 -[DEBUG][time= 110] Dispatch2: regfile 5 from 0 -[DEBUG][time= 110] Dispatch2: regfile 6 from 0 -[DEBUG][time= 110] Dispatch2: regfile 7 from 0 -[DEBUG][time= 110] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 110] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 110] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 110] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 110] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 110] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 110] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 110] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 110] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 110] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 110] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 110] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 110] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 110] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 110] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 110] Roq: CSR block should only happen in s_idle -[DEBUG][time= 110] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 110] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 110] Roq: -------------------------------- -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 110] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 110] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 110] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 110] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 110] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 110] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 110] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 110] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 110] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 110] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 110] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 110] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 110] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 110] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 110] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 110] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 110] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 110] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 110] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 110] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 110] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 110] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 110] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 110] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 110] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 110] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 110] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 110] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 110] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 110] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 110] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 110] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 110] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 110] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 110] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 110] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 110] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 110] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 110] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 110] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 110] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 110] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 110] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 110] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 110] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 110] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 110] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 110] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 110] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 110] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 110] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 110] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 110] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 110] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 110] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 110] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 110] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 110] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 110] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 110] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 110] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 110] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 110] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 110] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 110] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 110] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 110] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 110] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 110] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 110] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 110] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 110] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 110] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 110] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 110] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 110] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 110] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 110] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 110] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 110] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 110] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 110] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 110] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 110] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 110] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 110] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 110] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 110] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 110] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 110] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 110] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 110] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 110] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 110] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 110] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 110] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 110] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 110] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 110] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 110] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 110] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 110] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 110] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 110] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 110] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 110] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 110] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 110] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 110] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 110] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 110] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 110] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 110] Brq: ---------------- -[DEBUG][time= 110] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 110] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 110] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 110] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 110] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 110] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 111] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 111] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 111] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 111] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 111] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 111] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 111] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 111] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 111] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 111] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 111] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 111] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 111] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 111] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 111] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 111] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 111] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 111] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 111] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 111] BPUStage3: flushS3=0 -[DEBUG][time= 111] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 111] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 111] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 111] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 111] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 111] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 111] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 111] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 111] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 111] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 111] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 111] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 111] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 111] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 111] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 111] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 111] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 111] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 111] Dispatch2: regfile 0 from 3 -[DEBUG][time= 111] Dispatch2: regfile 1 from 3 -[DEBUG][time= 111] Dispatch2: regfile 2 from 0 -[DEBUG][time= 111] Dispatch2: regfile 3 from 0 -[DEBUG][time= 111] Dispatch2: regfile 4 from 0 -[DEBUG][time= 111] Dispatch2: regfile 5 from 0 -[DEBUG][time= 111] Dispatch2: regfile 6 from 0 -[DEBUG][time= 111] Dispatch2: regfile 7 from 0 -[DEBUG][time= 111] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 111] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 111] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 111] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 111] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 111] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 111] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 111] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 111] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 111] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 111] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 111] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 111] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 111] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 111] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 111] Roq: CSR block should only happen in s_idle -[DEBUG][time= 111] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 111] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 111] Roq: -------------------------------- -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 111] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 111] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 111] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 111] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 111] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 111] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 111] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 111] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 111] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 111] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 111] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 111] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 111] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 111] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 111] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 111] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 111] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 111] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 111] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 111] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 111] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 111] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 111] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 111] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 111] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 111] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 111] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 111] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 111] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 111] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 111] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 111] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 111] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 111] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 111] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 111] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 111] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 111] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 111] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 111] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 111] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 111] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 111] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 111] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 111] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 111] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 111] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 111] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 111] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 111] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 111] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 111] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 111] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 111] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 111] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 111] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 111] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 111] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 111] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 111] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 111] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 111] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 111] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 111] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 111] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 111] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 111] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 111] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 111] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 111] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 111] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 111] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 111] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 111] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 111] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 111] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 111] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 111] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 111] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 111] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 111] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 111] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 111] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 111] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 111] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 111] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 111] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 111] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 111] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 111] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 111] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 111] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 111] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 111] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 111] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 111] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 111] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 111] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 111] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 111] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 111] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 111] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 111] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 111] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 111] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 111] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 111] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 111] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 111] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 111] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 111] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 111] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 111] Brq: ---------------- -[DEBUG][time= 111] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 111] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 111] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 111] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 111] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 111] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 112] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 112] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 112] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 112] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 112] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 112] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 112] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 112] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 112] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 112] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 112] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 112] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 112] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 112] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 112] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 112] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 112] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 112] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 112] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 112] BPUStage3: flushS3=0 -[DEBUG][time= 112] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 112] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 112] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 112] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 112] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 112] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 112] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 112] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 112] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 112] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 112] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 112] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 112] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 112] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 112] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 112] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 112] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 112] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 112] Dispatch2: regfile 0 from 3 -[DEBUG][time= 112] Dispatch2: regfile 1 from 3 -[DEBUG][time= 112] Dispatch2: regfile 2 from 0 -[DEBUG][time= 112] Dispatch2: regfile 3 from 0 -[DEBUG][time= 112] Dispatch2: regfile 4 from 0 -[DEBUG][time= 112] Dispatch2: regfile 5 from 0 -[DEBUG][time= 112] Dispatch2: regfile 6 from 0 -[DEBUG][time= 112] Dispatch2: regfile 7 from 0 -[DEBUG][time= 112] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 112] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 112] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 112] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 112] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 112] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 112] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 112] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 112] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 112] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 112] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 112] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 112] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 112] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 112] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 112] Roq: CSR block should only happen in s_idle -[DEBUG][time= 112] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 112] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 112] Roq: -------------------------------- -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 112] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 112] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 112] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 112] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 112] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 112] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 112] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 112] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 112] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 112] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 112] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 112] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 112] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 112] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 112] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 112] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 112] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 112] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 112] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 112] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 112] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 112] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 112] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 112] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 112] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 112] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 112] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 112] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 112] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 112] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 112] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 112] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 112] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 112] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 112] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 112] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 112] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 112] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 112] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 112] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 112] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 112] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 112] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 112] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 112] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 112] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 112] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 112] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 112] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 112] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 112] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 112] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 112] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 112] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 112] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 112] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 112] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 112] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 112] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 112] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 112] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 112] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 112] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 112] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 112] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 112] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 112] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 112] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 112] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 112] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 112] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 112] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 112] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 112] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 112] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 112] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 112] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 112] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 112] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 112] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 112] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 112] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 112] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 112] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 112] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 112] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 112] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 112] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 112] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 112] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 112] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 112] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 112] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 112] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 112] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 112] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 112] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 112] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 112] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 112] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 112] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 112] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 112] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 112] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 112] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 112] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 112] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 112] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 112] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 112] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 112] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 112] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 112] Brq: ---------------- -[DEBUG][time= 112] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 112] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 112] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 112] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 112] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 112] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 113] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 113] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 113] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 113] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 113] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 113] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 113] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 113] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 113] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 113] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 113] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 113] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 113] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 113] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 113] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 113] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 113] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 113] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 113] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 113] BPUStage3: flushS3=0 -[DEBUG][time= 113] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 113] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 113] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 113] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 113] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 113] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 113] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 113] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 113] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 113] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 113] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 113] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 113] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 113] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 113] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 113] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 113] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 113] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 113] Dispatch2: regfile 0 from 3 -[DEBUG][time= 113] Dispatch2: regfile 1 from 3 -[DEBUG][time= 113] Dispatch2: regfile 2 from 0 -[DEBUG][time= 113] Dispatch2: regfile 3 from 0 -[DEBUG][time= 113] Dispatch2: regfile 4 from 0 -[DEBUG][time= 113] Dispatch2: regfile 5 from 0 -[DEBUG][time= 113] Dispatch2: regfile 6 from 0 -[DEBUG][time= 113] Dispatch2: regfile 7 from 0 -[DEBUG][time= 113] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 113] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 113] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 113] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 113] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 113] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 113] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 113] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 113] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 113] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 113] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 113] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 113] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 113] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 113] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 113] Roq: CSR block should only happen in s_idle -[DEBUG][time= 113] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 113] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 113] Roq: -------------------------------- -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 113] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 113] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 113] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 113] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 113] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 113] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 113] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 113] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 113] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 113] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 113] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 113] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 113] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 113] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 113] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 113] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 113] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 113] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 113] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 113] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 113] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 113] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 113] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 113] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 113] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 113] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 113] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 113] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 113] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 113] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 113] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 113] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 113] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 113] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 113] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 113] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 113] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 113] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 113] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 113] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 113] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 113] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 113] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 113] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 113] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 113] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 113] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 113] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 113] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 113] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 113] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 113] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 113] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 113] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 113] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 113] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 113] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 113] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 113] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 113] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 113] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 113] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 113] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 113] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 113] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 113] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 113] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 113] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 113] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 113] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 113] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 113] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 113] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 113] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 113] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 113] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 113] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 113] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 113] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 113] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 113] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 113] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 113] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 113] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 113] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 113] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 113] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 113] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 113] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 113] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 113] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 113] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 113] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 113] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 113] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 113] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 113] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 113] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 113] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 113] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 113] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 113] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 113] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 113] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 113] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 113] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 113] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 113] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 113] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 113] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 113] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 113] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 113] Brq: ---------------- -[DEBUG][time= 113] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 113] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 113] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 113] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 113] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 113] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 114] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 114] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 114] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 114] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 114] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 114] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 114] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 114] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 114] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 114] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 114] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 114] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 114] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 114] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 114] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 114] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 114] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 114] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 114] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 114] BPUStage3: flushS3=0 -[DEBUG][time= 114] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 114] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 114] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 114] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 114] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 114] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 114] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 114] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 114] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 114] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 114] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 114] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 114] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 114] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 114] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 114] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 114] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 114] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 114] Dispatch2: regfile 0 from 3 -[DEBUG][time= 114] Dispatch2: regfile 1 from 3 -[DEBUG][time= 114] Dispatch2: regfile 2 from 0 -[DEBUG][time= 114] Dispatch2: regfile 3 from 0 -[DEBUG][time= 114] Dispatch2: regfile 4 from 0 -[DEBUG][time= 114] Dispatch2: regfile 5 from 0 -[DEBUG][time= 114] Dispatch2: regfile 6 from 0 -[DEBUG][time= 114] Dispatch2: regfile 7 from 0 -[DEBUG][time= 114] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 114] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 114] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 114] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 114] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 114] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 114] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 114] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 114] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 114] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 114] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 114] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 114] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 114] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 114] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 114] Roq: CSR block should only happen in s_idle -[DEBUG][time= 114] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 114] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 114] Roq: -------------------------------- -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 114] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 114] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 114] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 114] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 114] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 114] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 114] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 114] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 114] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 114] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 114] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 114] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 114] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 114] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 114] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 114] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 114] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 114] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 114] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 114] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 114] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 114] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 114] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 114] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 114] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 114] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 114] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 114] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 114] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 114] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 114] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 114] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 114] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 114] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 114] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 114] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 114] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 114] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 114] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 114] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 114] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 114] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 114] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 114] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 114] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 114] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 114] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 114] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 114] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 114] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 114] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 114] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 114] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 114] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 114] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 114] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 114] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 114] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 114] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 114] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 114] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 114] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 114] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 114] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 114] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 114] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 114] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 114] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 114] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 114] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 114] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 114] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 114] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 114] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 114] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 114] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 114] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 114] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 114] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 114] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 114] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 114] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 114] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 114] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 114] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 114] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 114] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 114] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 114] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 114] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 114] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 114] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 114] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 114] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 114] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 114] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 114] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 114] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 114] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 114] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 114] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 114] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 114] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 114] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 114] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 114] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 114] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 114] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 114] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 114] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 114] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 114] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 114] Brq: ---------------- -[DEBUG][time= 114] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 114] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 114] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 114] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 114] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 114] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 115] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 115] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 115] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 115] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 115] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 115] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 115] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 115] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 115] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 115] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 115] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 115] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 115] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 115] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 115] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 115] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 115] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 115] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 115] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 115] BPUStage3: flushS3=0 -[DEBUG][time= 115] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 115] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 115] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 115] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 115] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 115] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 115] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 115] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 115] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 115] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 115] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 115] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 115] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 115] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 115] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 115] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 115] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 115] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 115] Dispatch2: regfile 0 from 3 -[DEBUG][time= 115] Dispatch2: regfile 1 from 3 -[DEBUG][time= 115] Dispatch2: regfile 2 from 0 -[DEBUG][time= 115] Dispatch2: regfile 3 from 0 -[DEBUG][time= 115] Dispatch2: regfile 4 from 0 -[DEBUG][time= 115] Dispatch2: regfile 5 from 0 -[DEBUG][time= 115] Dispatch2: regfile 6 from 0 -[DEBUG][time= 115] Dispatch2: regfile 7 from 0 -[DEBUG][time= 115] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 115] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 115] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 115] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 115] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 115] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 115] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 115] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 115] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 115] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 115] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 115] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 115] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 115] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 115] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 115] Roq: CSR block should only happen in s_idle -[DEBUG][time= 115] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 115] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 115] Roq: -------------------------------- -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 115] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 115] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 115] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 115] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 115] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 115] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 115] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 115] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 115] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 115] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 115] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 115] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 115] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 115] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 115] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 115] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 115] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 115] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 115] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 115] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 115] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 115] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 115] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 115] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 115] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 115] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 115] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 115] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 115] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 115] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 115] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 115] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 115] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 115] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 115] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 115] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 115] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 115] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 115] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 115] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 115] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 115] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 115] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 115] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 115] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 115] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 115] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 115] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 115] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 115] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 115] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 115] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 115] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 115] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 115] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 115] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 115] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 115] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 115] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 115] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 115] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 115] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 115] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 115] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 115] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 115] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 115] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 115] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 115] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 115] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 115] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 115] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 115] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 115] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 115] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 115] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 115] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 115] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 115] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 115] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 115] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 115] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 115] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 115] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 115] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 115] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 115] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 115] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 115] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 115] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 115] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 115] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 115] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 115] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 115] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 115] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 115] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 115] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 115] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 115] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 115] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 115] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 115] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 115] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 115] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 115] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 115] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 115] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 115] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 115] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 115] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 115] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 115] Brq: ---------------- -[DEBUG][time= 115] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 115] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 115] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 115] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 115] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 115] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 116] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 116] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 116] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 116] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 116] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 116] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 116] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 116] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 116] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 116] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 116] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 116] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 116] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 116] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 116] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 116] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 116] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 116] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 116] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 116] BPUStage3: flushS3=0 -[DEBUG][time= 116] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 116] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 116] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 116] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 116] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 116] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 116] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 116] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 116] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 116] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 116] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 116] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 116] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 116] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 116] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 116] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 116] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 116] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 116] Dispatch2: regfile 0 from 3 -[DEBUG][time= 116] Dispatch2: regfile 1 from 3 -[DEBUG][time= 116] Dispatch2: regfile 2 from 0 -[DEBUG][time= 116] Dispatch2: regfile 3 from 0 -[DEBUG][time= 116] Dispatch2: regfile 4 from 0 -[DEBUG][time= 116] Dispatch2: regfile 5 from 0 -[DEBUG][time= 116] Dispatch2: regfile 6 from 0 -[DEBUG][time= 116] Dispatch2: regfile 7 from 0 -[DEBUG][time= 116] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 116] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 116] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 116] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 116] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 116] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 116] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 116] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 116] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 116] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 116] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 116] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 116] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 116] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 116] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 116] Roq: CSR block should only happen in s_idle -[DEBUG][time= 116] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 116] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 116] Roq: -------------------------------- -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 116] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 116] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 116] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 116] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 116] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 116] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 116] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 116] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 116] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 116] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 116] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 116] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 116] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 116] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 116] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 116] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 116] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 116] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 116] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 116] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 116] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 116] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 116] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 116] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 116] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 116] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 116] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 116] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 116] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 116] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 116] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 116] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 116] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 116] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 116] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 116] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 116] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 116] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 116] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 116] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 116] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 116] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 116] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 116] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 116] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 116] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 116] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 116] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 116] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 116] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 116] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 116] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 116] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 116] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 116] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 116] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 116] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 116] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 116] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 116] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 116] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 116] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 116] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 116] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 116] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 116] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 116] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 116] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 116] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 116] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 116] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 116] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 116] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 116] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 116] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 116] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 116] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 116] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 116] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 116] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 116] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 116] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 116] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 116] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 116] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 116] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 116] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 116] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 116] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 116] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 116] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 116] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 116] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 116] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 116] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 116] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 116] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 116] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 116] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 116] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 116] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 116] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 116] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 116] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 116] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 116] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 116] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 116] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 116] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 116] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 116] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 116] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 116] Brq: ---------------- -[DEBUG][time= 116] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 116] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 116] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 116] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 116] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 116] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 117] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 117] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 117] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 117] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 117] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 117] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 117] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 117] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 117] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 117] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 117] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 117] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 117] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 117] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 117] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 117] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 117] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 117] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 117] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 117] BPUStage3: flushS3=0 -[DEBUG][time= 117] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 117] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 117] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 117] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 117] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 117] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 117] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 117] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 117] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 117] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 117] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 117] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 117] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 117] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 117] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 117] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 117] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 117] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 117] Dispatch2: regfile 0 from 3 -[DEBUG][time= 117] Dispatch2: regfile 1 from 3 -[DEBUG][time= 117] Dispatch2: regfile 2 from 0 -[DEBUG][time= 117] Dispatch2: regfile 3 from 0 -[DEBUG][time= 117] Dispatch2: regfile 4 from 0 -[DEBUG][time= 117] Dispatch2: regfile 5 from 0 -[DEBUG][time= 117] Dispatch2: regfile 6 from 0 -[DEBUG][time= 117] Dispatch2: regfile 7 from 0 -[DEBUG][time= 117] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 117] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 117] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 117] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 117] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 117] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 117] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 117] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 117] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 117] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 117] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 117] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 117] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 117] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 117] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 117] Roq: CSR block should only happen in s_idle -[DEBUG][time= 117] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 117] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 117] Roq: -------------------------------- -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 117] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 117] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 117] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 117] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 117] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 117] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 117] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 117] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 117] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 117] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 117] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 117] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 117] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 117] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 117] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 117] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 117] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 117] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 117] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 117] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 117] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 117] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 117] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 117] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 117] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 117] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 117] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 117] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 117] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 117] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 117] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 117] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 117] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 117] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 117] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 117] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 117] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 117] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 117] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 117] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 117] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 117] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 117] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 117] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 117] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 117] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 117] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 117] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 117] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 117] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 117] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 117] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 117] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 117] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 117] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 117] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 117] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 117] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 117] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 117] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 117] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 117] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 117] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 117] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 117] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 117] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 117] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 117] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 117] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 117] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 117] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 117] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 117] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 117] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 117] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 117] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 117] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 117] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 117] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 117] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 117] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 117] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 117] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 117] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 117] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 117] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 117] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 117] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 117] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 117] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 117] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 117] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 117] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 117] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 117] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 117] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 117] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 117] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 117] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 117] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 117] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 117] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 117] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 117] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 117] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 117] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 117] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 117] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 117] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 117] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 117] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 117] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 117] Brq: ---------------- -[DEBUG][time= 117] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 117] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 117] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 117] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 117] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 117] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 118] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 118] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 118] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 118] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 118] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 118] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 118] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 118] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 118] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 118] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 118] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 118] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 118] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 118] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 118] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 118] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 118] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 118] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 118] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 118] BPUStage3: flushS3=0 -[DEBUG][time= 118] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 118] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 118] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 118] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 118] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 118] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 118] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 118] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 118] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 118] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 118] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 118] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 118] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 118] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 118] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 118] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 118] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 118] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 118] Dispatch2: regfile 0 from 3 -[DEBUG][time= 118] Dispatch2: regfile 1 from 3 -[DEBUG][time= 118] Dispatch2: regfile 2 from 0 -[DEBUG][time= 118] Dispatch2: regfile 3 from 0 -[DEBUG][time= 118] Dispatch2: regfile 4 from 0 -[DEBUG][time= 118] Dispatch2: regfile 5 from 0 -[DEBUG][time= 118] Dispatch2: regfile 6 from 0 -[DEBUG][time= 118] Dispatch2: regfile 7 from 0 -[DEBUG][time= 118] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 118] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 118] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 118] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 118] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 118] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 118] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 118] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 118] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 118] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 118] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 118] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 118] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 118] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 118] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 118] Roq: CSR block should only happen in s_idle -[DEBUG][time= 118] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 118] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 118] Roq: -------------------------------- -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 118] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 118] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 118] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 118] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 118] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 118] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 118] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 118] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 118] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 118] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 118] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 118] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 118] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 118] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 118] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 118] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 118] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 118] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 118] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 118] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 118] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 118] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 118] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 118] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 118] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 118] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 118] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 118] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 118] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 118] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 118] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 118] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 118] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 118] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 118] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 118] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 118] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 118] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 118] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 118] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 118] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 118] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 118] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 118] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 118] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 118] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 118] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 118] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 118] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 118] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 118] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 118] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 118] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 118] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 118] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 118] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 118] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 118] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 118] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 118] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 118] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 118] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 118] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 118] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 118] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 118] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 118] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 118] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 118] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 118] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 118] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 118] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 118] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 118] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 118] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 118] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 118] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 118] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 118] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 118] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 118] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 118] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 118] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 118] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 118] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 118] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 118] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 118] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 118] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 118] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 118] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 118] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 118] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 118] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 118] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 118] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 118] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 118] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 118] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 118] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 118] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 118] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 118] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 118] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 118] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 118] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 118] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 118] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 118] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 118] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 118] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 118] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 118] Brq: ---------------- -[DEBUG][time= 118] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 118] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 118] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 118] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 118] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 118] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 119] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 119] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 119] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 119] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 119] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 119] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 119] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 119] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 119] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 119] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 119] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 119] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 119] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 119] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 119] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 119] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 119] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 119] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 119] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 119] BPUStage3: flushS3=0 -[DEBUG][time= 119] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 119] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 119] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 119] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 119] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 119] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 119] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 119] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 119] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 119] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 119] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 119] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 119] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 119] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 119] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 119] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 119] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 119] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 119] Dispatch2: regfile 0 from 3 -[DEBUG][time= 119] Dispatch2: regfile 1 from 3 -[DEBUG][time= 119] Dispatch2: regfile 2 from 0 -[DEBUG][time= 119] Dispatch2: regfile 3 from 0 -[DEBUG][time= 119] Dispatch2: regfile 4 from 0 -[DEBUG][time= 119] Dispatch2: regfile 5 from 0 -[DEBUG][time= 119] Dispatch2: regfile 6 from 0 -[DEBUG][time= 119] Dispatch2: regfile 7 from 0 -[DEBUG][time= 119] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 119] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 119] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 119] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 119] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 119] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 119] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 119] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 119] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 119] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 119] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 119] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 119] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 119] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 119] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 119] Roq: CSR block should only happen in s_idle -[DEBUG][time= 119] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 119] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 119] Roq: -------------------------------- -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 119] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 119] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 119] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 119] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 119] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 119] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 119] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 119] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 119] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 119] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 119] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 119] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 119] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 119] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 119] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 119] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 119] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 119] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 119] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 119] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 119] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 119] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 119] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 119] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 119] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 119] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 119] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 119] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 119] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 119] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 119] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 119] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 119] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 119] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 119] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 119] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 119] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 119] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 119] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 119] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 119] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 119] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 119] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 119] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 119] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 119] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 119] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 119] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 119] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 119] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 119] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 119] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 119] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 119] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 119] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 119] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 119] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 119] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 119] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 119] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 119] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 119] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 119] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 119] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 119] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 119] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 119] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 119] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 119] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 119] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 119] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 119] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 119] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 119] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 119] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 119] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 119] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 119] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 119] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 119] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 119] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 119] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 119] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 119] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 119] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 119] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 119] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 119] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 119] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 119] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 119] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 119] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 119] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 119] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 119] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 119] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 119] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 119] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 119] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 119] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 119] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 119] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 119] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 119] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 119] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 119] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 119] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 119] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 119] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 119] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 119] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 119] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 119] Brq: ---------------- -[DEBUG][time= 119] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 119] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 119] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 119] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 119] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 119] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 120] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 120] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 120] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 120] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 120] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 120] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 120] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 120] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 120] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 120] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 120] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 120] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 120] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 120] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 120] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 120] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 120] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 120] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 120] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 120] BPUStage3: flushS3=0 -[DEBUG][time= 120] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 120] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 120] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 120] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 120] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 120] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 120] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 120] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 120] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 120] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 120] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 120] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 120] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 120] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 120] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 120] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 120] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 120] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 120] Dispatch2: regfile 0 from 3 -[DEBUG][time= 120] Dispatch2: regfile 1 from 3 -[DEBUG][time= 120] Dispatch2: regfile 2 from 0 -[DEBUG][time= 120] Dispatch2: regfile 3 from 0 -[DEBUG][time= 120] Dispatch2: regfile 4 from 0 -[DEBUG][time= 120] Dispatch2: regfile 5 from 0 -[DEBUG][time= 120] Dispatch2: regfile 6 from 0 -[DEBUG][time= 120] Dispatch2: regfile 7 from 0 -[DEBUG][time= 120] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 120] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 120] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 120] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 120] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 120] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 120] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 120] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 120] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 120] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 120] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 120] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 120] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 120] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 120] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 120] Roq: CSR block should only happen in s_idle -[DEBUG][time= 120] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 120] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 120] Roq: -------------------------------- -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 120] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 120] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 120] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 120] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 120] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 120] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 120] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 120] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 120] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 120] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 120] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 120] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 120] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 120] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 120] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 120] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 120] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 120] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 120] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 120] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 120] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 120] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 120] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 120] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 120] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 120] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 120] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 120] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 120] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 120] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 120] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 120] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 120] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 120] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 120] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 120] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 120] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 120] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 120] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 120] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 120] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 120] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 120] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 120] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 120] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 120] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 120] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 120] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 120] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 120] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 120] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 120] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 120] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 120] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 120] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 120] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 120] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 120] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 120] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 120] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 120] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 120] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 120] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 120] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 120] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 120] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 120] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 120] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 120] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 120] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 120] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 120] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 120] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 120] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 120] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 120] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 120] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 120] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 120] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 120] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 120] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 120] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 120] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 120] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 120] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 120] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 120] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 120] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 120] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 120] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 120] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 120] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 120] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 120] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 120] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 120] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 120] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 120] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 120] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 120] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 120] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 120] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 120] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 120] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 120] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 120] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 120] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 120] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 120] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 120] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 120] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 120] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 120] Brq: ---------------- -[DEBUG][time= 120] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 120] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 120] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 120] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 120] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 120] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 121] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 121] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 121] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 121] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 121] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 121] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 121] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 121] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 121] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 121] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 121] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 121] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 121] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 121] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 121] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 121] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 121] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 121] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 121] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 121] BPUStage3: flushS3=0 -[DEBUG][time= 121] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 121] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 121] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 121] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 121] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 121] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 121] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 121] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 121] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 121] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 121] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 121] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 121] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 121] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 121] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 121] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 121] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 121] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 121] Dispatch2: regfile 0 from 3 -[DEBUG][time= 121] Dispatch2: regfile 1 from 3 -[DEBUG][time= 121] Dispatch2: regfile 2 from 0 -[DEBUG][time= 121] Dispatch2: regfile 3 from 0 -[DEBUG][time= 121] Dispatch2: regfile 4 from 0 -[DEBUG][time= 121] Dispatch2: regfile 5 from 0 -[DEBUG][time= 121] Dispatch2: regfile 6 from 0 -[DEBUG][time= 121] Dispatch2: regfile 7 from 0 -[DEBUG][time= 121] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 121] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 121] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 121] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 121] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 121] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 121] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 121] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 121] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 121] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 121] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 121] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 121] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 121] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 121] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 121] Roq: CSR block should only happen in s_idle -[DEBUG][time= 121] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 121] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 121] Roq: -------------------------------- -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 121] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 121] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 121] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 121] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 121] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 121] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 121] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 121] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 121] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 121] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 121] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 121] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 121] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 121] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 121] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 121] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 121] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 121] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 121] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 121] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 121] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 121] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 121] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 121] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 121] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 121] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 121] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 121] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 121] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 121] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 121] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 121] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 121] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 121] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 121] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 121] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 121] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 121] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 121] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 121] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 121] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 121] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 121] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 121] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 121] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 121] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 121] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 121] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 121] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 121] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 121] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 121] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 121] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 121] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 121] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 121] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 121] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 121] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 121] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 121] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 121] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 121] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 121] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 121] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 121] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 121] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 121] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 121] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 121] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 121] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 121] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 121] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 121] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 121] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 121] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 121] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 121] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 121] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 121] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 121] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 121] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 121] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 121] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 121] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 121] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 121] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 121] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 121] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 121] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 121] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 121] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 121] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 121] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 121] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 121] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 121] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 121] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 121] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 121] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 121] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 121] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 121] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 121] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 121] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 121] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 121] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 121] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 121] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 121] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 121] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 121] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 121] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 121] Brq: ---------------- -[DEBUG][time= 121] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 121] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 121] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 121] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 121] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 121] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 122] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 122] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 122] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 122] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 122] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 122] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 122] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 122] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 122] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 122] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 122] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 122] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 122] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 122] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 122] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 122] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 122] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 122] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 122] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 122] BPUStage3: flushS3=0 -[DEBUG][time= 122] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 122] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 122] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 122] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 122] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 122] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 122] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 122] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 122] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 122] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 122] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 122] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 122] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 122] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 122] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 122] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 122] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 122] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 122] Dispatch2: regfile 0 from 3 -[DEBUG][time= 122] Dispatch2: regfile 1 from 3 -[DEBUG][time= 122] Dispatch2: regfile 2 from 0 -[DEBUG][time= 122] Dispatch2: regfile 3 from 0 -[DEBUG][time= 122] Dispatch2: regfile 4 from 0 -[DEBUG][time= 122] Dispatch2: regfile 5 from 0 -[DEBUG][time= 122] Dispatch2: regfile 6 from 0 -[DEBUG][time= 122] Dispatch2: regfile 7 from 0 -[DEBUG][time= 122] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 122] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 122] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 122] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 122] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 122] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 122] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 122] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 122] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 122] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 122] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 122] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 122] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 122] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 122] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 122] Roq: CSR block should only happen in s_idle -[DEBUG][time= 122] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 122] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 122] Roq: -------------------------------- -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 122] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 122] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 122] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 122] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 122] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 122] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 122] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 122] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 122] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 122] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 122] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 122] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 122] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 122] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 122] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 122] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 122] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 122] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 122] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 122] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 122] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 122] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 122] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 122] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 122] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 122] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 122] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 122] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 122] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 122] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 122] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 122] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 122] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 122] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 122] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 122] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 122] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 122] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 122] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 122] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 122] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 122] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 122] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 122] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 122] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 122] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 122] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 122] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 122] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 122] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 122] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 122] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 122] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 122] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 122] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 122] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 122] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 122] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 122] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 122] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 122] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 122] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 122] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 122] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 122] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 122] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 122] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 122] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 122] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 122] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 122] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 122] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 122] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 122] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 122] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 122] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 122] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 122] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 122] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 122] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 122] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 122] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 122] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 122] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 122] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 122] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 122] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 122] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 122] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 122] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 122] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 122] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 122] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 122] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 122] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 122] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 122] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 122] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 122] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 122] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 122] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 122] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 122] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 122] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 122] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 122] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 122] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 122] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 122] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 122] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 122] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 122] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 122] Brq: ---------------- -[DEBUG][time= 122] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 122] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 122] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 122] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 122] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 122] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 123] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 123] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 123] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 123] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 123] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 123] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 123] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 123] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 123] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 123] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 123] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 123] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 123] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 123] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 123] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 123] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 123] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 123] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 123] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 123] BPUStage3: flushS3=0 -[DEBUG][time= 123] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 123] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 123] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 123] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 123] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 123] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 123] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 123] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 123] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 123] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 123] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 123] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 123] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 123] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 123] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 123] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 123] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 123] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 123] Dispatch2: regfile 0 from 3 -[DEBUG][time= 123] Dispatch2: regfile 1 from 3 -[DEBUG][time= 123] Dispatch2: regfile 2 from 0 -[DEBUG][time= 123] Dispatch2: regfile 3 from 0 -[DEBUG][time= 123] Dispatch2: regfile 4 from 0 -[DEBUG][time= 123] Dispatch2: regfile 5 from 0 -[DEBUG][time= 123] Dispatch2: regfile 6 from 0 -[DEBUG][time= 123] Dispatch2: regfile 7 from 0 -[DEBUG][time= 123] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 123] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 123] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 123] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 123] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 123] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 123] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 123] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 123] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 123] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 123] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 123] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 123] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 123] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 123] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 123] Roq: CSR block should only happen in s_idle -[DEBUG][time= 123] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 123] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 123] Roq: -------------------------------- -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 123] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 123] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 123] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 123] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 123] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 123] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 123] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 123] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 123] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 123] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 123] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 123] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 123] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 123] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 123] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 123] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 123] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 123] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 123] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 123] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 123] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 123] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 123] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 123] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 123] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 123] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 123] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 123] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 123] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 123] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 123] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 123] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 123] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 123] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 123] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 123] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 123] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 123] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 123] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 123] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 123] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 123] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 123] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 123] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 123] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 123] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 123] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 123] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 123] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 123] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 123] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 123] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 123] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 123] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 123] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 123] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 123] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 123] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 123] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 123] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 123] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 123] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 123] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 123] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 123] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 123] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 123] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 123] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 123] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 123] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 123] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 123] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 123] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 123] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 123] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 123] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 123] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 123] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 123] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 123] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 123] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 123] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 123] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 123] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 123] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 123] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 123] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 123] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 123] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 123] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 123] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 123] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 123] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 123] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 123] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 123] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 123] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 123] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 123] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 123] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 123] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 123] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 123] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 123] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 123] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 123] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 123] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 123] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 123] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 123] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 123] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 123] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 123] Brq: ---------------- -[DEBUG][time= 123] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 123] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 123] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 123] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 123] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 123] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 124] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 124] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 124] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 124] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 124] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 124] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 124] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 124] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 124] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 124] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 124] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 124] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 124] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 124] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 124] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 124] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 124] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 124] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 124] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 124] BPUStage3: flushS3=0 -[DEBUG][time= 124] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 124] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 124] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 124] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 124] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 124] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 124] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 124] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 124] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 124] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 124] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 124] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 124] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 124] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 124] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 124] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 124] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 124] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 124] Dispatch2: regfile 0 from 3 -[DEBUG][time= 124] Dispatch2: regfile 1 from 3 -[DEBUG][time= 124] Dispatch2: regfile 2 from 0 -[DEBUG][time= 124] Dispatch2: regfile 3 from 0 -[DEBUG][time= 124] Dispatch2: regfile 4 from 0 -[DEBUG][time= 124] Dispatch2: regfile 5 from 0 -[DEBUG][time= 124] Dispatch2: regfile 6 from 0 -[DEBUG][time= 124] Dispatch2: regfile 7 from 0 -[DEBUG][time= 124] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 124] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 124] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 124] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 124] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 124] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 124] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 124] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 124] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 124] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 124] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 124] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 124] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 124] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 124] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 124] Roq: CSR block should only happen in s_idle -[DEBUG][time= 124] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 124] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 124] Roq: -------------------------------- -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 124] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 124] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 124] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 124] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 124] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 124] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 124] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 124] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 124] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 124] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 124] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 124] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 124] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 124] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 124] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 124] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 124] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 124] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 124] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 124] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 124] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 124] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 124] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 124] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 124] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 124] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 124] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 124] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 124] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 124] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 124] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 124] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 124] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 124] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 124] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 124] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 124] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 124] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 124] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 124] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 124] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 124] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 124] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 124] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 124] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 124] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 124] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 124] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 124] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 124] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 124] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 124] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 124] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 124] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 124] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 124] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 124] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 124] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 124] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 124] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 124] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 124] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 124] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 124] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 124] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 124] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 124] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 124] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 124] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 124] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 124] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 124] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 124] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 124] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 124] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 124] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 124] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 124] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 124] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 124] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 124] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 124] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 124] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 124] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 124] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 124] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 124] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 124] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 124] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 124] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 124] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 124] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 124] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 124] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 124] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 124] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 124] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 124] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 124] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 124] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 124] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 124] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 124] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 124] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 124] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 124] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 124] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 124] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 124] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 124] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 124] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 124] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 124] Brq: ---------------- -[DEBUG][time= 124] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 124] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 124] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 124] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 124] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 124] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 125] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 125] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 125] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 125] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 125] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 125] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 125] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 125] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 125] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 125] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 125] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 125] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 125] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 125] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 125] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 125] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 125] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 125] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 125] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 125] BPUStage3: flushS3=0 -[DEBUG][time= 125] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 125] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 125] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 125] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 125] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 125] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 125] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 125] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 125] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 125] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 125] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 125] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 125] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 125] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 125] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 125] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 125] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 125] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 125] Dispatch2: regfile 0 from 3 -[DEBUG][time= 125] Dispatch2: regfile 1 from 3 -[DEBUG][time= 125] Dispatch2: regfile 2 from 0 -[DEBUG][time= 125] Dispatch2: regfile 3 from 0 -[DEBUG][time= 125] Dispatch2: regfile 4 from 0 -[DEBUG][time= 125] Dispatch2: regfile 5 from 0 -[DEBUG][time= 125] Dispatch2: regfile 6 from 0 -[DEBUG][time= 125] Dispatch2: regfile 7 from 0 -[DEBUG][time= 125] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 125] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 125] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 125] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 125] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 125] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 125] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 125] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 125] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 125] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 125] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 125] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 125] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 125] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 125] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 125] Roq: CSR block should only happen in s_idle -[DEBUG][time= 125] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 125] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 125] Roq: -------------------------------- -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 125] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 125] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 125] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 125] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 125] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 125] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 125] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 125] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 125] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 125] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 125] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 125] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 125] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 125] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 125] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 125] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 125] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 125] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 125] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 125] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 125] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 125] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 125] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 125] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 125] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 125] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 125] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 125] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 125] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 125] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 125] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 125] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 125] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 125] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 125] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 125] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 125] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 125] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 125] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 125] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 125] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 125] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 125] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 125] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 125] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 125] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 125] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 125] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 125] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 125] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 125] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 125] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 125] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 125] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 125] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 125] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 125] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 125] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 125] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 125] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 125] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 125] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 125] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 125] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 125] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 125] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 125] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 125] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 125] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 125] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 125] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 125] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 125] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 125] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 125] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 125] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 125] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 125] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 125] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 125] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 125] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 125] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 125] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 125] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 125] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 125] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 125] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 125] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 125] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 125] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 125] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 125] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 125] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 125] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 125] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 125] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 125] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 125] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 125] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 125] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 125] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 125] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 125] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 125] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 125] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 125] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 125] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 125] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 125] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 125] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 125] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 125] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 125] Brq: ---------------- -[DEBUG][time= 125] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 125] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 125] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 125] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 125] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 125] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 126] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 126] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 126] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 126] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 126] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 126] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 126] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 126] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 126] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 126] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 126] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 126] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 126] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 126] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 126] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 126] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 126] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 126] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 126] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 126] BPUStage3: flushS3=0 -[DEBUG][time= 126] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 126] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 126] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 126] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 126] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 126] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 126] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 126] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 126] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 126] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 126] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 126] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 126] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 126] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 126] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 126] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 126] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 126] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 126] Dispatch2: regfile 0 from 3 -[DEBUG][time= 126] Dispatch2: regfile 1 from 3 -[DEBUG][time= 126] Dispatch2: regfile 2 from 0 -[DEBUG][time= 126] Dispatch2: regfile 3 from 0 -[DEBUG][time= 126] Dispatch2: regfile 4 from 0 -[DEBUG][time= 126] Dispatch2: regfile 5 from 0 -[DEBUG][time= 126] Dispatch2: regfile 6 from 0 -[DEBUG][time= 126] Dispatch2: regfile 7 from 0 -[DEBUG][time= 126] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 126] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 126] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 126] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 126] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 126] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 126] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 126] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 126] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 126] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 126] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 126] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 126] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 126] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 126] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 126] Roq: CSR block should only happen in s_idle -[DEBUG][time= 126] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 126] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 126] Roq: -------------------------------- -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 126] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 126] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 126] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 126] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 126] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 126] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 126] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 126] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 126] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 126] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 126] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 126] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 126] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 126] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 126] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 126] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 126] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 126] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 126] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 126] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 126] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 126] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 126] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 126] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 126] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 126] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 126] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 126] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 126] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 126] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 126] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 126] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 126] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 126] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 126] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 126] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 126] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 126] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 126] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 126] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 126] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 126] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 126] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 126] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 126] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 126] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 126] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 126] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 126] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 126] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 126] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 126] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 126] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 126] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 126] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 126] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 126] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 126] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 126] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 126] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 126] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 126] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 126] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 126] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 126] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 126] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 126] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 126] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 126] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 126] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 126] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 126] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 126] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 126] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 126] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 126] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 126] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 126] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 126] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 126] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 126] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 126] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 126] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 126] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 126] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 126] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 126] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 126] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 126] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 126] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 126] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 126] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 126] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 126] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 126] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 126] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 126] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 126] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 126] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 126] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 126] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 126] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 126] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 126] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 126] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 126] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 126] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 126] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 126] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 126] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 126] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 126] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 126] Brq: ---------------- -[DEBUG][time= 126] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 126] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 126] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 126] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 126] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 126] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 127] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 127] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 127] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 00d6ce6de0| 0 1) -[DEBUG][time= 127] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 127] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 127] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 127] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 127] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 127] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 127] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 127] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 127] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 127] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 127] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 127] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 127] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 127] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 127] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 127] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 127] BPUStage3: flushS3=0 -[DEBUG][time= 127] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 127] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 127] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 127] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 127] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 127] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 127] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 127] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 127] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 127] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 127] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 127] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 127] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 127] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 127] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 127] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 127] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 127] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 127] Dispatch2: regfile 0 from 3 -[DEBUG][time= 127] Dispatch2: regfile 1 from 3 -[DEBUG][time= 127] Dispatch2: regfile 2 from 0 -[DEBUG][time= 127] Dispatch2: regfile 3 from 0 -[DEBUG][time= 127] Dispatch2: regfile 4 from 0 -[DEBUG][time= 127] Dispatch2: regfile 5 from 0 -[DEBUG][time= 127] Dispatch2: regfile 6 from 0 -[DEBUG][time= 127] Dispatch2: regfile 7 from 0 -[DEBUG][time= 127] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 127] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 127] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 127] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 127] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 127] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 127] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 127] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 127] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 127] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 127] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 127] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 127] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 127] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 127] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 127] Roq: CSR block should only happen in s_idle -[DEBUG][time= 127] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 127] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 127] Roq: -------------------------------- -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 127] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 127] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 127] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 127] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 127] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 127] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 127] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 127] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 127] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 127] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 127] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 127] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 127] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 127] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 127] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 127] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 127] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 127] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 127] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 127] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 127] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 127] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 127] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 127] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 127] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 127] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 127] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 127] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 127] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 127] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 127] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 127] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 127] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 127] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 127] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 127] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 127] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 127] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 127] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 127] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 127] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 127] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 127] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 127] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 127] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 127] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 127] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 127] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 127] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 127] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 127] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 127] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 127] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 127] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 127] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 127] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 127] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 127] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 127] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 127] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 127] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 127] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 127] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 127] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 127] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 127] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 127] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 127] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 127] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 127] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 127] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 127] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 127] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 127] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 127] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 127] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 127] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 127] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 127] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 127] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 127] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 127] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 127] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 127] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 127] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 127] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 127] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 127] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 127] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 127] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 127] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 127] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 127] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 127] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 127] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 127] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 127] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 127] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 127] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 127] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 127] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 127] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 127] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 127] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 127] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 127] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 127] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 127] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 127] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 127] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 127] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 127] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 127] Brq: ---------------- -[DEBUG][time= 127] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 127] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 127] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 127] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 127] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 127] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 128] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 128] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 128] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 128] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 128] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 128] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 128] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 128] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 128] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 128] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 128] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 128] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 128] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 128] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 128] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 128] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 128] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 128] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 128] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 128] BPUStage3: flushS3=0 -[DEBUG][time= 128] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 128] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 128] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 128] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 128] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 128] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 128] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 128] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 128] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 128] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 128] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 128] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 128] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 128] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 128] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 128] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 128] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 128] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 128] Dispatch2: regfile 0 from 3 -[DEBUG][time= 128] Dispatch2: regfile 1 from 3 -[DEBUG][time= 128] Dispatch2: regfile 2 from 0 -[DEBUG][time= 128] Dispatch2: regfile 3 from 0 -[DEBUG][time= 128] Dispatch2: regfile 4 from 0 -[DEBUG][time= 128] Dispatch2: regfile 5 from 0 -[DEBUG][time= 128] Dispatch2: regfile 6 from 0 -[DEBUG][time= 128] Dispatch2: regfile 7 from 0 -[DEBUG][time= 128] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 128] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 128] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 128] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 128] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 128] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 128] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 128] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 128] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 128] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 128] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 128] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 128] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 128] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 128] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 128] Roq: CSR block should only happen in s_idle -[DEBUG][time= 128] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 128] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 128] Roq: -------------------------------- -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 128] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 128] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 128] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 128] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 128] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 128] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 128] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 128] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 128] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 128] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 128] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 128] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 128] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 128] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 128] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 128] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 128] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 128] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 128] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 128] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 128] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 128] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 128] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 128] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 128] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 128] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 128] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 128] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 128] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 128] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 128] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 128] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 128] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 128] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 128] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 128] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 128] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 128] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 128] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 128] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 128] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 128] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 128] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 128] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 128] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 128] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 128] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 128] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 128] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 128] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 128] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 128] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 128] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 128] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 128] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 128] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 128] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 128] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 128] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 128] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 128] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 128] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 128] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 128] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 128] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 128] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 128] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 128] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 128] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 128] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 128] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 128] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 128] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 128] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 128] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 128] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 128] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 128] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 128] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 128] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 128] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 128] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 128] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 128] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 128] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 128] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 128] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 128] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 128] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 128] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 128] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 128] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 128] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 128] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 128] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 128] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 128] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 128] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 128] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 128] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 128] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 128] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 128] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 128] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 128] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 128] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 128] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 128] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 128] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 128] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 128] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 128] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 128] Brq: ---------------- -[DEBUG][time= 128] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 128] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 128] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 128] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 128] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 128] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 129] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 129] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 129] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 129] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 129] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 129] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 129] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 129] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 129] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 129] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 129] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 129] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 129] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 129] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 129] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 129] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 129] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 129] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 129] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 129] BPUStage3: flushS3=0 -[DEBUG][time= 129] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 129] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 129] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 129] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 129] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 129] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 129] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 129] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 129] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 129] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 129] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 129] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 129] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 129] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 129] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 129] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 129] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 129] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 129] Dispatch2: regfile 0 from 3 -[DEBUG][time= 129] Dispatch2: regfile 1 from 3 -[DEBUG][time= 129] Dispatch2: regfile 2 from 0 -[DEBUG][time= 129] Dispatch2: regfile 3 from 0 -[DEBUG][time= 129] Dispatch2: regfile 4 from 0 -[DEBUG][time= 129] Dispatch2: regfile 5 from 0 -[DEBUG][time= 129] Dispatch2: regfile 6 from 0 -[DEBUG][time= 129] Dispatch2: regfile 7 from 0 -[DEBUG][time= 129] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 129] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 129] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 129] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 129] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 129] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 129] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 129] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 129] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 129] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 129] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 129] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 129] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 129] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 129] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 129] Roq: CSR block should only happen in s_idle -[DEBUG][time= 129] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 129] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 129] Roq: -------------------------------- -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 129] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 129] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 129] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 129] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 129] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 129] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 129] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 129] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 129] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 129] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 129] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 129] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 129] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 129] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 129] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 129] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 129] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 129] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 129] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 129] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 129] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 129] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 129] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 129] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 129] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 129] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 129] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 129] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 129] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 129] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 129] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 129] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 129] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 129] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 129] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 129] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 129] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 129] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 129] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 129] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 129] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 129] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 129] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 129] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 129] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 129] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 129] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 129] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 129] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 129] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 129] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 129] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 129] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 129] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 129] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 129] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 129] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 129] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 129] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 129] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 129] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 129] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 129] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 129] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 129] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 129] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 129] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 129] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 129] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 129] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 129] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 129] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 129] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 129] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 129] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 129] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 129] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 129] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 129] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 129] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 129] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 129] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 129] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 129] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 129] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 129] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 129] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 129] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 129] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 129] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 129] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 129] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 129] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 129] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 129] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 129] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 129] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 129] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 129] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 129] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 129] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 129] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 129] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 129] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 129] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 129] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 129] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 129] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 129] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 129] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 129] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 129] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 129] Brq: ---------------- -[DEBUG][time= 129] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 129] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 129] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 129] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 129] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 129] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 130] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 130] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 130] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 130] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 130] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 130] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 130] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 130] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 130] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 130] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 130] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 130] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 130] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 130] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 130] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 130] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 130] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 130] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 130] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 130] BPUStage3: flushS3=0 -[DEBUG][time= 130] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 130] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 130] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 130] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 130] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 130] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 130] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 130] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 130] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 130] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 130] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 130] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 130] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 130] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 130] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 130] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 130] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 130] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 130] Dispatch2: regfile 0 from 3 -[DEBUG][time= 130] Dispatch2: regfile 1 from 3 -[DEBUG][time= 130] Dispatch2: regfile 2 from 0 -[DEBUG][time= 130] Dispatch2: regfile 3 from 0 -[DEBUG][time= 130] Dispatch2: regfile 4 from 0 -[DEBUG][time= 130] Dispatch2: regfile 5 from 0 -[DEBUG][time= 130] Dispatch2: regfile 6 from 0 -[DEBUG][time= 130] Dispatch2: regfile 7 from 0 -[DEBUG][time= 130] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 130] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 130] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 130] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 130] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 130] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 130] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 130] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 130] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 130] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 130] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 130] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 130] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 130] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 130] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 130] Roq: CSR block should only happen in s_idle -[DEBUG][time= 130] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 130] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 130] Roq: -------------------------------- -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 130] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 130] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 130] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 130] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 130] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 130] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 130] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 130] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 130] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 130] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 130] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 130] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 130] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 130] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 130] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 130] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 130] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 130] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 130] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 130] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 130] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 130] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 130] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 130] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 130] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 130] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 130] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 130] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 130] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 130] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 130] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 130] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 130] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 130] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 130] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 130] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 130] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 130] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 130] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 130] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 130] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 130] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 130] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 130] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 130] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 130] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 130] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 130] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 130] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 130] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 130] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 130] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 130] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 130] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 130] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 130] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 130] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 130] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 130] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 130] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 130] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 130] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 130] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 130] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 130] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 130] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 130] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 130] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 130] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 130] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 130] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 130] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 130] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 130] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 130] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 130] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 130] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 130] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 130] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 130] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 130] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 130] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 130] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 130] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 130] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 130] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 130] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 130] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 130] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 130] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 130] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 130] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 130] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 130] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 130] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 130] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 130] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 130] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 130] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 130] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 130] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 130] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 130] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 130] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 130] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 130] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 130] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 130] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 130] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 130] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 130] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 130] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 130] Brq: ---------------- -[DEBUG][time= 130] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 130] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 130] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 130] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 130] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 130] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 131] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 131] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 131] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 131] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 131] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 131] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 131] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 131] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 131] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 131] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 131] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 131] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 131] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 131] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 131] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 131] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 131] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 131] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 131] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 131] BPUStage3: flushS3=0 -[DEBUG][time= 131] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 131] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 131] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 131] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 131] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 131] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 131] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 131] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 131] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 131] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 131] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 131] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 131] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 131] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 131] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 131] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 131] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 131] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 131] Dispatch2: regfile 0 from 3 -[DEBUG][time= 131] Dispatch2: regfile 1 from 3 -[DEBUG][time= 131] Dispatch2: regfile 2 from 0 -[DEBUG][time= 131] Dispatch2: regfile 3 from 0 -[DEBUG][time= 131] Dispatch2: regfile 4 from 0 -[DEBUG][time= 131] Dispatch2: regfile 5 from 0 -[DEBUG][time= 131] Dispatch2: regfile 6 from 0 -[DEBUG][time= 131] Dispatch2: regfile 7 from 0 -[DEBUG][time= 131] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 131] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 131] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 131] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 131] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 131] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 131] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 131] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 131] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 131] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 131] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 131] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 131] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 131] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 131] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 131] Roq: CSR block should only happen in s_idle -[DEBUG][time= 131] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 131] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 131] Roq: -------------------------------- -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 131] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 131] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 131] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 131] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 131] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 131] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 131] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 131] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 131] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 131] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 131] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 131] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 131] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 131] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 131] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 131] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 131] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 131] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 131] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 131] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 131] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 131] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 131] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 131] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 131] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 131] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 131] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 131] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 131] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 131] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 131] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 131] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 131] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 131] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 131] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 131] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 131] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 131] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 131] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 131] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 131] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 131] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 131] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 131] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 131] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 131] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 131] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 131] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 131] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 131] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 131] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 131] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 131] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 131] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 131] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 131] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 131] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 131] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 131] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 131] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 131] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 131] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 131] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 131] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 131] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 131] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 131] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 131] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 131] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 131] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 131] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 131] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 131] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 131] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 131] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 131] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 131] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 131] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 131] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 131] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 131] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 131] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 131] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 131] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 131] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 131] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 131] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 131] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 131] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 131] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 131] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 131] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 131] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 131] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 131] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 131] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 131] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 131] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 131] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 131] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 131] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 131] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 131] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 131] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 131] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 131] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 131] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 131] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 131] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 131] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 131] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 131] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 131] Brq: ---------------- -[DEBUG][time= 131] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 131] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 131] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 131] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 131] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 131] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 132] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 132] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 132] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 132] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 132] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 132] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 132] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 132] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 132] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 132] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 132] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 132] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 132] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 132] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 132] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 132] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 132] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 132] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 132] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 132] BPUStage3: flushS3=0 -[DEBUG][time= 132] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 132] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 132] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 132] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 132] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 132] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 132] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 132] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 132] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 132] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 132] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 132] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 132] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 132] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 132] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 132] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 132] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 132] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 132] Dispatch2: regfile 0 from 3 -[DEBUG][time= 132] Dispatch2: regfile 1 from 3 -[DEBUG][time= 132] Dispatch2: regfile 2 from 0 -[DEBUG][time= 132] Dispatch2: regfile 3 from 0 -[DEBUG][time= 132] Dispatch2: regfile 4 from 0 -[DEBUG][time= 132] Dispatch2: regfile 5 from 0 -[DEBUG][time= 132] Dispatch2: regfile 6 from 0 -[DEBUG][time= 132] Dispatch2: regfile 7 from 0 -[DEBUG][time= 132] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 132] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 132] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 132] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 132] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 132] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 132] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 132] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 132] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 132] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 132] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 132] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 132] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 132] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 132] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 132] Roq: CSR block should only happen in s_idle -[DEBUG][time= 132] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 132] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 132] Roq: -------------------------------- -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 132] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 132] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 132] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 132] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 132] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 132] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 132] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 132] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 132] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 132] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 132] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 132] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 132] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 132] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 132] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 132] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 132] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 132] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 132] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 132] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 132] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 132] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 132] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 132] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 132] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 132] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 132] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 132] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 132] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 132] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 132] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 132] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 132] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 132] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 132] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 132] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 132] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 132] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 132] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 132] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 132] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 132] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 132] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 132] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 132] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 132] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 132] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 132] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 132] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 132] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 132] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 132] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 132] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 132] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 132] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 132] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 132] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 132] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 132] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 132] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 132] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 132] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 132] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 132] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 132] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 132] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 132] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 132] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 132] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 132] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 132] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 132] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 132] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 132] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 132] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 132] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 132] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 132] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 132] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 132] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 132] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 132] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 132] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 132] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 132] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 132] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 132] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 132] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 132] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 132] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 132] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 132] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 132] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 132] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 132] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 132] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 132] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 132] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 132] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 132] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 132] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 132] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 132] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 132] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 132] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 132] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 132] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 132] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 132] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 132] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 132] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 132] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 132] Brq: ---------------- -[DEBUG][time= 132] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 132] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 132] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 132] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 132] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 132] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 133] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 133] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 133] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 133] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 133] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 133] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 133] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 133] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 133] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 133] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 133] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 133] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 133] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 133] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 133] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 133] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 133] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 133] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 133] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 133] BPUStage3: flushS3=0 -[DEBUG][time= 133] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 133] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 133] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 133] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 133] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 133] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 133] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 133] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 133] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 133] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 133] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 133] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 133] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 133] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 133] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 133] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 133] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 133] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 133] Dispatch2: regfile 0 from 3 -[DEBUG][time= 133] Dispatch2: regfile 1 from 3 -[DEBUG][time= 133] Dispatch2: regfile 2 from 0 -[DEBUG][time= 133] Dispatch2: regfile 3 from 0 -[DEBUG][time= 133] Dispatch2: regfile 4 from 0 -[DEBUG][time= 133] Dispatch2: regfile 5 from 0 -[DEBUG][time= 133] Dispatch2: regfile 6 from 0 -[DEBUG][time= 133] Dispatch2: regfile 7 from 0 -[DEBUG][time= 133] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 133] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 133] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 133] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 133] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 133] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 133] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 133] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 133] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 133] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 133] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 133] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 133] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 133] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 133] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 133] Roq: CSR block should only happen in s_idle -[DEBUG][time= 133] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 133] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 133] Roq: -------------------------------- -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 133] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 133] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 133] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 133] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 133] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 133] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 133] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 133] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 133] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 133] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 133] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 133] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 133] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 133] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 133] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 133] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 133] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 133] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 133] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 133] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 133] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 133] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 133] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 133] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 133] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 133] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 133] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 133] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 133] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 133] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 133] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 133] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 133] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 133] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 133] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 133] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 133] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 133] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 133] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 133] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 133] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 133] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 133] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 133] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 133] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 133] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 133] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 133] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 133] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 133] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 133] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 133] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 133] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 133] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 133] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 133] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 133] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 133] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 133] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 133] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 133] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 133] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 133] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 133] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 133] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 133] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 133] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 133] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 133] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 133] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 133] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 133] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 133] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 133] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 133] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 133] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 133] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 133] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 133] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 133] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 133] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 133] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 133] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 133] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 133] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 133] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 133] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 133] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 133] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 133] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 133] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 133] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 133] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 133] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 133] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 133] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 133] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 133] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 133] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 133] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 133] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 133] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 133] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 133] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 133] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 133] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 133] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 133] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 133] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 133] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 133] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 133] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 133] Brq: ---------------- -[DEBUG][time= 133] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 133] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 133] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 133] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 133] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 133] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 134] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 134] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 134] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 134] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 134] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 134] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 134] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 134] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 134] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 134] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 134] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 134] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 134] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 134] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 134] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 134] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 134] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 134] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 134] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 134] BPUStage3: flushS3=0 -[DEBUG][time= 134] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 134] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 134] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 134] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 134] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 134] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 134] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 134] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 134] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 134] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 134] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 134] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 134] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 134] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 134] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 134] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 134] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 134] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 134] Dispatch2: regfile 0 from 3 -[DEBUG][time= 134] Dispatch2: regfile 1 from 3 -[DEBUG][time= 134] Dispatch2: regfile 2 from 0 -[DEBUG][time= 134] Dispatch2: regfile 3 from 0 -[DEBUG][time= 134] Dispatch2: regfile 4 from 0 -[DEBUG][time= 134] Dispatch2: regfile 5 from 0 -[DEBUG][time= 134] Dispatch2: regfile 6 from 0 -[DEBUG][time= 134] Dispatch2: regfile 7 from 0 -[DEBUG][time= 134] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 134] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 134] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 134] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 134] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 134] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 134] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 134] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 134] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 134] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 134] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 134] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 134] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 134] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 134] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 134] Roq: CSR block should only happen in s_idle -[DEBUG][time= 134] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 134] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 134] Roq: -------------------------------- -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 134] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 134] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 134] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 134] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 134] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 134] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 134] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 134] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 134] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 134] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 134] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 134] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 134] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 134] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 134] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 134] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 134] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 134] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 134] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 134] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 134] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 134] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 134] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 134] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 134] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 134] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 134] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 134] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 134] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 134] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 134] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 134] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 134] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 134] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 134] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 134] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 134] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 134] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 134] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 134] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 134] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 134] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 134] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 134] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 134] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 134] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 134] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 134] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 134] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 134] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 134] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 134] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 134] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 134] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 134] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 134] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 134] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 134] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 134] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 134] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 134] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 134] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 134] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 134] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 134] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 134] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 134] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 134] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 134] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 134] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 134] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 134] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 134] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 134] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 134] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 134] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 134] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 134] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 134] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 134] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 134] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 134] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 134] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 134] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 134] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 134] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 134] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 134] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 134] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 134] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 134] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 134] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 134] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 134] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 134] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 134] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 134] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 134] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 134] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 134] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 134] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 134] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 134] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 134] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 134] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 134] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 134] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 134] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 134] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 134] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 134] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 134] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 134] Brq: ---------------- -[DEBUG][time= 134] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 134] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 134] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 134] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 134] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 134] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 135] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 135] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 135] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 135] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 135] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 135] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 135] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 135] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 135] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 135] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 135] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 135] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 135] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 135] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 135] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 135] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 135] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 135] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 135] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 135] BPUStage3: flushS3=0 -[DEBUG][time= 135] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 135] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 135] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 135] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 135] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 135] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 135] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 135] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 135] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 135] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 135] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 135] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 135] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 135] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 135] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 135] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 135] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 135] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 135] Dispatch2: regfile 0 from 3 -[DEBUG][time= 135] Dispatch2: regfile 1 from 3 -[DEBUG][time= 135] Dispatch2: regfile 2 from 0 -[DEBUG][time= 135] Dispatch2: regfile 3 from 0 -[DEBUG][time= 135] Dispatch2: regfile 4 from 0 -[DEBUG][time= 135] Dispatch2: regfile 5 from 0 -[DEBUG][time= 135] Dispatch2: regfile 6 from 0 -[DEBUG][time= 135] Dispatch2: regfile 7 from 0 -[DEBUG][time= 135] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 135] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 135] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 135] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 135] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 135] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 135] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 135] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 135] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 135] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 135] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 135] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 135] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 135] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 135] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 135] Roq: CSR block should only happen in s_idle -[DEBUG][time= 135] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 135] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 135] Roq: -------------------------------- -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 135] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 135] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 135] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 135] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 135] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 135] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 135] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 135] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 135] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 135] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 135] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 135] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 135] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 135] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 135] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 135] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 135] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 135] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 135] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 135] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 135] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 135] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 135] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 135] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 135] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 135] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 135] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 135] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 135] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 135] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 135] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 135] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 135] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 135] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 135] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 135] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 135] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 135] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 135] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 135] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 135] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 135] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 135] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 135] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 135] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 135] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 135] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 135] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 135] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 135] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 135] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 135] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 135] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 135] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 135] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 135] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 135] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 135] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 135] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 135] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 135] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 135] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 135] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 135] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 135] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 135] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 135] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 135] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 135] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 135] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 135] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 135] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 135] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 135] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 135] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 135] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 135] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 135] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 135] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 135] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 135] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 135] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 135] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 135] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 135] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 135] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 135] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 135] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 135] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 135] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 135] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 135] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 135] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 135] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 135] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 135] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 135] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 135] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 135] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 135] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 135] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 135] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 135] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 135] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 135] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 135] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 135] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 135] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 135] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 135] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 135] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 135] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 135] Brq: ---------------- -[DEBUG][time= 135] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 135] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 135] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 135] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 135] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 135] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 136] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 136] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 136] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 136] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 136] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 136] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 136] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 136] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 136] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 136] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 136] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 136] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 136] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 136] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 136] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 136] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 136] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 136] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 136] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 136] BPUStage3: flushS3=0 -[DEBUG][time= 136] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 136] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 136] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 136] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 136] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 136] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 136] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 136] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 136] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 136] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 136] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 136] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 136] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 136] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 136] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 136] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 136] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 136] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 136] Dispatch2: regfile 0 from 3 -[DEBUG][time= 136] Dispatch2: regfile 1 from 3 -[DEBUG][time= 136] Dispatch2: regfile 2 from 0 -[DEBUG][time= 136] Dispatch2: regfile 3 from 0 -[DEBUG][time= 136] Dispatch2: regfile 4 from 0 -[DEBUG][time= 136] Dispatch2: regfile 5 from 0 -[DEBUG][time= 136] Dispatch2: regfile 6 from 0 -[DEBUG][time= 136] Dispatch2: regfile 7 from 0 -[DEBUG][time= 136] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 136] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 136] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 136] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 136] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 136] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 136] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 136] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 136] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 136] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 136] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 136] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 136] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 136] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 136] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 136] Roq: CSR block should only happen in s_idle -[DEBUG][time= 136] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 136] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 136] Roq: -------------------------------- -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 136] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 136] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 136] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 136] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 136] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 136] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 136] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 136] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 136] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 136] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 136] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 136] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 136] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 136] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 136] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 136] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 136] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 136] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 136] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 136] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 136] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 136] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 136] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 136] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 136] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 136] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 136] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 136] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 136] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 136] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 136] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 136] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 136] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 136] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 136] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 136] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 136] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 136] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 136] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 136] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 136] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 136] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 136] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 136] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 136] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 136] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 136] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 136] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 136] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 136] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 136] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 136] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 136] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 136] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 136] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 136] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 136] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 136] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 136] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 136] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 136] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 136] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 136] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 136] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 136] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 136] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 136] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 136] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 136] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 136] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 136] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 136] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 136] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 136] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 136] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 136] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 136] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 136] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 136] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 136] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 136] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 136] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 136] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 136] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 136] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 136] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 136] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 136] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 136] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 136] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 136] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 136] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 136] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 136] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 136] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 136] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 136] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 136] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 136] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 136] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 136] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 136] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 136] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 136] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 136] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 136] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 136] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 136] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 136] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 136] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 136] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 136] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 136] Brq: ---------------- -[DEBUG][time= 136] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 136] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 136] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 136] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 136] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 136] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 137] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 137] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 137] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 137] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 137] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 137] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 137] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 137] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 137] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 137] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 137] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 137] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 137] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 137] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 137] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 137] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 137] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 137] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 137] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 137] BPUStage3: flushS3=0 -[DEBUG][time= 137] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 137] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 137] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 137] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 137] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 137] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 137] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 137] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 137] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 137] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 137] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 137] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 137] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 137] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 137] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 137] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 137] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 137] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 137] Dispatch2: regfile 0 from 3 -[DEBUG][time= 137] Dispatch2: regfile 1 from 3 -[DEBUG][time= 137] Dispatch2: regfile 2 from 0 -[DEBUG][time= 137] Dispatch2: regfile 3 from 0 -[DEBUG][time= 137] Dispatch2: regfile 4 from 0 -[DEBUG][time= 137] Dispatch2: regfile 5 from 0 -[DEBUG][time= 137] Dispatch2: regfile 6 from 0 -[DEBUG][time= 137] Dispatch2: regfile 7 from 0 -[DEBUG][time= 137] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 137] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 137] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 137] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 137] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 137] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 137] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 137] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 137] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 137] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 137] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 137] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 137] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 137] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 137] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 137] Roq: CSR block should only happen in s_idle -[DEBUG][time= 137] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 137] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 137] Roq: -------------------------------- -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 137] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 137] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 137] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 137] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 137] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 137] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 137] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 137] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 137] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 137] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 137] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 137] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 137] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 137] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 137] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 137] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 137] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 137] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 137] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 137] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 137] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 137] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 137] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 137] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 137] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 137] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 137] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 137] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 137] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 137] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 137] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 137] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 137] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 137] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 137] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 137] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 137] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 137] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 137] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 137] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 137] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 137] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 137] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 137] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 137] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 137] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 137] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 137] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 137] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 137] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 137] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 137] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 137] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 137] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 137] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 137] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 137] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 137] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 137] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 137] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 137] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 137] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 137] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 137] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 137] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 137] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 137] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 137] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 137] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 137] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 137] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 137] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 137] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 137] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 137] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 137] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 137] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 137] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 137] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 137] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 137] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 137] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 137] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 137] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 137] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 137] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 137] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 137] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 137] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 137] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 137] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 137] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 137] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 137] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 137] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 137] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 137] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 137] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 137] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 137] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 137] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 137] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 137] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 137] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 137] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 137] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 137] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 137] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 137] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 137] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 137] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 137] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 137] Brq: ---------------- -[DEBUG][time= 137] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 137] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 137] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 137] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 137] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 137] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 138] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 138] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 138] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 138] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 138] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 138] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 138] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 138] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 138] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 138] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 138] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 138] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 138] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 138] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 138] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 138] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 138] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 138] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 138] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 138] BPUStage3: flushS3=0 -[DEBUG][time= 138] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 138] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 138] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 138] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 138] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 138] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 138] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 138] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 138] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 138] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 138] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 138] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 138] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 138] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 138] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 138] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 138] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 138] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 138] Dispatch2: regfile 0 from 3 -[DEBUG][time= 138] Dispatch2: regfile 1 from 3 -[DEBUG][time= 138] Dispatch2: regfile 2 from 0 -[DEBUG][time= 138] Dispatch2: regfile 3 from 0 -[DEBUG][time= 138] Dispatch2: regfile 4 from 0 -[DEBUG][time= 138] Dispatch2: regfile 5 from 0 -[DEBUG][time= 138] Dispatch2: regfile 6 from 0 -[DEBUG][time= 138] Dispatch2: regfile 7 from 0 -[DEBUG][time= 138] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 138] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 138] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 138] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 138] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 138] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 138] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 138] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 138] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 138] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 138] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 138] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 138] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 138] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 138] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 138] Roq: CSR block should only happen in s_idle -[DEBUG][time= 138] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 138] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 138] Roq: -------------------------------- -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 138] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 138] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 138] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 138] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 138] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 138] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 138] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 138] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 138] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 138] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 138] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 138] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 138] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 138] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 138] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 138] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 138] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 138] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 138] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 138] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 138] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 138] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 138] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 138] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 138] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 138] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 138] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 138] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 138] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 138] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 138] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 138] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 138] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 138] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 138] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 138] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 138] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 138] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 138] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 138] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 138] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 138] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 138] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 138] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 138] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 138] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 138] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 138] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 138] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 138] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 138] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 138] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 138] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 138] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 138] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 138] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 138] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 138] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 138] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 138] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 138] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 138] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 138] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 138] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 138] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 138] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 138] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 138] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 138] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 138] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 138] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 138] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 138] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 138] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 138] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 138] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 138] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 138] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 138] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 138] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 138] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 138] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 138] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 138] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 138] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 138] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 138] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 138] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 138] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 138] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 138] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 138] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 138] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 138] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 138] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 138] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 138] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 138] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 138] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 138] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 138] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 138] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 138] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 138] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 138] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 138] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 138] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 138] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 138] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 138] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 138] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 138] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 138] Brq: ---------------- -[DEBUG][time= 138] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 138] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 138] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 138] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 138] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 138] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 139] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 139] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 139] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 139] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 139] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 139] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 139] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 139] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 139] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 139] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 139] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 139] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 139] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 139] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 139] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 139] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 139] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 139] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 139] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 139] BPUStage3: flushS3=0 -[DEBUG][time= 139] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 139] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 139] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 139] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 139] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 139] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 139] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 139] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 139] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 139] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 139] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 139] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 139] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 139] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 139] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 139] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 139] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 139] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 139] Dispatch2: regfile 0 from 3 -[DEBUG][time= 139] Dispatch2: regfile 1 from 3 -[DEBUG][time= 139] Dispatch2: regfile 2 from 0 -[DEBUG][time= 139] Dispatch2: regfile 3 from 0 -[DEBUG][time= 139] Dispatch2: regfile 4 from 0 -[DEBUG][time= 139] Dispatch2: regfile 5 from 0 -[DEBUG][time= 139] Dispatch2: regfile 6 from 0 -[DEBUG][time= 139] Dispatch2: regfile 7 from 0 -[DEBUG][time= 139] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 139] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 139] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 139] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 139] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 139] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 139] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 139] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 139] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 139] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 139] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 139] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 139] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 139] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 139] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 139] Roq: CSR block should only happen in s_idle -[DEBUG][time= 139] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 139] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 139] Roq: -------------------------------- -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 139] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 139] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 139] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 139] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 139] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 139] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 139] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 139] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 139] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 139] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 139] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 139] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 139] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 139] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 139] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 139] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 139] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 139] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 139] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 139] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 139] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 139] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 139] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 139] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 139] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 139] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 139] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 139] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 139] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 139] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 139] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 139] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 139] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 139] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 139] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 139] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 139] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 139] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 139] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 139] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 139] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 139] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 139] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 139] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 139] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 139] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 139] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 139] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 139] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 139] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 139] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 139] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 139] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 139] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 139] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 139] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 139] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 139] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 139] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 139] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 139] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 139] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 139] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 139] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 139] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 139] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 139] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 139] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 139] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 139] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 139] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 139] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 139] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 139] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 139] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 139] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 139] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 139] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 139] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 139] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 139] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 139] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 139] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 139] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 139] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 139] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 139] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 139] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 139] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 139] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 139] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 139] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 139] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 139] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 139] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 139] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 139] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 139] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 139] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 139] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 139] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 139] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 139] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 139] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 139] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 139] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 139] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 139] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 139] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 139] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 139] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 139] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 139] Brq: ---------------- -[DEBUG][time= 139] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 139] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 139] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 139] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 139] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 139] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 140] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 140] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 140] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 140] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 140] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 140] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 140] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 140] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 140] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 140] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 140] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 140] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 140] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 140] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 140] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 140] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 140] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 140] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 140] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 140] BPUStage3: flushS3=0 -[DEBUG][time= 140] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 140] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 140] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 140] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 140] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 140] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 140] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 140] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 140] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 140] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 140] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 140] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 140] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 140] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 140] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 140] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 140] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 140] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 140] Dispatch2: regfile 0 from 3 -[DEBUG][time= 140] Dispatch2: regfile 1 from 3 -[DEBUG][time= 140] Dispatch2: regfile 2 from 0 -[DEBUG][time= 140] Dispatch2: regfile 3 from 0 -[DEBUG][time= 140] Dispatch2: regfile 4 from 0 -[DEBUG][time= 140] Dispatch2: regfile 5 from 0 -[DEBUG][time= 140] Dispatch2: regfile 6 from 0 -[DEBUG][time= 140] Dispatch2: regfile 7 from 0 -[DEBUG][time= 140] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 140] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 140] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 140] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 140] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 140] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 140] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 140] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 140] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 140] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 140] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 140] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 140] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 140] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 140] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 140] Roq: CSR block should only happen in s_idle -[DEBUG][time= 140] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 140] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 140] Roq: -------------------------------- -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 140] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 140] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 140] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 140] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 140] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 140] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 140] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 140] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 140] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 140] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 140] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 140] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 140] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 140] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 140] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 140] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 140] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 140] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 140] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 140] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 140] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 140] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 140] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 140] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 140] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 140] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 140] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 140] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 140] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 140] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 140] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 140] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 140] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 140] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 140] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 140] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 140] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 140] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 140] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 140] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 140] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 140] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 140] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 140] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 140] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 140] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 140] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 140] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 140] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 140] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 140] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 140] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 140] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 140] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 140] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 140] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 140] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 140] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 140] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 140] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 140] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 140] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 140] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 140] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 140] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 140] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 140] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 140] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 140] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 140] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 140] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 140] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 140] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 140] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 140] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 140] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 140] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 140] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 140] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 140] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 140] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 140] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 140] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 140] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 140] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 140] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 140] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 140] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 140] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 140] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 140] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 140] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 140] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 140] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 140] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 140] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 140] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 140] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 140] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 140] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 140] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 140] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 140] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 140] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 140] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 140] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 140] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 140] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 140] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 140] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 140] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 140] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 140] Brq: ---------------- -[DEBUG][time= 140] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 140] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 140] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 140] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 140] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 140] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 141] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 141] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 141] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 141] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 141] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 141] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 141] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 141] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 141] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 141] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 141] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 141] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 141] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 141] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 141] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 141] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 141] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 141] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 141] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 141] BPUStage3: flushS3=0 -[DEBUG][time= 141] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 141] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 141] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 141] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 141] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 141] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 141] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 141] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 141] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 141] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 141] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 141] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 141] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 141] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 141] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 141] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 141] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 141] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 141] Dispatch2: regfile 0 from 3 -[DEBUG][time= 141] Dispatch2: regfile 1 from 3 -[DEBUG][time= 141] Dispatch2: regfile 2 from 0 -[DEBUG][time= 141] Dispatch2: regfile 3 from 0 -[DEBUG][time= 141] Dispatch2: regfile 4 from 0 -[DEBUG][time= 141] Dispatch2: regfile 5 from 0 -[DEBUG][time= 141] Dispatch2: regfile 6 from 0 -[DEBUG][time= 141] Dispatch2: regfile 7 from 0 -[DEBUG][time= 141] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 141] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 141] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 141] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 141] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 141] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 141] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 141] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 141] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 141] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 141] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 141] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 141] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 141] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 141] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 141] Roq: CSR block should only happen in s_idle -[DEBUG][time= 141] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 141] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 141] Roq: -------------------------------- -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 141] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 141] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 141] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 141] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 141] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 141] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 141] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 141] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 141] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 141] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 141] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 141] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 141] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 141] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 141] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 141] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 141] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 141] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 141] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 141] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 141] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 141] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 141] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 141] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 141] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 141] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 141] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 141] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 141] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 141] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 141] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 141] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 141] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 141] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 141] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 141] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 141] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 141] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 141] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 141] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 141] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 141] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 141] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 141] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 141] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 141] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 141] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 141] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 141] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 141] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 141] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 141] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 141] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 141] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 141] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 141] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 141] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 141] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 141] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 141] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 141] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 141] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 141] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 141] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 141] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 141] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 141] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 141] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 141] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 141] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 141] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 141] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 141] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 141] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 141] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 141] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 141] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 141] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 141] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 141] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 141] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 141] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 141] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 141] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 141] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 141] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 141] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 141] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 141] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 141] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 141] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 141] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 141] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 141] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 141] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 141] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 141] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 141] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 141] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 141] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 141] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 141] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 141] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 141] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 141] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 141] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 141] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 141] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 141] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 141] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 141] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 141] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 141] Brq: ---------------- -[DEBUG][time= 141] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 141] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 141] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 141] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 141] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 141] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 142] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 142] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 142] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 142] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 142] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 142] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 142] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 142] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 142] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 142] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 142] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 142] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 142] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 142] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 142] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 142] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 142] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 142] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 142] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 142] BPUStage3: flushS3=0 -[DEBUG][time= 142] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 142] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 142] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 142] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 142] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 142] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 142] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 142] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 142] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 142] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 142] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 142] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 142] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 142] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 142] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 142] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 142] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 142] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 142] Dispatch2: regfile 0 from 3 -[DEBUG][time= 142] Dispatch2: regfile 1 from 3 -[DEBUG][time= 142] Dispatch2: regfile 2 from 0 -[DEBUG][time= 142] Dispatch2: regfile 3 from 0 -[DEBUG][time= 142] Dispatch2: regfile 4 from 0 -[DEBUG][time= 142] Dispatch2: regfile 5 from 0 -[DEBUG][time= 142] Dispatch2: regfile 6 from 0 -[DEBUG][time= 142] Dispatch2: regfile 7 from 0 -[DEBUG][time= 142] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 142] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 142] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 142] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 142] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 142] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 142] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 142] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 142] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 142] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 142] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 142] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 142] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 142] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 142] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 142] Roq: CSR block should only happen in s_idle -[DEBUG][time= 142] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 142] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 142] Roq: -------------------------------- -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 142] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 142] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 142] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 142] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 142] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 142] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 142] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 142] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 142] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 142] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 142] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 142] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 142] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 142] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 142] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 142] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 142] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 142] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 142] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 142] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 142] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 142] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 142] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 142] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 142] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 142] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 142] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 142] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 142] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 142] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 142] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 142] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 142] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 142] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 142] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 142] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 142] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 142] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 142] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 142] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 142] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 142] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 142] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 142] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 142] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 142] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 142] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 142] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 142] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 142] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 142] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 142] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 142] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 142] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 142] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 142] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 142] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 142] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 142] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 142] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 142] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 142] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 142] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 142] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 142] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 142] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 142] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 142] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 142] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 142] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 142] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 142] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 142] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 142] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 142] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 142] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 142] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 142] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 142] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 142] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 142] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 142] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 142] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 142] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 142] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 142] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 142] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 142] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 142] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 142] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 142] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 142] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 142] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 142] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 142] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 142] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 142] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 142] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 142] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 142] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 142] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 142] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 142] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 142] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 142] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 142] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 142] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 142] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 142] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 142] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 142] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 142] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 142] Brq: ---------------- -[DEBUG][time= 142] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 142] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 142] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 142] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 142] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 142] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 143] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 143] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 143] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 143] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 143] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 143] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 143] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 143] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 143] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 143] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 143] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 143] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 143] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 143] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 143] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 143] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 143] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 143] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 143] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 143] BPUStage3: flushS3=0 -[DEBUG][time= 143] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 143] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 143] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 143] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 143] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 143] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 143] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 143] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 143] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 143] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 143] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 143] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 143] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 143] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 143] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 143] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 143] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 143] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 143] Dispatch2: regfile 0 from 3 -[DEBUG][time= 143] Dispatch2: regfile 1 from 3 -[DEBUG][time= 143] Dispatch2: regfile 2 from 0 -[DEBUG][time= 143] Dispatch2: regfile 3 from 0 -[DEBUG][time= 143] Dispatch2: regfile 4 from 0 -[DEBUG][time= 143] Dispatch2: regfile 5 from 0 -[DEBUG][time= 143] Dispatch2: regfile 6 from 0 -[DEBUG][time= 143] Dispatch2: regfile 7 from 0 -[DEBUG][time= 143] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 143] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 143] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 143] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 143] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 143] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 143] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 143] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 143] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 143] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 143] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 143] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 143] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 143] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 143] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 143] Roq: CSR block should only happen in s_idle -[DEBUG][time= 143] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 143] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 143] Roq: -------------------------------- -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 143] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 143] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 143] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 143] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 143] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 143] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 143] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 143] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 143] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 143] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 143] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 143] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 143] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 143] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 143] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 143] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 143] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 143] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 143] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 143] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 143] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 143] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 143] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 143] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 143] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 143] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 143] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 143] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 143] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 143] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 143] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 143] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 143] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 143] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 143] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 143] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 143] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 143] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 143] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 143] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 143] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 143] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 143] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 143] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 143] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 143] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 143] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 143] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 143] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 143] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 143] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 143] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 143] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 143] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 143] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 143] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 143] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 143] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 143] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 143] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 143] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 143] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 143] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 143] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 143] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 143] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 143] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 143] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 143] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 143] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 143] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 143] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 143] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 143] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 143] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 143] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 143] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 143] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 143] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 143] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 143] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 143] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 143] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 143] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 143] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 143] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 143] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 143] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 143] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 143] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 143] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 143] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 143] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 143] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 143] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 143] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 143] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 143] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 143] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 143] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 143] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 143] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 143] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 143] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 143] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 143] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 143] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 143] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 143] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 143] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 143] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 143] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 143] Brq: ---------------- -[DEBUG][time= 143] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 143] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 143] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 143] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 143] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 143] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 144] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 144] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 144] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 144] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 144] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 144] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 144] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 144] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 144] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 144] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 144] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 144] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 144] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 144] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 144] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 144] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 144] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 144] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 144] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 144] BPUStage3: flushS3=0 -[DEBUG][time= 144] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 144] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 144] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 144] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 144] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 144] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 144] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 144] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 144] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 144] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 144] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 144] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 144] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 144] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 144] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 144] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 144] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 144] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 144] Dispatch2: regfile 0 from 3 -[DEBUG][time= 144] Dispatch2: regfile 1 from 3 -[DEBUG][time= 144] Dispatch2: regfile 2 from 0 -[DEBUG][time= 144] Dispatch2: regfile 3 from 0 -[DEBUG][time= 144] Dispatch2: regfile 4 from 0 -[DEBUG][time= 144] Dispatch2: regfile 5 from 0 -[DEBUG][time= 144] Dispatch2: regfile 6 from 0 -[DEBUG][time= 144] Dispatch2: regfile 7 from 0 -[DEBUG][time= 144] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 144] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 144] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 144] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 144] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 144] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 144] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 144] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 144] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 144] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 144] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 144] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 144] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 144] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 144] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 144] Roq: CSR block should only happen in s_idle -[DEBUG][time= 144] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 144] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 144] Roq: -------------------------------- -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 144] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 144] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 144] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 144] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 144] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 144] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 144] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 144] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 144] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 144] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 144] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 144] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 144] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 144] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 144] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 144] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 144] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 144] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 144] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 144] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 144] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 144] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 144] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 144] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 144] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 144] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 144] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 144] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 144] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 144] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 144] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 144] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 144] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 144] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 144] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 144] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 144] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 144] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 144] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 144] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 144] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 144] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 144] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 144] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 144] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 144] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 144] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 144] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 144] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 144] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 144] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 144] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 144] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 144] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 144] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 144] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 144] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 144] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 144] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 144] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 144] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 144] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 144] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 144] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 144] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 144] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 144] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 144] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 144] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 144] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 144] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 144] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 144] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 144] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 144] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 144] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 144] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 144] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 144] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 144] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 144] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 144] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 144] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 144] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 144] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 144] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 144] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 144] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 144] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 144] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 144] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 144] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 144] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 144] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 144] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 144] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 144] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 144] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 144] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 144] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 144] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 144] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 144] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 144] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 144] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 144] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 144] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 144] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 144] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 144] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 144] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 144] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 144] Brq: ---------------- -[DEBUG][time= 144] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 144] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 144] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 144] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 144] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 144] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 145] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 145] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 145] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 145] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 145] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 145] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 145] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 145] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 145] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 145] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 145] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 145] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 145] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 145] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 145] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 145] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 145] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 145] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 145] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 145] BPUStage3: flushS3=0 -[DEBUG][time= 145] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 145] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 145] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 145] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 145] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 145] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 145] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 145] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 145] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 145] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 145] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 145] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 145] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 145] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 145] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 145] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 145] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 145] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 145] Dispatch2: regfile 0 from 3 -[DEBUG][time= 145] Dispatch2: regfile 1 from 3 -[DEBUG][time= 145] Dispatch2: regfile 2 from 0 -[DEBUG][time= 145] Dispatch2: regfile 3 from 0 -[DEBUG][time= 145] Dispatch2: regfile 4 from 0 -[DEBUG][time= 145] Dispatch2: regfile 5 from 0 -[DEBUG][time= 145] Dispatch2: regfile 6 from 0 -[DEBUG][time= 145] Dispatch2: regfile 7 from 0 -[DEBUG][time= 145] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 145] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 145] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 145] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 145] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 145] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 145] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 145] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 145] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 145] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 145] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 145] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 145] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 145] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 145] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 145] Roq: CSR block should only happen in s_idle -[DEBUG][time= 145] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 145] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 145] Roq: -------------------------------- -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 145] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 145] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 145] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 145] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 145] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 145] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 145] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 145] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 145] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 145] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 145] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 145] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 145] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 145] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 145] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 145] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 145] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 145] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 145] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 145] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 145] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 145] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 145] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 145] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 145] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 145] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 145] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 145] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 145] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 145] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 145] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 145] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 145] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 145] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 145] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 145] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 145] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 145] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 145] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 145] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 145] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 145] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 145] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 145] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 145] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 145] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 145] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 145] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 145] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 145] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 145] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 145] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 145] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 145] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 145] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 145] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 145] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 145] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 145] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 145] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 145] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 145] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 145] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 145] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 145] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 145] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 145] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 145] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 145] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 145] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 145] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 145] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 145] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 145] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 145] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 145] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 145] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 145] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 145] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 145] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 145] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 145] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 145] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 145] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 145] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 145] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 145] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 145] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 145] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 145] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 145] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 145] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 145] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 145] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 145] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 145] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 145] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 145] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 145] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 145] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 145] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 145] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 145] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 145] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 145] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 145] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 145] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 145] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 145] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 145] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 145] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 145] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 145] Brq: ---------------- -[DEBUG][time= 145] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 145] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 145] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 145] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 145] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 145] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 146] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 146] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 146] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 146] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 146] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 146] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 146] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 146] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 146] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 146] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 146] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 146] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 146] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 146] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 146] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 146] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 146] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 146] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 146] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 146] BPUStage3: flushS3=0 -[DEBUG][time= 146] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 146] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 146] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 146] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 146] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 146] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 146] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 146] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 146] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 146] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 146] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 146] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 146] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 146] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 146] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 146] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 146] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 146] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 146] Dispatch2: regfile 0 from 3 -[DEBUG][time= 146] Dispatch2: regfile 1 from 3 -[DEBUG][time= 146] Dispatch2: regfile 2 from 0 -[DEBUG][time= 146] Dispatch2: regfile 3 from 0 -[DEBUG][time= 146] Dispatch2: regfile 4 from 0 -[DEBUG][time= 146] Dispatch2: regfile 5 from 0 -[DEBUG][time= 146] Dispatch2: regfile 6 from 0 -[DEBUG][time= 146] Dispatch2: regfile 7 from 0 -[DEBUG][time= 146] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 146] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 146] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 146] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 146] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 146] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 146] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 146] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 146] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 146] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 146] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 146] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 146] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 146] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 146] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 146] Roq: CSR block should only happen in s_idle -[DEBUG][time= 146] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 146] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 146] Roq: -------------------------------- -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 146] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 146] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 146] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 146] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 146] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 146] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 146] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 146] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 146] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 146] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 146] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 146] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 146] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 146] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 146] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 146] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 146] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 146] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 146] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 146] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 146] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 146] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 146] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 146] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 146] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 146] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 146] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 146] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 146] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 146] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 146] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 146] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 146] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 146] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 146] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 146] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 146] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 146] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 146] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 146] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 146] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 146] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 146] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 146] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 146] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 146] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 146] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 146] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 146] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 146] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 146] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 146] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 146] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 146] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 146] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 146] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 146] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 146] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 146] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 146] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 146] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 146] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 146] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 146] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 146] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 146] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 146] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 146] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 146] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 146] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 146] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 146] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 146] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 146] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 146] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 146] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 146] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 146] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 146] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 146] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 146] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 146] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 146] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 146] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 146] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 146] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 146] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 146] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 146] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 146] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 146] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 146] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 146] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 146] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 146] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 146] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 146] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 146] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 146] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 146] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 146] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 146] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 146] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 146] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 146] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 146] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 146] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 146] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 146] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 146] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 146] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 146] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 146] Brq: ---------------- -[DEBUG][time= 146] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 146] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 146] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 146] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 146] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 146] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 147] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 147] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 147] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 147] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 147] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 147] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 147] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 147] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 147] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 147] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 147] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 147] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 147] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 147] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 147] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 147] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 147] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 147] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 147] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 147] BPUStage3: flushS3=0 -[DEBUG][time= 147] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 147] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 147] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 147] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 147] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 147] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 147] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 147] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 147] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 147] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 147] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 147] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 147] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 147] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 147] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 147] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 147] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 147] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 147] Dispatch2: regfile 0 from 3 -[DEBUG][time= 147] Dispatch2: regfile 1 from 3 -[DEBUG][time= 147] Dispatch2: regfile 2 from 0 -[DEBUG][time= 147] Dispatch2: regfile 3 from 0 -[DEBUG][time= 147] Dispatch2: regfile 4 from 0 -[DEBUG][time= 147] Dispatch2: regfile 5 from 0 -[DEBUG][time= 147] Dispatch2: regfile 6 from 0 -[DEBUG][time= 147] Dispatch2: regfile 7 from 0 -[DEBUG][time= 147] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 147] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 147] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 147] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 147] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 147] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 147] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 147] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 147] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 147] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 147] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 147] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 147] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 147] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 147] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 147] Roq: CSR block should only happen in s_idle -[DEBUG][time= 147] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 147] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 147] Roq: -------------------------------- -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 147] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 147] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 147] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 147] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 147] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 147] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 147] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 147] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 147] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 147] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 147] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 147] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 147] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 147] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 147] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 147] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 147] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 147] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 147] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 147] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 147] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 147] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 147] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 147] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 147] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 147] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 147] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 147] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 147] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 147] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 147] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 147] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 147] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 147] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 147] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 147] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 147] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 147] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 147] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 147] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 147] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 147] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 147] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 147] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 147] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 147] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 147] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 147] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 147] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 147] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 147] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 147] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 147] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 147] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 147] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 147] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 147] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 147] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 147] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 147] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 147] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 147] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 147] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 147] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 147] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 147] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 147] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 147] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 147] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 147] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 147] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 147] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 147] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 147] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 147] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 147] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 147] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 147] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 147] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 147] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 147] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 147] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 147] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 147] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 147] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 147] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 147] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 147] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 147] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 147] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 147] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 147] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 147] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 147] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 147] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 147] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 147] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 147] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 147] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 147] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 147] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 147] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 147] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 147] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 147] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 147] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 147] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 147] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 147] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 147] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 147] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 147] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 147] Brq: ---------------- -[DEBUG][time= 147] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 147] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 147] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 147] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 147] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 147] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 148] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 148] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 148] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 148] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 148] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 148] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 148] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 148] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 148] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 148] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 148] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 148] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 148] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 148] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 148] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 148] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 148] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 148] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 148] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 148] BPUStage3: flushS3=0 -[DEBUG][time= 148] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 148] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 148] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 148] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 148] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 148] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 148] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 148] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 148] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 148] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 148] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 148] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 148] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 148] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 148] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 148] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 148] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 148] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 148] Dispatch2: regfile 0 from 3 -[DEBUG][time= 148] Dispatch2: regfile 1 from 3 -[DEBUG][time= 148] Dispatch2: regfile 2 from 0 -[DEBUG][time= 148] Dispatch2: regfile 3 from 0 -[DEBUG][time= 148] Dispatch2: regfile 4 from 0 -[DEBUG][time= 148] Dispatch2: regfile 5 from 0 -[DEBUG][time= 148] Dispatch2: regfile 6 from 0 -[DEBUG][time= 148] Dispatch2: regfile 7 from 0 -[DEBUG][time= 148] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 148] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 148] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 148] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 148] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 148] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 148] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 148] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 148] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 148] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 148] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 148] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 148] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 148] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 148] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 148] Roq: CSR block should only happen in s_idle -[DEBUG][time= 148] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 148] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 148] Roq: -------------------------------- -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 148] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 148] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 148] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 148] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 148] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 148] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 148] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 148] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 148] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 148] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 148] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 148] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 148] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 148] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 148] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 148] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 148] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 148] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 148] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 148] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 148] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 148] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 148] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 148] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 148] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 148] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 148] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 148] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 148] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 148] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 148] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 148] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 148] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 148] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 148] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 148] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 148] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 148] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 148] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 148] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 148] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 148] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 148] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 148] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 148] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 148] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 148] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 148] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 148] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 148] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 148] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 148] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 148] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 148] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 148] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 148] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 148] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 148] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 148] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 148] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 148] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 148] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 148] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 148] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 148] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 148] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 148] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 148] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 148] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 148] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 148] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 148] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 148] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 148] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 148] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 148] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 148] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 148] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 148] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 148] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 148] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 148] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 148] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 148] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 148] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 148] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 148] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 148] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 148] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 148] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 148] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 148] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 148] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 148] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 148] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 148] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 148] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 148] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 148] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 148] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 148] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 148] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 148] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 148] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 148] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 148] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 148] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 148] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 148] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 148] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 148] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 148] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 148] Brq: ---------------- -[DEBUG][time= 148] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 148] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 148] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 148] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 148] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 148] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 149] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 149] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 149] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 149] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 149] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 149] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 149] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 149] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 149] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 149] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 149] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 149] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 149] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 149] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 149] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 149] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 149] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 149] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 149] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 149] BPUStage3: flushS3=0 -[DEBUG][time= 149] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 149] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 149] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 149] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 149] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 149] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 149] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 149] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 149] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 149] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 149] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 149] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 149] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 149] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 149] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 149] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 149] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 149] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 149] Dispatch2: regfile 0 from 3 -[DEBUG][time= 149] Dispatch2: regfile 1 from 3 -[DEBUG][time= 149] Dispatch2: regfile 2 from 0 -[DEBUG][time= 149] Dispatch2: regfile 3 from 0 -[DEBUG][time= 149] Dispatch2: regfile 4 from 0 -[DEBUG][time= 149] Dispatch2: regfile 5 from 0 -[DEBUG][time= 149] Dispatch2: regfile 6 from 0 -[DEBUG][time= 149] Dispatch2: regfile 7 from 0 -[DEBUG][time= 149] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 149] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 149] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 149] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 149] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 149] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 149] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 149] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 149] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 149] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 149] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 149] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 149] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 149] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 149] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 149] Roq: CSR block should only happen in s_idle -[DEBUG][time= 149] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 149] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 149] Roq: -------------------------------- -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 149] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 149] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 149] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 149] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 149] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 149] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 149] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 149] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 149] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 149] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 149] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 149] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 149] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 149] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 149] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 149] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 149] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 149] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 149] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 149] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 149] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 149] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 149] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 149] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 149] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 149] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 149] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 149] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 149] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 149] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 149] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 149] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 149] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 149] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 149] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 149] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 149] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 149] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 149] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 149] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 149] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 149] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 149] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 149] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 149] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 149] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 149] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 149] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 149] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 149] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 149] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 149] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 149] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 149] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 149] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 149] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 149] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 149] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 149] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 149] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 149] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 149] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 149] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 149] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 149] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 149] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 149] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 149] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 149] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 149] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 149] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 149] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 149] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 149] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 149] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 149] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 149] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 149] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 149] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 149] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 149] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 149] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 149] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 149] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 149] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 149] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 149] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 149] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 149] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 149] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 149] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 149] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 149] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 149] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 149] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 149] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 149] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 149] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 149] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 149] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 149] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 149] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 149] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 149] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 149] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 149] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 149] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 149] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 149] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 149] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 149] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 149] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 149] Brq: ---------------- -[DEBUG][time= 149] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 149] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 149] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 149] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 149] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 149] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 150] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 150] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 150] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 150] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 150] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 150] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 150] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 150] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 150] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 150] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 150] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 150] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 150] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 150] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 150] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 150] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 150] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 150] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 150] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 150] BPUStage3: flushS3=0 -[DEBUG][time= 150] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 150] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 150] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 150] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 150] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 150] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 150] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 150] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 150] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 150] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 150] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 150] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 150] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 150] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 150] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 150] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 150] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 150] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 150] Dispatch2: regfile 0 from 3 -[DEBUG][time= 150] Dispatch2: regfile 1 from 3 -[DEBUG][time= 150] Dispatch2: regfile 2 from 0 -[DEBUG][time= 150] Dispatch2: regfile 3 from 0 -[DEBUG][time= 150] Dispatch2: regfile 4 from 0 -[DEBUG][time= 150] Dispatch2: regfile 5 from 0 -[DEBUG][time= 150] Dispatch2: regfile 6 from 0 -[DEBUG][time= 150] Dispatch2: regfile 7 from 0 -[DEBUG][time= 150] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 150] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 150] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 150] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 150] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 150] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 150] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 150] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 150] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 150] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 150] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 150] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 150] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 150] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 150] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 150] Roq: CSR block should only happen in s_idle -[DEBUG][time= 150] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 150] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 150] Roq: -------------------------------- -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 150] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 150] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 150] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 150] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 150] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 150] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 150] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 150] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 150] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 150] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 150] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 150] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 150] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 150] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 150] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 150] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 150] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 150] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 150] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 150] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 150] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 150] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 150] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 150] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 150] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 150] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 150] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 150] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 150] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 150] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 150] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 150] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 150] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 150] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 150] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 150] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 150] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 150] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 150] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 150] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 150] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 150] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 150] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 150] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 150] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 150] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 150] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 150] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 150] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 150] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 150] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 150] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 150] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 150] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 150] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 150] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 150] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 150] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 150] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 150] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 150] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 150] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 150] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 150] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 150] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 150] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 150] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 150] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 150] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 150] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 150] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 150] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 150] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 150] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 150] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 150] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 150] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 150] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 150] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 150] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 150] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 150] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 150] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 150] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 150] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 150] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 150] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 150] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 150] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 150] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 150] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 150] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 150] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 150] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 150] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 150] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 150] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 150] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 150] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 150] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 150] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 150] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 150] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 150] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 150] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 150] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 150] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 150] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 150] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 150] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 150] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 150] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 150] Brq: ---------------- -[DEBUG][time= 150] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 150] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 150] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 150] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 150] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 150] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 151] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 151] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 151] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 151] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 151] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 151] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 151] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 151] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 151] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 151] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 151] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 151] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 151] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 151] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 151] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 151] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 151] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 151] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 151] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 151] BPUStage3: flushS3=0 -[DEBUG][time= 151] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 151] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 151] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 151] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 151] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 151] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 151] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 151] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 151] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 151] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 151] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 151] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 151] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 151] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 151] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 151] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 151] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 151] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 151] Dispatch2: regfile 0 from 3 -[DEBUG][time= 151] Dispatch2: regfile 1 from 3 -[DEBUG][time= 151] Dispatch2: regfile 2 from 0 -[DEBUG][time= 151] Dispatch2: regfile 3 from 0 -[DEBUG][time= 151] Dispatch2: regfile 4 from 0 -[DEBUG][time= 151] Dispatch2: regfile 5 from 0 -[DEBUG][time= 151] Dispatch2: regfile 6 from 0 -[DEBUG][time= 151] Dispatch2: regfile 7 from 0 -[DEBUG][time= 151] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 151] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 151] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 151] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 151] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 151] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 151] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 151] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 151] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 151] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 151] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 151] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 151] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 151] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 151] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 151] Roq: CSR block should only happen in s_idle -[DEBUG][time= 151] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 151] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 151] Roq: -------------------------------- -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 151] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 151] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 151] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 151] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 151] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 151] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 151] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 151] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 151] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 151] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 151] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 151] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 151] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 151] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 151] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 151] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 151] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 151] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 151] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 151] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 151] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 151] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 151] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 151] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 151] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 151] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 151] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 151] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 151] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 151] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 151] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 151] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 151] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 151] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 151] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 151] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 151] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 151] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 151] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 151] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 151] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 151] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 151] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 151] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 151] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 151] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 151] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 151] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 151] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 151] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 151] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 151] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 151] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 151] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 151] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 151] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 151] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 151] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 151] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 151] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 151] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 151] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 151] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 151] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 151] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 151] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 151] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 151] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 151] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 151] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 151] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 151] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 151] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 151] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 151] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 151] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 151] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 151] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 151] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 151] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 151] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 151] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 151] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 151] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 151] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 151] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 151] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 151] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 151] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 151] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 151] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 151] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 151] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 151] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 151] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 151] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 151] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 151] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 151] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 151] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 151] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 151] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 151] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 151] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 151] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 151] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 151] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 151] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 151] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 151] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 151] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 151] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 151] Brq: ---------------- -[DEBUG][time= 151] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 151] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 151] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 151] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 151] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 151] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 152] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 152] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 152] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 152] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 152] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 152] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 152] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 152] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 152] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 152] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 152] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 152] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 152] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 152] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 152] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 152] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 152] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 152] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 152] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 152] BPUStage3: flushS3=0 -[DEBUG][time= 152] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 152] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 152] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 152] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 152] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 152] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 152] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 152] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 152] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 152] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 152] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 152] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 152] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 152] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 152] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 152] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 152] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 152] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 152] Dispatch2: regfile 0 from 3 -[DEBUG][time= 152] Dispatch2: regfile 1 from 3 -[DEBUG][time= 152] Dispatch2: regfile 2 from 0 -[DEBUG][time= 152] Dispatch2: regfile 3 from 0 -[DEBUG][time= 152] Dispatch2: regfile 4 from 0 -[DEBUG][time= 152] Dispatch2: regfile 5 from 0 -[DEBUG][time= 152] Dispatch2: regfile 6 from 0 -[DEBUG][time= 152] Dispatch2: regfile 7 from 0 -[DEBUG][time= 152] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 152] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 152] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 152] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 152] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 152] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 152] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 152] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 152] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 152] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 152] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 152] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 152] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 152] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 152] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 152] Roq: CSR block should only happen in s_idle -[DEBUG][time= 152] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 152] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 152] Roq: -------------------------------- -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 152] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 152] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 152] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 152] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 152] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 152] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 152] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 152] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 152] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 152] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 152] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 152] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 152] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 152] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 152] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 152] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 152] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 152] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 152] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 152] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 152] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 152] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 152] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 152] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 152] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 152] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 152] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 152] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 152] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 152] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 152] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 152] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 152] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 152] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 152] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 152] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 152] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 152] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 152] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 152] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 152] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 152] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 152] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 152] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 152] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 152] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 152] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 152] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 152] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 152] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 152] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 152] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 152] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 152] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 152] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 152] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 152] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 152] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 152] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 152] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 152] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 152] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 152] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 152] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 152] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 152] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 152] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 152] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 152] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 152] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 152] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 152] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 152] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 152] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 152] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 152] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 152] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 152] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 152] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 152] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 152] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 152] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 152] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 152] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 152] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 152] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 152] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 152] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 152] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 152] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 152] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 152] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 152] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 152] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 152] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 152] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 152] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 152] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 152] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 152] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 152] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 152] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 152] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 152] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 152] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 152] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 152] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 152] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 152] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 152] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 152] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 152] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 152] Brq: ---------------- -[DEBUG][time= 152] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 152] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 152] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 152] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 152] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 152] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 153] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 153] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 153] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 153] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 153] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 153] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 153] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 153] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 153] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 153] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 153] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 153] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 153] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 153] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 153] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 153] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 153] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 153] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 153] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 153] BPUStage3: flushS3=0 -[DEBUG][time= 153] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 153] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 153] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 153] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 153] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 153] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 153] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 153] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 153] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 153] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 153] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 153] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 153] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 153] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 153] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 153] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 153] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 153] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 153] Dispatch2: regfile 0 from 3 -[DEBUG][time= 153] Dispatch2: regfile 1 from 3 -[DEBUG][time= 153] Dispatch2: regfile 2 from 0 -[DEBUG][time= 153] Dispatch2: regfile 3 from 0 -[DEBUG][time= 153] Dispatch2: regfile 4 from 0 -[DEBUG][time= 153] Dispatch2: regfile 5 from 0 -[DEBUG][time= 153] Dispatch2: regfile 6 from 0 -[DEBUG][time= 153] Dispatch2: regfile 7 from 0 -[DEBUG][time= 153] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 153] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 153] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 153] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 153] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 153] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 153] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 153] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 153] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 153] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 153] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 153] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 153] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 153] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 153] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 153] Roq: CSR block should only happen in s_idle -[DEBUG][time= 153] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 153] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 153] Roq: -------------------------------- -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 153] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 153] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 153] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 153] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 153] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 153] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 153] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 153] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 153] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 153] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 153] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 153] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 153] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 153] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 153] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 153] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 153] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 153] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 153] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 153] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 153] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 153] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 153] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 153] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 153] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 153] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 153] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 153] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 153] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 153] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 153] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 153] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 153] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 153] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 153] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 153] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 153] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 153] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 153] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 153] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 153] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 153] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 153] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 153] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 153] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 153] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 153] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 153] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 153] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 153] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 153] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 153] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 153] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 153] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 153] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 153] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 153] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 153] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 153] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 153] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 153] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 153] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 153] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 153] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 153] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 153] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 153] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 153] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 153] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 153] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 153] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 153] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 153] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 153] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 153] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 153] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 153] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 153] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 153] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 153] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 153] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 153] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 153] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 153] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 153] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 153] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 153] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 153] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 153] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 153] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 153] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 153] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 153] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 153] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 153] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 153] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 153] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 153] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 153] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 153] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 153] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 153] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 153] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 153] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 153] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 153] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 153] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 153] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 153] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 153] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 153] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 153] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 153] Brq: ---------------- -[DEBUG][time= 153] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 153] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 153] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 153] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 153] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 153] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 154] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 154] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 154] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 154] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 154] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 154] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 154] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 154] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 154] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 154] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 154] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 154] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 154] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 154] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 154] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 154] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 154] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 154] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 154] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 154] BPUStage3: flushS3=0 -[DEBUG][time= 154] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 154] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 154] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 154] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 154] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 154] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 154] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 154] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 154] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 154] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 154] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 154] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 154] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 154] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 154] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 154] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 154] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 154] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 154] Dispatch2: regfile 0 from 3 -[DEBUG][time= 154] Dispatch2: regfile 1 from 3 -[DEBUG][time= 154] Dispatch2: regfile 2 from 0 -[DEBUG][time= 154] Dispatch2: regfile 3 from 0 -[DEBUG][time= 154] Dispatch2: regfile 4 from 0 -[DEBUG][time= 154] Dispatch2: regfile 5 from 0 -[DEBUG][time= 154] Dispatch2: regfile 6 from 0 -[DEBUG][time= 154] Dispatch2: regfile 7 from 0 -[DEBUG][time= 154] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 154] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 154] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 154] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 154] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 154] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 154] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 154] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 154] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 154] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 154] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 154] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 154] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 154] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 154] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 154] Roq: CSR block should only happen in s_idle -[DEBUG][time= 154] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 154] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 154] Roq: -------------------------------- -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 154] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 154] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 154] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 154] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 154] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 154] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 154] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 154] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 154] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 154] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 154] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 154] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 154] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 154] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 154] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 154] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 154] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 154] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 154] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 154] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 154] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 154] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 154] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 154] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 154] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 154] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 154] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 154] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 154] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 154] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 154] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 154] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 154] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 154] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 154] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 154] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 154] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 154] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 154] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 154] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 154] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 154] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 154] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 154] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 154] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 154] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 154] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 154] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 154] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 154] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 154] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 154] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 154] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 154] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 154] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 154] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 154] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 154] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 154] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 154] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 154] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 154] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 154] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 154] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 154] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 154] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 154] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 154] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 154] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 154] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 154] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 154] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 154] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 154] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 154] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 154] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 154] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 154] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 154] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 154] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 154] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 154] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 154] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 154] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 154] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 154] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 154] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 154] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 154] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 154] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 154] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 154] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 154] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 154] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 154] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 154] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 154] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 154] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 154] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 154] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 154] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 154] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 154] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 154] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 154] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 154] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 154] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 154] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 154] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 154] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 154] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 154] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 154] Brq: ---------------- -[DEBUG][time= 154] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 154] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 154] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 154] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 154] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 154] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 155] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 155] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 155] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 155] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 155] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 155] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 155] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 155] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 155] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 155] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 155] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 155] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 155] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 155] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 155] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 155] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 155] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 155] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 155] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 155] BPUStage3: flushS3=0 -[DEBUG][time= 155] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 155] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 155] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 155] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 155] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 155] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 155] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 155] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 155] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 155] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 155] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 155] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 155] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 155] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 155] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 155] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 155] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 155] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 155] Dispatch2: regfile 0 from 3 -[DEBUG][time= 155] Dispatch2: regfile 1 from 3 -[DEBUG][time= 155] Dispatch2: regfile 2 from 0 -[DEBUG][time= 155] Dispatch2: regfile 3 from 0 -[DEBUG][time= 155] Dispatch2: regfile 4 from 0 -[DEBUG][time= 155] Dispatch2: regfile 5 from 0 -[DEBUG][time= 155] Dispatch2: regfile 6 from 0 -[DEBUG][time= 155] Dispatch2: regfile 7 from 0 -[DEBUG][time= 155] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 155] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 155] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 155] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 155] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 155] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 155] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 155] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 155] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 155] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 155] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 155] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 155] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 155] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 155] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 155] Roq: CSR block should only happen in s_idle -[DEBUG][time= 155] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 155] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 155] Roq: -------------------------------- -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 155] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 155] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 155] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 155] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 155] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 155] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 155] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 155] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 155] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 155] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 155] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 155] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 155] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 155] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 155] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 155] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 155] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 155] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 155] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 155] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 155] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 155] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 155] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 155] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 155] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 155] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 155] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 155] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 155] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 155] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 155] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 155] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 155] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 155] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 155] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 155] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 155] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 155] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 155] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 155] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 155] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 155] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 155] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 155] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 155] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 155] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 155] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 155] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 155] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 155] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 155] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 155] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 155] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 155] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 155] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 155] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 155] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 155] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 155] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 155] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 155] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 155] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 155] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 155] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 155] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 155] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 155] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 155] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 155] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 155] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 155] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 155] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 155] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 155] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 155] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 155] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 155] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 155] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 155] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 155] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 155] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 155] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 155] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 155] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 155] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 155] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 155] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 155] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 155] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 155] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 155] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 155] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 155] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 155] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 155] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 155] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 155] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 155] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 155] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 155] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 155] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 155] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 155] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 155] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 155] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 155] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 155] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 155] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 155] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 155] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 155] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 155] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 155] Brq: ---------------- -[DEBUG][time= 155] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 155] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 155] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 155] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 155] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 155] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 156] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 156] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 156] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 156] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 156] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 156] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 156] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 156] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 156] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 156] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 156] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 156] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 156] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 156] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 156] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 156] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 156] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 156] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 156] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 156] BPUStage3: flushS3=0 -[DEBUG][time= 156] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 156] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 156] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 156] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 156] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 156] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 156] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 156] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 156] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 156] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 156] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 156] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 156] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 156] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 156] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 156] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 156] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 156] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 156] Dispatch2: regfile 0 from 3 -[DEBUG][time= 156] Dispatch2: regfile 1 from 3 -[DEBUG][time= 156] Dispatch2: regfile 2 from 0 -[DEBUG][time= 156] Dispatch2: regfile 3 from 0 -[DEBUG][time= 156] Dispatch2: regfile 4 from 0 -[DEBUG][time= 156] Dispatch2: regfile 5 from 0 -[DEBUG][time= 156] Dispatch2: regfile 6 from 0 -[DEBUG][time= 156] Dispatch2: regfile 7 from 0 -[DEBUG][time= 156] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 156] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 156] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 156] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 156] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 156] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 156] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 156] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 156] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 156] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 156] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 156] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 156] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 156] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 156] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 156] Roq: CSR block should only happen in s_idle -[DEBUG][time= 156] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 156] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 156] Roq: -------------------------------- -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 156] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 156] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 156] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 156] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 156] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 156] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 156] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 156] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 156] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 156] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 156] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 156] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 156] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 156] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 156] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 156] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 156] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 156] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 156] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 156] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 156] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 156] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 156] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 156] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 156] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 156] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 156] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 156] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 156] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 156] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 156] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 156] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 156] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 156] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 156] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 156] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 156] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 156] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 156] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 156] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 156] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 156] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 156] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 156] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 156] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 156] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 156] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 156] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 156] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 156] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 156] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 156] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 156] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 156] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 156] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 156] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 156] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 156] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 156] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 156] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 156] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 156] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 156] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 156] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 156] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 156] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 156] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 156] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 156] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 156] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 156] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 156] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 156] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 156] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 156] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 156] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 156] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 156] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 156] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 156] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 156] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 156] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 156] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 156] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 156] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 156] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 156] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 156] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 156] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 156] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 156] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 156] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 156] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 156] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 156] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 156] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 156] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 156] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 156] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 156] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 156] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 156] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 156] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 156] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 156] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 156] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 156] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 156] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 156] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 156] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 156] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 156] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 156] Brq: ---------------- -[DEBUG][time= 156] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 156] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 156] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 156] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 156] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 156] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 157] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 157] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 157] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 157] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 157] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 157] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 157] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 157] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 157] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 157] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 157] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 157] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 157] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 157] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 157] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 157] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 157] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 157] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 157] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 157] BPUStage3: flushS3=0 -[DEBUG][time= 157] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 157] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 157] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 157] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 157] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 157] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 157] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 157] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 157] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 157] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 157] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 157] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 157] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 157] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 157] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 157] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 157] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 157] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 157] Dispatch2: regfile 0 from 3 -[DEBUG][time= 157] Dispatch2: regfile 1 from 3 -[DEBUG][time= 157] Dispatch2: regfile 2 from 0 -[DEBUG][time= 157] Dispatch2: regfile 3 from 0 -[DEBUG][time= 157] Dispatch2: regfile 4 from 0 -[DEBUG][time= 157] Dispatch2: regfile 5 from 0 -[DEBUG][time= 157] Dispatch2: regfile 6 from 0 -[DEBUG][time= 157] Dispatch2: regfile 7 from 0 -[DEBUG][time= 157] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 157] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 157] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 157] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 157] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 157] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 157] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 157] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 157] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 157] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 157] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 157] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 157] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 157] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 157] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 157] Roq: CSR block should only happen in s_idle -[DEBUG][time= 157] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 157] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 157] Roq: -------------------------------- -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 157] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 157] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 157] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 157] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 157] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 157] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 157] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 157] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 157] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 157] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 157] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 157] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 157] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 157] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 157] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 157] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 157] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 157] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 157] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 157] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 157] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 157] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 157] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 157] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 157] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 157] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 157] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 157] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 157] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 157] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 157] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 157] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 157] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 157] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 157] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 157] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 157] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 157] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 157] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 157] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 157] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 157] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 157] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 157] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 157] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 157] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 157] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 157] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 157] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 157] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 157] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 157] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 157] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 157] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 157] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 157] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 157] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 157] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 157] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 157] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 157] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 157] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 157] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 157] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 157] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 157] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 157] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 157] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 157] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 157] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 157] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 157] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 157] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 157] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 157] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 157] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 157] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 157] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 157] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 157] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 157] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 157] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 157] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 157] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 157] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 157] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 157] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 157] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 157] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 157] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 157] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 157] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 157] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 157] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 157] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 157] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 157] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 157] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 157] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 157] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 157] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 157] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 157] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 157] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 157] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 157] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 157] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 157] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 157] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 157] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 157] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 157] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 157] Brq: ---------------- -[DEBUG][time= 157] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 157] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 157] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 157] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 157] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 157] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 158] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 158] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 158] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 158] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 158] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 158] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 158] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 158] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 158] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 158] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 158] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 158] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 158] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 158] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 158] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 158] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 158] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 158] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 158] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 158] BPUStage3: flushS3=0 -[DEBUG][time= 158] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 158] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 158] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 158] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 158] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 158] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 158] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 158] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 158] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 158] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 158] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 158] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 158] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 158] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 158] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 158] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 158] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 158] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 158] Dispatch2: regfile 0 from 3 -[DEBUG][time= 158] Dispatch2: regfile 1 from 3 -[DEBUG][time= 158] Dispatch2: regfile 2 from 0 -[DEBUG][time= 158] Dispatch2: regfile 3 from 0 -[DEBUG][time= 158] Dispatch2: regfile 4 from 0 -[DEBUG][time= 158] Dispatch2: regfile 5 from 0 -[DEBUG][time= 158] Dispatch2: regfile 6 from 0 -[DEBUG][time= 158] Dispatch2: regfile 7 from 0 -[DEBUG][time= 158] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 158] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 158] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 158] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 158] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 158] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 158] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 158] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 158] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 158] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 158] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 158] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 158] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 158] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 158] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 158] Roq: CSR block should only happen in s_idle -[DEBUG][time= 158] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 158] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 158] Roq: -------------------------------- -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 158] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 158] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 158] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 158] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 158] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 158] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 158] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 158] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 158] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 158] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 158] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 158] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 158] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 158] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 158] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 158] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 158] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 158] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 158] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 158] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 158] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 158] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 158] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 158] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 158] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 158] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 158] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 158] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 158] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 158] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 158] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 158] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 158] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 158] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 158] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 158] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 158] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 158] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 158] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 158] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 158] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 158] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 158] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 158] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 158] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 158] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 158] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 158] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 158] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 158] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 158] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 158] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 158] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 158] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 158] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 158] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 158] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 158] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 158] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 158] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 158] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 158] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 158] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 158] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 158] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 158] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 158] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 158] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 158] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 158] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 158] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 158] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 158] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 158] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 158] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 158] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 158] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 158] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 158] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 158] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 158] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 158] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 158] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 158] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 158] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 158] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 158] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 158] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 158] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 158] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 158] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 158] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 158] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 158] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 158] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 158] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 158] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 158] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 158] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 158] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 158] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 158] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 158] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 158] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 158] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 158] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 158] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 158] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 158] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 158] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 158] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 158] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 158] Brq: ---------------- -[DEBUG][time= 158] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 158] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 158] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 158] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 158] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 158] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 159] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 159] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 159] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 159] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 159] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 159] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 159] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 159] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 159] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 159] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 159] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 159] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 159] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 159] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 159] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 159] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 159] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 159] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 159] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 159] BPUStage3: flushS3=0 -[DEBUG][time= 159] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 159] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 159] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 159] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 159] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 159] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 159] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 159] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 159] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 159] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 159] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 159] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 159] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 159] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 159] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 159] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 159] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 159] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 159] Dispatch2: regfile 0 from 3 -[DEBUG][time= 159] Dispatch2: regfile 1 from 3 -[DEBUG][time= 159] Dispatch2: regfile 2 from 0 -[DEBUG][time= 159] Dispatch2: regfile 3 from 0 -[DEBUG][time= 159] Dispatch2: regfile 4 from 0 -[DEBUG][time= 159] Dispatch2: regfile 5 from 0 -[DEBUG][time= 159] Dispatch2: regfile 6 from 0 -[DEBUG][time= 159] Dispatch2: regfile 7 from 0 -[DEBUG][time= 159] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 159] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 159] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 159] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 159] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 159] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 159] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 159] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 159] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 159] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 159] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 159] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 159] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 159] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 159] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 159] Roq: CSR block should only happen in s_idle -[DEBUG][time= 159] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 159] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 159] Roq: -------------------------------- -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 159] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 159] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 159] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 159] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 159] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 159] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 159] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 159] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 159] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 159] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 159] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 159] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 159] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 159] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 159] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 159] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 159] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 159] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 159] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 159] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 159] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 159] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 159] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 159] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 159] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 159] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 159] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 159] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 159] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 159] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 159] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 159] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 159] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 159] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 159] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 159] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 159] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 159] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 159] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 159] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 159] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 159] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 159] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 159] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 159] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 159] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 159] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 159] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 159] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 159] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 159] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 159] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 159] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 159] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 159] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 159] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 159] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 159] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 159] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 159] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 159] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 159] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 159] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 159] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 159] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 159] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 159] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 159] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 159] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 159] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 159] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 159] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 159] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 159] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 159] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 159] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 159] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 159] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 159] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 159] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 159] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 159] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 159] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 159] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 159] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 159] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 159] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 159] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 159] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 159] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 159] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 159] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 159] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 159] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 159] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 159] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 159] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 159] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 159] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 159] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 159] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 159] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 159] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 159] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 159] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 159] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 159] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 159] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 159] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 159] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 159] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 159] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 159] Brq: ---------------- -[DEBUG][time= 159] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 159] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 159] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 159] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 159] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 159] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 160] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 160] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 160] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 160] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 160] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 160] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 160] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 160] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 160] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 160] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 160] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 160] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 160] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 160] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 160] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 160] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 160] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 160] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 160] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 160] BPUStage3: flushS3=0 -[DEBUG][time= 160] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 160] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 160] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 160] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 160] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 160] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 160] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 160] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 160] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 160] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 160] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 160] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 160] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 160] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 160] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 160] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 160] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 160] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 160] Dispatch2: regfile 0 from 3 -[DEBUG][time= 160] Dispatch2: regfile 1 from 3 -[DEBUG][time= 160] Dispatch2: regfile 2 from 0 -[DEBUG][time= 160] Dispatch2: regfile 3 from 0 -[DEBUG][time= 160] Dispatch2: regfile 4 from 0 -[DEBUG][time= 160] Dispatch2: regfile 5 from 0 -[DEBUG][time= 160] Dispatch2: regfile 6 from 0 -[DEBUG][time= 160] Dispatch2: regfile 7 from 0 -[DEBUG][time= 160] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 160] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 160] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 160] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 160] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 160] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 160] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 160] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 160] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 160] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 160] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 160] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 160] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 160] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 160] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 160] Roq: CSR block should only happen in s_idle -[DEBUG][time= 160] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 160] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 160] Roq: -------------------------------- -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 160] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 160] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 160] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 160] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 160] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 160] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 160] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 160] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 160] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 160] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 160] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 160] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 160] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 160] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 160] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 160] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 160] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 160] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 160] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 160] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 160] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 160] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 160] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 160] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 160] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 160] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 160] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 160] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 160] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 160] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 160] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 160] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 160] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 160] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 160] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 160] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 160] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 160] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 160] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 160] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 160] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 160] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 160] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 160] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 160] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 160] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 160] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 160] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 160] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 160] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 160] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 160] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 160] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 160] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 160] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 160] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 160] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 160] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 160] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 160] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 160] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 160] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 160] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 160] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 160] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 160] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 160] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 160] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 160] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 160] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 160] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 160] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 160] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 160] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 160] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 160] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 160] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 160] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 160] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 160] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 160] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 160] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 160] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 160] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 160] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 160] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 160] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 160] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 160] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 160] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 160] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 160] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 160] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 160] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 160] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 160] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 160] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 160] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 160] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 160] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 160] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 160] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 160] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 160] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 160] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 160] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 160] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 160] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 160] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 160] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 160] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 160] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 160] Brq: ---------------- -[DEBUG][time= 160] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 160] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 160] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 160] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 160] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 160] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 161] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 161] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 161] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 161] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 161] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 161] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 161] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 161] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 161] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 161] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 161] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 161] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 161] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 161] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 161] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 161] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 161] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 161] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 161] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 161] BPUStage3: flushS3=0 -[DEBUG][time= 161] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 161] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 161] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 161] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 161] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 161] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 161] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 161] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 161] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 161] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 161] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 161] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 161] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 161] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 161] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 161] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 161] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 161] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 161] Dispatch2: regfile 0 from 3 -[DEBUG][time= 161] Dispatch2: regfile 1 from 3 -[DEBUG][time= 161] Dispatch2: regfile 2 from 0 -[DEBUG][time= 161] Dispatch2: regfile 3 from 0 -[DEBUG][time= 161] Dispatch2: regfile 4 from 0 -[DEBUG][time= 161] Dispatch2: regfile 5 from 0 -[DEBUG][time= 161] Dispatch2: regfile 6 from 0 -[DEBUG][time= 161] Dispatch2: regfile 7 from 0 -[DEBUG][time= 161] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 161] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 161] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 161] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 161] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 161] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 161] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 161] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 161] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 161] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 161] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 161] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 161] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 161] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 161] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 161] Roq: CSR block should only happen in s_idle -[DEBUG][time= 161] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 161] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 161] Roq: -------------------------------- -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 161] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 161] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 161] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 161] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 161] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 161] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 161] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 161] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 161] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 161] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 161] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 161] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 161] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 161] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 161] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 161] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 161] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 161] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 161] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 161] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 161] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 161] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 161] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 161] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 161] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 161] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 161] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 161] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 161] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 161] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 161] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 161] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 161] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 161] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 161] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 161] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 161] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 161] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 161] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 161] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 161] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 161] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 161] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 161] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 161] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 161] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 161] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 161] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 161] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 161] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 161] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 161] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 161] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 161] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 161] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 161] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 161] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 161] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 161] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 161] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 161] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 161] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 161] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 161] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 161] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 161] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 161] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 161] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 161] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 161] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 161] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 161] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 161] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 161] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 161] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 161] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 161] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 161] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 161] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 161] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 161] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 161] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 161] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 161] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 161] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 161] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 161] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 161] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 161] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 161] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 161] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 161] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 161] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 161] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 161] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 161] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 161] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 161] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 161] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 161] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 161] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 161] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 161] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 161] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 161] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 161] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 161] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 161] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 161] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 161] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 161] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 161] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 161] Brq: ---------------- -[DEBUG][time= 161] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 161] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 161] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 161] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 161] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 161] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 162] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 162] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 162] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 162] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 162] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 162] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 162] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 162] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 162] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 162] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 162] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 162] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 162] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 162] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 162] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 162] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 162] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 162] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 162] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 162] BPUStage3: flushS3=0 -[DEBUG][time= 162] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 162] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 162] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 162] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 162] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 162] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 162] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 162] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 162] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 162] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 162] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 162] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 162] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 162] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 162] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 162] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 162] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 162] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 162] Dispatch2: regfile 0 from 3 -[DEBUG][time= 162] Dispatch2: regfile 1 from 3 -[DEBUG][time= 162] Dispatch2: regfile 2 from 0 -[DEBUG][time= 162] Dispatch2: regfile 3 from 0 -[DEBUG][time= 162] Dispatch2: regfile 4 from 0 -[DEBUG][time= 162] Dispatch2: regfile 5 from 0 -[DEBUG][time= 162] Dispatch2: regfile 6 from 0 -[DEBUG][time= 162] Dispatch2: regfile 7 from 0 -[DEBUG][time= 162] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 162] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 162] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 162] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 162] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 162] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 162] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 162] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 162] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 162] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 162] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 162] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 162] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 162] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 162] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 162] Roq: CSR block should only happen in s_idle -[DEBUG][time= 162] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 162] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 162] Roq: -------------------------------- -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 162] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 162] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 162] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 162] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 162] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 162] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 162] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 162] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 162] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 162] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 162] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 162] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 162] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 162] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 162] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 162] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 162] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 162] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 162] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 162] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 162] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 162] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 162] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 162] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 162] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 162] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 162] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 162] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 162] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 162] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 162] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 162] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 162] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 162] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 162] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 162] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 162] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 162] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 162] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 162] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 162] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 162] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 162] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 162] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 162] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 162] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 162] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 162] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 162] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 162] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 162] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 162] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 162] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 162] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 162] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 162] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 162] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 162] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 162] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 162] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 162] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 162] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 162] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 162] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 162] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 162] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 162] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 162] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 162] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 162] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 162] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 162] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 162] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 162] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 162] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 162] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 162] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 162] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 162] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 162] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 162] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 162] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 162] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 162] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 162] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 162] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 162] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 162] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 162] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 162] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 162] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 162] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 162] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 162] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 162] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 162] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 162] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 162] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 162] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 162] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 162] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 162] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 162] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 162] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 162] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 162] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 162] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 162] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 162] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 162] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 162] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 162] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 162] Brq: ---------------- -[DEBUG][time= 162] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 162] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 162] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 162] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 162] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 162] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 163] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 163] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 163] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 163] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 163] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 163] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 163] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 163] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 163] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 163] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 163] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 163] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 163] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 163] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 163] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 163] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 163] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 163] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 163] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 163] BPUStage3: flushS3=0 -[DEBUG][time= 163] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 163] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 163] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 163] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 163] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 163] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 163] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 163] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 163] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 163] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 163] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 163] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 163] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 163] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 163] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 163] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 163] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 163] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 163] Dispatch2: regfile 0 from 3 -[DEBUG][time= 163] Dispatch2: regfile 1 from 3 -[DEBUG][time= 163] Dispatch2: regfile 2 from 0 -[DEBUG][time= 163] Dispatch2: regfile 3 from 0 -[DEBUG][time= 163] Dispatch2: regfile 4 from 0 -[DEBUG][time= 163] Dispatch2: regfile 5 from 0 -[DEBUG][time= 163] Dispatch2: regfile 6 from 0 -[DEBUG][time= 163] Dispatch2: regfile 7 from 0 -[DEBUG][time= 163] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 163] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 163] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 163] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 163] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 163] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 163] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 163] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 163] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 163] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 163] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 163] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 163] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 163] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 163] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 163] Roq: CSR block should only happen in s_idle -[DEBUG][time= 163] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 163] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 163] Roq: -------------------------------- -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 163] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 163] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 163] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 163] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 163] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 163] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 163] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 163] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 163] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 163] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 163] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 163] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 163] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 163] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 163] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 163] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 163] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 163] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 163] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 163] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 163] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 163] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 163] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 163] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 163] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 163] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 163] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 163] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 163] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 163] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 163] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 163] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 163] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 163] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 163] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 163] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 163] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 163] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 163] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 163] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 163] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 163] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 163] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 163] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 163] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 163] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 163] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 163] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 163] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 163] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 163] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 163] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 163] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 163] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 163] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 163] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 163] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 163] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 163] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 163] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 163] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 163] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 163] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 163] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 163] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 163] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 163] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 163] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 163] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 163] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 163] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 163] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 163] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 163] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 163] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 163] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 163] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 163] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 163] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 163] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 163] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 163] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 163] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 163] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 163] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 163] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 163] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 163] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 163] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 163] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 163] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 163] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 163] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 163] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 163] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 163] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 163] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 163] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 163] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 163] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 163] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 163] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 163] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 163] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 163] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 163] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 163] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 163] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 163] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 163] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 163] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 163] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 163] Brq: ---------------- -[DEBUG][time= 163] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 163] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 163] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 163] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 163] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 163] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 164] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 164] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 164] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 164] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 164] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 164] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 164] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 164] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 164] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 164] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 164] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 164] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 164] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 164] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 164] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 164] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 164] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 164] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 164] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 164] BPUStage3: flushS3=0 -[DEBUG][time= 164] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 164] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 164] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 164] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 164] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 164] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 164] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 164] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 164] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 164] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 164] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 164] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 164] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 164] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 164] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 164] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 164] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 164] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 164] Dispatch2: regfile 0 from 3 -[DEBUG][time= 164] Dispatch2: regfile 1 from 3 -[DEBUG][time= 164] Dispatch2: regfile 2 from 0 -[DEBUG][time= 164] Dispatch2: regfile 3 from 0 -[DEBUG][time= 164] Dispatch2: regfile 4 from 0 -[DEBUG][time= 164] Dispatch2: regfile 5 from 0 -[DEBUG][time= 164] Dispatch2: regfile 6 from 0 -[DEBUG][time= 164] Dispatch2: regfile 7 from 0 -[DEBUG][time= 164] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 164] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 164] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 164] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 164] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 164] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 164] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 164] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 164] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 164] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 164] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 164] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 164] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 164] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 164] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 164] Roq: CSR block should only happen in s_idle -[DEBUG][time= 164] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 164] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 164] Roq: -------------------------------- -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 164] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 164] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 164] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 164] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 164] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 164] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 164] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 164] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 164] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 164] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 164] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 164] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 164] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 164] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 164] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 164] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 164] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 164] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 164] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 164] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 164] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 164] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 164] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 164] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 164] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 164] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 164] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 164] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 164] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 164] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 164] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 164] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 164] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 164] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 164] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 164] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 164] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 164] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 164] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 164] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 164] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 164] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 164] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 164] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 164] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 164] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 164] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 164] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 164] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 164] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 164] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 164] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 164] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 164] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 164] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 164] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 164] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 164] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 164] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 164] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 164] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 164] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 164] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 164] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 164] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 164] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 164] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 164] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 164] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 164] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 164] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 164] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 164] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 164] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 164] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 164] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 164] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 164] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 164] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 164] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 164] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 164] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 164] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 164] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 164] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 164] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 164] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 164] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 164] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 164] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 164] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 164] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 164] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 164] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 164] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 164] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 164] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 164] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 164] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 164] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 164] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 164] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 164] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 164] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 164] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 164] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 164] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 164] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 164] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 164] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 164] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 164] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 164] Brq: ---------------- -[DEBUG][time= 164] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 164] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 164] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 164] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 164] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 164] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 165] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 165] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 165] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 165] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 165] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 165] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 165] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 165] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 165] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 165] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 165] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 165] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 165] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 165] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 165] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 165] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 165] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 165] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 165] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 165] BPUStage3: flushS3=0 -[DEBUG][time= 165] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 165] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 165] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 165] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 165] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 165] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 165] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 165] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 165] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 165] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 165] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 165] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 165] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 165] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 165] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 165] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 165] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 165] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 165] Dispatch2: regfile 0 from 3 -[DEBUG][time= 165] Dispatch2: regfile 1 from 3 -[DEBUG][time= 165] Dispatch2: regfile 2 from 0 -[DEBUG][time= 165] Dispatch2: regfile 3 from 0 -[DEBUG][time= 165] Dispatch2: regfile 4 from 0 -[DEBUG][time= 165] Dispatch2: regfile 5 from 0 -[DEBUG][time= 165] Dispatch2: regfile 6 from 0 -[DEBUG][time= 165] Dispatch2: regfile 7 from 0 -[DEBUG][time= 165] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 165] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 165] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 165] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 165] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 165] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 165] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 165] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 165] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 165] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 165] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 165] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 165] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 165] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 165] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 165] Roq: CSR block should only happen in s_idle -[DEBUG][time= 165] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 165] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 165] Roq: -------------------------------- -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 165] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 165] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 165] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 165] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 165] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 165] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 165] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 165] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 165] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 165] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 165] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 165] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 165] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 165] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 165] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 165] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 165] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 165] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 165] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 165] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 165] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 165] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 165] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 165] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 165] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 165] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 165] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 165] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 165] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 165] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 165] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 165] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 165] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 165] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 165] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 165] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 165] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 165] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 165] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 165] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 165] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 165] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 165] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 165] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 165] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 165] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 165] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 165] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 165] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 165] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 165] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 165] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 165] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 165] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 165] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 165] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 165] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 165] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 165] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 165] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 165] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 165] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 165] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 165] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 165] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 165] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 165] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 165] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 165] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 165] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 165] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 165] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 165] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 165] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 165] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 165] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 165] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 165] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 165] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 165] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 165] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 165] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 165] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 165] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 165] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 165] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 165] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 165] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 165] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 165] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 165] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 165] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 165] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 165] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 165] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 165] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 165] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 165] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 165] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 165] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 165] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 165] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 165] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 165] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 165] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 165] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 165] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 165] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 165] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 165] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 165] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 165] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 165] Brq: ---------------- -[DEBUG][time= 165] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 165] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 165] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 165] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 165] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 165] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 166] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 166] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 166] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 166] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 166] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 166] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 166] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 166] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 166] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 166] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 166] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 166] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 166] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 166] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 166] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 166] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 166] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 166] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 166] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 166] BPUStage3: flushS3=0 -[DEBUG][time= 166] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 166] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 166] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 166] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 166] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 166] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 166] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 166] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 166] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 166] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 166] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 166] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 166] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 166] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 166] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 166] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 166] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 166] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 166] Dispatch2: regfile 0 from 3 -[DEBUG][time= 166] Dispatch2: regfile 1 from 3 -[DEBUG][time= 166] Dispatch2: regfile 2 from 0 -[DEBUG][time= 166] Dispatch2: regfile 3 from 0 -[DEBUG][time= 166] Dispatch2: regfile 4 from 0 -[DEBUG][time= 166] Dispatch2: regfile 5 from 0 -[DEBUG][time= 166] Dispatch2: regfile 6 from 0 -[DEBUG][time= 166] Dispatch2: regfile 7 from 0 -[DEBUG][time= 166] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 166] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 166] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 166] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 166] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 166] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 166] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 166] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 166] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 166] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 166] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 166] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 166] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 166] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 166] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 166] Roq: CSR block should only happen in s_idle -[DEBUG][time= 166] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 166] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 166] Roq: -------------------------------- -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 166] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 166] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 166] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 166] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 166] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 166] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 166] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 166] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 166] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 166] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 166] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 166] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 166] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 166] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 166] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 166] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 166] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 166] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 166] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 166] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 166] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 166] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 166] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 166] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 166] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 166] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 166] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 166] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 166] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 166] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 166] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 166] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 166] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 166] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 166] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 166] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 166] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 166] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 166] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 166] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 166] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 166] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 166] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 166] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 166] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 166] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 166] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 166] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 166] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 166] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 166] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 166] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 166] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 166] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 166] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 166] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 166] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 166] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 166] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 166] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 166] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 166] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 166] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 166] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 166] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 166] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 166] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 166] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 166] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 166] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 166] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 166] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 166] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 166] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 166] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 166] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 166] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 166] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 166] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 166] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 166] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 166] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 166] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 166] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 166] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 166] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 166] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 166] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 166] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 166] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 166] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 166] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 166] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 166] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 166] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 166] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 166] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 166] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 166] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 166] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 166] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 166] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 166] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 166] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 166] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 166] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 166] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 166] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 166] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 166] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 166] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 166] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 166] Brq: ---------------- -[DEBUG][time= 166] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 166] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 166] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 166] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 166] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 166] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 167] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 167] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 167] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 167] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 167] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 167] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 167] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 167] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 167] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 167] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 167] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 167] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 167] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 167] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 167] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 167] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 167] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 167] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 167] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 167] BPUStage3: flushS3=0 -[DEBUG][time= 167] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 167] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 167] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 167] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 167] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 167] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 167] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 167] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 167] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 167] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 167] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 167] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 167] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 167] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 167] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 167] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 167] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 167] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 167] Dispatch2: regfile 0 from 3 -[DEBUG][time= 167] Dispatch2: regfile 1 from 3 -[DEBUG][time= 167] Dispatch2: regfile 2 from 0 -[DEBUG][time= 167] Dispatch2: regfile 3 from 0 -[DEBUG][time= 167] Dispatch2: regfile 4 from 0 -[DEBUG][time= 167] Dispatch2: regfile 5 from 0 -[DEBUG][time= 167] Dispatch2: regfile 6 from 0 -[DEBUG][time= 167] Dispatch2: regfile 7 from 0 -[DEBUG][time= 167] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 167] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 167] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 167] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 167] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 167] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 167] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 167] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 167] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 167] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 167] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 167] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 167] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 167] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 167] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 167] Roq: CSR block should only happen in s_idle -[DEBUG][time= 167] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 167] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 167] Roq: -------------------------------- -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 167] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 167] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 167] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 167] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 167] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 167] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 167] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 167] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 167] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 167] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 167] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 167] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 167] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 167] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 167] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 167] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 167] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 167] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 167] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 167] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 167] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 167] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 167] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 167] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 167] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 167] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 167] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 167] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 167] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 167] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 167] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 167] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 167] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 167] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 167] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 167] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 167] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 167] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 167] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 167] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 167] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 167] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 167] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 167] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 167] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 167] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 167] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 167] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 167] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 167] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 167] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 167] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 167] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 167] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 167] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 167] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 167] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 167] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 167] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 167] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 167] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 167] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 167] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 167] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 167] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 167] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 167] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 167] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 167] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 167] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 167] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 167] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 167] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 167] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 167] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 167] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 167] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 167] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 167] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 167] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 167] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 167] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 167] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 167] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 167] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 167] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 167] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 167] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 167] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 167] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 167] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 167] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 167] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 167] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 167] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 167] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 167] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 167] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 167] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 167] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 167] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 167] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 167] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 167] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 167] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 167] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 167] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 167] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 167] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 167] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 167] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 167] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 167] Brq: ---------------- -[DEBUG][time= 167] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 167] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 167] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 167] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 167] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 167] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 168] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 168] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 168] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 168] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 168] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 168] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 168] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 168] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 168] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 168] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 168] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 168] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 168] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 168] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 168] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 168] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 168] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 168] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 168] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 168] BPUStage3: flushS3=0 -[DEBUG][time= 168] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 168] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 168] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 168] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 168] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 168] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 168] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 168] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 168] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 168] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 168] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 168] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 168] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 168] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 168] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 168] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 168] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 168] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 168] Dispatch2: regfile 0 from 3 -[DEBUG][time= 168] Dispatch2: regfile 1 from 3 -[DEBUG][time= 168] Dispatch2: regfile 2 from 0 -[DEBUG][time= 168] Dispatch2: regfile 3 from 0 -[DEBUG][time= 168] Dispatch2: regfile 4 from 0 -[DEBUG][time= 168] Dispatch2: regfile 5 from 0 -[DEBUG][time= 168] Dispatch2: regfile 6 from 0 -[DEBUG][time= 168] Dispatch2: regfile 7 from 0 -[DEBUG][time= 168] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 168] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 168] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 168] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 168] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 168] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 168] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 168] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 168] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 168] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 168] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 168] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 168] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 168] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 168] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 168] Roq: CSR block should only happen in s_idle -[DEBUG][time= 168] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 168] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 168] Roq: -------------------------------- -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 168] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 168] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 168] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 168] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 168] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 168] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 168] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 168] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 168] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 168] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 168] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 168] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 168] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 168] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 168] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 168] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 168] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 168] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 168] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 168] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 168] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 168] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 168] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 168] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 168] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 168] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 168] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 168] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 168] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 168] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 168] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 168] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 168] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 168] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 168] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 168] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 168] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 168] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 168] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 168] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 168] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 168] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 168] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 168] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 168] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 168] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 168] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 168] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 168] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 168] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 168] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 168] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 168] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 168] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 168] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 168] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 168] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 168] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 168] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 168] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 168] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 168] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 168] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 168] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 168] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 168] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 168] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 168] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 168] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 168] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 168] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 168] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 168] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 168] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 168] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 168] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 168] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 168] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 168] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 168] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 168] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 168] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 168] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 168] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 168] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 168] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 168] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 168] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 168] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 168] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 168] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 168] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 168] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 168] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 168] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 168] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 168] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 168] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 168] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 168] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 168] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 168] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 168] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 168] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 168] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 168] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 168] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 168] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 168] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 168] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 168] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 168] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 168] Brq: ---------------- -[DEBUG][time= 168] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 168] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 168] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 168] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 168] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 168] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 169] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 169] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 169] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 169] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 169] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 169] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 169] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 169] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 169] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 169] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 169] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 169] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 169] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 169] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 169] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 169] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 169] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 169] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 169] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 169] BPUStage3: flushS3=0 -[DEBUG][time= 169] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 169] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 169] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 169] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 169] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 169] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 169] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 169] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 169] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 169] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 169] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 169] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 169] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 169] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 169] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 169] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 169] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 169] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 169] Dispatch2: regfile 0 from 3 -[DEBUG][time= 169] Dispatch2: regfile 1 from 3 -[DEBUG][time= 169] Dispatch2: regfile 2 from 0 -[DEBUG][time= 169] Dispatch2: regfile 3 from 0 -[DEBUG][time= 169] Dispatch2: regfile 4 from 0 -[DEBUG][time= 169] Dispatch2: regfile 5 from 0 -[DEBUG][time= 169] Dispatch2: regfile 6 from 0 -[DEBUG][time= 169] Dispatch2: regfile 7 from 0 -[DEBUG][time= 169] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 169] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 169] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 169] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 169] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 169] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 169] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 169] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 169] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 169] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 169] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 169] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 169] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 169] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 169] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 169] Roq: CSR block should only happen in s_idle -[DEBUG][time= 169] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 169] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 169] Roq: -------------------------------- -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 169] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 169] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 169] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 169] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 169] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 169] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 169] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 169] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 169] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 169] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 169] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 169] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 169] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 169] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 169] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 169] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 169] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 169] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 169] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 169] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 169] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 169] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 169] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 169] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 169] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 169] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 169] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 169] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 169] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 169] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 169] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 169] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 169] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 169] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 169] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 169] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 169] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 169] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 169] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 169] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 169] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 169] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 169] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 169] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 169] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 169] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 169] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 169] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 169] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 169] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 169] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 169] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 169] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 169] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 169] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 169] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 169] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 169] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 169] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 169] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 169] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 169] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 169] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 169] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 169] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 169] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 169] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 169] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 169] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 169] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 169] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 169] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 169] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 169] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 169] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 169] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 169] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 169] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 169] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 169] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 169] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 169] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 169] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 169] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 169] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 169] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 169] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 169] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 169] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 169] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 169] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 169] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 169] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 169] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 169] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 169] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 169] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 169] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 169] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 169] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 169] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 169] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 169] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 169] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 169] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 169] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 169] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 169] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 169] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 169] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 169] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 169] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 169] Brq: ---------------- -[DEBUG][time= 169] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 169] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 169] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 169] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 169] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 169] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 170] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 170] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 170] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 170] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 170] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 170] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 170] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 170] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 170] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 170] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 170] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 170] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 170] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 170] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 170] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 170] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 170] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 170] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 170] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 170] BPUStage3: flushS3=0 -[DEBUG][time= 170] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 170] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 170] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 170] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 170] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 170] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 170] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 170] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 170] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 170] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 170] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 170] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 170] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 170] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 170] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 170] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 170] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 170] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 170] Dispatch2: regfile 0 from 3 -[DEBUG][time= 170] Dispatch2: regfile 1 from 3 -[DEBUG][time= 170] Dispatch2: regfile 2 from 0 -[DEBUG][time= 170] Dispatch2: regfile 3 from 0 -[DEBUG][time= 170] Dispatch2: regfile 4 from 0 -[DEBUG][time= 170] Dispatch2: regfile 5 from 0 -[DEBUG][time= 170] Dispatch2: regfile 6 from 0 -[DEBUG][time= 170] Dispatch2: regfile 7 from 0 -[DEBUG][time= 170] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 170] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 170] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 170] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 170] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 170] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 170] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 170] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 170] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 170] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 170] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 170] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 170] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 170] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 170] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 170] Roq: CSR block should only happen in s_idle -[DEBUG][time= 170] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 170] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 170] Roq: -------------------------------- -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 170] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 170] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 170] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 170] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 170] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 170] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 170] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 170] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 170] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 170] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 170] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 170] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 170] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 170] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 170] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 170] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 170] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 170] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 170] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 170] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 170] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 170] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 170] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 170] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 170] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 170] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 170] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 170] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 170] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 170] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 170] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 170] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 170] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 170] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 170] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 170] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 170] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 170] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 170] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 170] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 170] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 170] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 170] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 170] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 170] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 170] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 170] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 170] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 170] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 170] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 170] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 170] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 170] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 170] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 170] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 170] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 170] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 170] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 170] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 170] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 170] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 170] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 170] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 170] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 170] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 170] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 170] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 170] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 170] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 170] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 170] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 170] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 170] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 170] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 170] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 170] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 170] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 170] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 170] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 170] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 170] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 170] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 170] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 170] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 170] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 170] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 170] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 170] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 170] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 170] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 170] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 170] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 170] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 170] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 170] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 170] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 170] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 170] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 170] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 170] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 170] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 170] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 170] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 170] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 170] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 170] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 170] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 170] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 170] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 170] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 170] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 170] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 170] Brq: ---------------- -[DEBUG][time= 170] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 170] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 170] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 170] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 170] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 170] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 171] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 171] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 171] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 171] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 171] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 171] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 171] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 171] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 171] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 171] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 171] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 171] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 171] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 171] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 171] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 171] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 171] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 171] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 171] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 171] BPUStage3: flushS3=0 -[DEBUG][time= 171] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 171] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 171] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 171] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 171] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 171] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 171] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 171] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 171] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 171] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 171] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 171] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 171] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 171] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 171] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 171] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 171] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 171] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 171] Dispatch2: regfile 0 from 3 -[DEBUG][time= 171] Dispatch2: regfile 1 from 3 -[DEBUG][time= 171] Dispatch2: regfile 2 from 0 -[DEBUG][time= 171] Dispatch2: regfile 3 from 0 -[DEBUG][time= 171] Dispatch2: regfile 4 from 0 -[DEBUG][time= 171] Dispatch2: regfile 5 from 0 -[DEBUG][time= 171] Dispatch2: regfile 6 from 0 -[DEBUG][time= 171] Dispatch2: regfile 7 from 0 -[DEBUG][time= 171] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 171] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 171] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 171] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 171] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 171] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 171] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 171] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 171] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 171] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 171] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 171] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 171] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 171] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 171] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 171] Roq: CSR block should only happen in s_idle -[DEBUG][time= 171] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 171] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 171] Roq: -------------------------------- -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 171] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 171] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 171] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 171] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 171] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 171] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 171] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 171] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 171] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 171] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 171] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 171] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 171] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 171] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 171] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 171] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 171] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 171] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 171] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 171] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 171] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 171] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 171] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 171] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 171] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 171] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 171] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 171] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 171] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 171] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 171] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 171] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 171] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 171] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 171] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 171] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 171] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 171] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 171] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 171] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 171] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 171] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 171] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 171] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 171] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 171] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 171] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 171] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 171] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 171] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 171] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 171] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 171] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 171] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 171] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 171] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 171] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 171] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 171] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 171] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 171] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 171] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 171] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 171] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 171] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 171] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 171] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 171] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 171] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 171] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 171] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 171] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 171] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 171] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 171] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 171] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 171] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 171] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 171] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 171] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 171] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 171] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 171] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 171] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 171] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 171] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 171] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 171] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 171] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 171] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 171] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 171] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 171] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 171] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 171] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 171] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 171] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 171] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 171] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 171] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 171] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 171] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 171] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 171] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 171] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 171] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 171] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 171] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 171] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 171] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 171] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 171] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 171] Brq: ---------------- -[DEBUG][time= 171] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 171] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 171] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 171] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 171] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 171] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 172] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 172] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 172] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 172] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 172] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 172] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 172] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 172] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 172] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 172] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 172] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 172] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 172] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 172] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 172] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 172] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 172] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 172] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 172] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 172] BPUStage3: flushS3=0 -[DEBUG][time= 172] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 172] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 172] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 172] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 172] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 172] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 172] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 172] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 172] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 172] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 172] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 172] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 172] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 172] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 172] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 172] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 172] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 172] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 172] Dispatch2: regfile 0 from 3 -[DEBUG][time= 172] Dispatch2: regfile 1 from 3 -[DEBUG][time= 172] Dispatch2: regfile 2 from 0 -[DEBUG][time= 172] Dispatch2: regfile 3 from 0 -[DEBUG][time= 172] Dispatch2: regfile 4 from 0 -[DEBUG][time= 172] Dispatch2: regfile 5 from 0 -[DEBUG][time= 172] Dispatch2: regfile 6 from 0 -[DEBUG][time= 172] Dispatch2: regfile 7 from 0 -[DEBUG][time= 172] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 172] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 172] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 172] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 172] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 172] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 172] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 172] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 172] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 172] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 172] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 172] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 172] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 172] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 172] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 172] Roq: CSR block should only happen in s_idle -[DEBUG][time= 172] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 172] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 172] Roq: -------------------------------- -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 172] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 172] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 172] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 172] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 172] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 172] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 172] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 172] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 172] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 172] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 172] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 172] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 172] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 172] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 172] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 172] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 172] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 172] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 172] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 172] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 172] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 172] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 172] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 172] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 172] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 172] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 172] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 172] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 172] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 172] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 172] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 172] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 172] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 172] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 172] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 172] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 172] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 172] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 172] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 172] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 172] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 172] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 172] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 172] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 172] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 172] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 172] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 172] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 172] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 172] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 172] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 172] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 172] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 172] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 172] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 172] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 172] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 172] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 172] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 172] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 172] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 172] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 172] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 172] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 172] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 172] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 172] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 172] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 172] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 172] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 172] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 172] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 172] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 172] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 172] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 172] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 172] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 172] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 172] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 172] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 172] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 172] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 172] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 172] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 172] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 172] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 172] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 172] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 172] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 172] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 172] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 172] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 172] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 172] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 172] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 172] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 172] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 172] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 172] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 172] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 172] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 172] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 172] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 172] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 172] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 172] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 172] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 172] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 172] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 172] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 172] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 172] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 172] Brq: ---------------- -[DEBUG][time= 172] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 172] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 172] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 172] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 172] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 172] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 173] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 173] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 173] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 173] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 173] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 173] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 173] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 173] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 173] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 173] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 173] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 173] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 173] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 173] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 173] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 173] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 173] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 173] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 173] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 173] BPUStage3: flushS3=0 -[DEBUG][time= 173] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 173] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 173] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 173] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 173] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 173] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 173] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 173] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 173] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 173] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 173] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 173] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 173] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 173] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 173] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 173] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 173] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 173] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 173] Dispatch2: regfile 0 from 3 -[DEBUG][time= 173] Dispatch2: regfile 1 from 3 -[DEBUG][time= 173] Dispatch2: regfile 2 from 0 -[DEBUG][time= 173] Dispatch2: regfile 3 from 0 -[DEBUG][time= 173] Dispatch2: regfile 4 from 0 -[DEBUG][time= 173] Dispatch2: regfile 5 from 0 -[DEBUG][time= 173] Dispatch2: regfile 6 from 0 -[DEBUG][time= 173] Dispatch2: regfile 7 from 0 -[DEBUG][time= 173] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 173] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 173] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 173] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 173] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 173] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 173] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 173] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 173] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 173] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 173] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 173] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 173] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 173] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 173] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 173] Roq: CSR block should only happen in s_idle -[DEBUG][time= 173] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 173] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 173] Roq: -------------------------------- -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 173] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 173] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 173] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 173] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 173] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 173] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 173] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 173] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 173] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 173] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 173] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 173] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 173] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 173] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 173] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 173] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 173] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 173] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 173] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 173] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 173] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 173] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 173] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 173] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 173] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 173] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 173] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 173] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 173] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 173] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 173] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 173] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 173] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 173] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 173] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 173] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 173] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 173] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 173] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 173] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 173] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 173] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 173] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 173] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 173] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 173] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 173] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 173] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 173] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 173] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 173] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 173] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 173] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 173] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 173] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 173] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 173] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 173] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 173] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 173] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 173] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 173] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 173] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 173] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 173] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 173] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 173] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 173] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 173] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 173] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 173] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 173] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 173] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 173] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 173] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 173] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 173] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 173] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 173] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 173] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 173] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 173] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 173] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 173] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 173] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 173] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 173] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 173] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 173] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 173] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 173] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 173] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 173] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 173] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 173] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 173] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 173] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 173] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 173] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 173] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 173] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 173] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 173] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 173] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 173] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 173] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 173] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 173] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 173] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 173] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 173] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 173] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 173] Brq: ---------------- -[DEBUG][time= 173] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 173] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 173] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 173] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 173] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 173] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 174] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 174] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 174] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 174] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 174] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 174] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 174] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 174] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 174] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 174] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 174] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 174] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 174] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 174] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 174] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 174] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 174] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 174] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 174] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 174] BPUStage3: flushS3=0 -[DEBUG][time= 174] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 174] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 174] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 174] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 174] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 174] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 174] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 174] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 174] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 174] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 174] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 174] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 174] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 174] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 174] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 174] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 174] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 174] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 174] Dispatch2: regfile 0 from 3 -[DEBUG][time= 174] Dispatch2: regfile 1 from 3 -[DEBUG][time= 174] Dispatch2: regfile 2 from 0 -[DEBUG][time= 174] Dispatch2: regfile 3 from 0 -[DEBUG][time= 174] Dispatch2: regfile 4 from 0 -[DEBUG][time= 174] Dispatch2: regfile 5 from 0 -[DEBUG][time= 174] Dispatch2: regfile 6 from 0 -[DEBUG][time= 174] Dispatch2: regfile 7 from 0 -[DEBUG][time= 174] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 174] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 174] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 174] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 174] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 174] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 174] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 174] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 174] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 174] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 174] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 174] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 174] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 174] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 174] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 174] Roq: CSR block should only happen in s_idle -[DEBUG][time= 174] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 174] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 174] Roq: -------------------------------- -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 174] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 174] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 174] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 174] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 174] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 174] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 174] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 174] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 174] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 174] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 174] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 174] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 174] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 174] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 174] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 174] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 174] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 174] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 174] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 174] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 174] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 174] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 174] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 174] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 174] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 174] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 174] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 174] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 174] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 174] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 174] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 174] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 174] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 174] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 174] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 174] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 174] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 174] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 174] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 174] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 174] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 174] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 174] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 174] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 174] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 174] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 174] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 174] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 174] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 174] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 174] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 174] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 174] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 174] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 174] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 174] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 174] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 174] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 174] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 174] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 174] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 174] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 174] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 174] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 174] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 174] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 174] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 174] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 174] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 174] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 174] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 174] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 174] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 174] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 174] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 174] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 174] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 174] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 174] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 174] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 174] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 174] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 174] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 174] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 174] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 174] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 174] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 174] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 174] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 174] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 174] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 174] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 174] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 174] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 174] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 174] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 174] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 174] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 174] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 174] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 174] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 174] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 174] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 174] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 174] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 174] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 174] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 174] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 174] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 174] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 174] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 174] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 174] Brq: ---------------- -[DEBUG][time= 174] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 174] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 174] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 174] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 174] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 174] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 175] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 175] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 175] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 175] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 175] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 175] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 175] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 175] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 175] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 175] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 175] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 175] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 175] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 175] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 175] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 175] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 175] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 175] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 175] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 175] BPUStage3: flushS3=0 -[DEBUG][time= 175] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 175] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 175] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 175] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 175] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 175] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 175] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 175] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 175] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 175] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 175] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 175] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 175] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 175] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 175] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 175] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 175] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 175] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 175] Dispatch2: regfile 0 from 3 -[DEBUG][time= 175] Dispatch2: regfile 1 from 3 -[DEBUG][time= 175] Dispatch2: regfile 2 from 0 -[DEBUG][time= 175] Dispatch2: regfile 3 from 0 -[DEBUG][time= 175] Dispatch2: regfile 4 from 0 -[DEBUG][time= 175] Dispatch2: regfile 5 from 0 -[DEBUG][time= 175] Dispatch2: regfile 6 from 0 -[DEBUG][time= 175] Dispatch2: regfile 7 from 0 -[DEBUG][time= 175] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 175] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 175] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 175] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 175] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 175] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 175] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 175] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 175] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 175] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 175] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 175] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 175] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 175] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 175] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 175] Roq: CSR block should only happen in s_idle -[DEBUG][time= 175] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 175] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 175] Roq: -------------------------------- -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 175] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 175] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 175] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 175] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 175] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 175] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 175] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 175] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 175] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 175] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 175] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 175] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 175] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 175] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 175] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 175] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 175] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 175] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 175] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 175] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 175] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 175] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 175] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 175] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 175] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 175] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 175] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 175] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 175] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 175] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 175] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 175] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 175] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 175] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 175] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 175] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 175] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 175] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 175] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 175] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 175] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 175] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 175] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 175] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 175] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 175] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 175] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 175] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 175] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 175] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 175] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 175] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 175] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 175] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 175] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 175] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 175] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 175] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 175] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 175] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 175] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 175] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 175] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 175] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 175] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 175] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 175] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 175] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 175] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 175] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 175] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 175] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 175] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 175] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 175] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 175] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 175] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 175] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 175] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 175] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 175] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 175] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 175] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 175] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 175] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 175] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 175] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 175] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 175] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 175] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 175] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 175] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 175] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 175] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 175] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 175] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 175] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 175] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 175] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 175] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 175] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 175] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 175] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 175] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 175] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 175] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 175] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 175] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 175] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 175] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 175] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 175] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 175] Brq: ---------------- -[DEBUG][time= 175] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 175] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 175] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 175] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 175] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 175] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 176] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 176] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 176] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 176] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 176] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 176] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 176] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 176] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 176] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 176] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 176] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 176] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 176] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 176] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 176] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 176] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 176] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 176] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 176] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 176] BPUStage3: flushS3=0 -[DEBUG][time= 176] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 176] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 176] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 176] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 176] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 176] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 176] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 176] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 176] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 176] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 176] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 176] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 176] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 176] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 176] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 176] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 176] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 176] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 176] Dispatch2: regfile 0 from 3 -[DEBUG][time= 176] Dispatch2: regfile 1 from 3 -[DEBUG][time= 176] Dispatch2: regfile 2 from 0 -[DEBUG][time= 176] Dispatch2: regfile 3 from 0 -[DEBUG][time= 176] Dispatch2: regfile 4 from 0 -[DEBUG][time= 176] Dispatch2: regfile 5 from 0 -[DEBUG][time= 176] Dispatch2: regfile 6 from 0 -[DEBUG][time= 176] Dispatch2: regfile 7 from 0 -[DEBUG][time= 176] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 176] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 176] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 176] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 176] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 176] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 176] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 176] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 176] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 176] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 176] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 176] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 176] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 176] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 176] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 176] Roq: CSR block should only happen in s_idle -[DEBUG][time= 176] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 176] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 176] Roq: -------------------------------- -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 176] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 176] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 176] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 176] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 176] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 176] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 176] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 176] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 176] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 176] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 176] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 176] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 176] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 176] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 176] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 176] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 176] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 176] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 176] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 176] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 176] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 176] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 176] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 176] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 176] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 176] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 176] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 176] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 176] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 176] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 176] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 176] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 176] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 176] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 176] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 176] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 176] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 176] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 176] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 176] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 176] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 176] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 176] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 176] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 176] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 176] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 176] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 176] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 176] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 176] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 176] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 176] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 176] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 176] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 176] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 176] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 176] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 176] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 176] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 176] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 176] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 176] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 176] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 176] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 176] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 176] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 176] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 176] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 176] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 176] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 176] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 176] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 176] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 176] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 176] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 176] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 176] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 176] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 176] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 176] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 176] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 176] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 176] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 176] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 176] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 176] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 176] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 176] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 176] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 176] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 176] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 176] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 176] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 176] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 176] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 176] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 176] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 176] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 176] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 176] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 176] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 176] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 176] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 176] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 176] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 176] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 176] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 176] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 176] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 176] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 176] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 176] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 176] Brq: ---------------- -[DEBUG][time= 176] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 176] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 176] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 176] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 176] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 176] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 177] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 177] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 177] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 177] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 177] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 177] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 177] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 177] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 177] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 177] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 177] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 177] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 177] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 177] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 177] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 177] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 177] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 177] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 177] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 177] BPUStage3: flushS3=0 -[DEBUG][time= 177] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 177] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 177] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 177] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 177] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 177] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 177] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 177] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 177] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 177] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 177] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 177] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 177] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 177] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 177] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 177] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 177] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 177] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 177] Dispatch2: regfile 0 from 3 -[DEBUG][time= 177] Dispatch2: regfile 1 from 3 -[DEBUG][time= 177] Dispatch2: regfile 2 from 0 -[DEBUG][time= 177] Dispatch2: regfile 3 from 0 -[DEBUG][time= 177] Dispatch2: regfile 4 from 0 -[DEBUG][time= 177] Dispatch2: regfile 5 from 0 -[DEBUG][time= 177] Dispatch2: regfile 6 from 0 -[DEBUG][time= 177] Dispatch2: regfile 7 from 0 -[DEBUG][time= 177] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 177] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 177] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 177] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 177] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 177] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 177] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 177] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 177] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 177] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 177] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 177] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 177] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 177] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 177] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 177] Roq: CSR block should only happen in s_idle -[DEBUG][time= 177] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 177] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 177] Roq: -------------------------------- -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 177] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 177] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 177] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 177] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 177] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 177] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 177] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 177] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 177] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 177] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 177] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 177] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 177] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 177] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 177] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 177] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 177] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 177] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 177] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 177] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 177] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 177] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 177] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 177] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 177] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 177] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 177] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 177] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 177] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 177] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 177] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 177] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 177] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 177] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 177] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 177] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 177] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 177] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 177] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 177] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 177] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 177] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 177] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 177] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 177] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 177] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 177] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 177] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 177] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 177] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 177] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 177] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 177] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 177] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 177] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 177] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 177] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 177] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 177] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 177] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 177] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 177] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 177] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 177] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 177] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 177] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 177] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 177] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 177] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 177] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 177] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 177] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 177] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 177] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 177] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 177] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 177] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 177] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 177] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 177] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 177] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 177] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 177] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 177] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 177] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 177] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 177] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 177] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 177] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 177] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 177] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 177] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 177] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 177] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 177] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 177] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 177] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 177] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 177] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 177] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 177] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 177] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 177] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 177] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 177] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 177] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 177] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 177] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 177] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 177] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 177] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 177] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 177] Brq: ---------------- -[DEBUG][time= 177] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 177] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 177] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 177] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 177] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 177] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 178] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 178] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 178] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 178] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 178] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 178] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 178] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 178] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 178] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 178] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 178] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 178] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 178] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 178] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 178] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 178] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 178] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 178] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 178] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 178] BPUStage3: flushS3=0 -[DEBUG][time= 178] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 178] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 178] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 178] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 178] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 178] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 178] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 178] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 178] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 178] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 178] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 178] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 178] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 178] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 178] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 178] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 178] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 178] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 178] Dispatch2: regfile 0 from 3 -[DEBUG][time= 178] Dispatch2: regfile 1 from 3 -[DEBUG][time= 178] Dispatch2: regfile 2 from 0 -[DEBUG][time= 178] Dispatch2: regfile 3 from 0 -[DEBUG][time= 178] Dispatch2: regfile 4 from 0 -[DEBUG][time= 178] Dispatch2: regfile 5 from 0 -[DEBUG][time= 178] Dispatch2: regfile 6 from 0 -[DEBUG][time= 178] Dispatch2: regfile 7 from 0 -[DEBUG][time= 178] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 178] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 178] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 178] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 178] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 178] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 178] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 178] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 178] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 178] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 178] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 178] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 178] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 178] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 178] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 178] Roq: CSR block should only happen in s_idle -[DEBUG][time= 178] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 178] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 178] Roq: -------------------------------- -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 178] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 178] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 178] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 178] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 178] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 178] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 178] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 178] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 178] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 178] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 178] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 178] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 178] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 178] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 178] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 178] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 178] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 178] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 178] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 178] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 178] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 178] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 178] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 178] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 178] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 178] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 178] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 178] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 178] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 178] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 178] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 178] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 178] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 178] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 178] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 178] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 178] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 178] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 178] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 178] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 178] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 178] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 178] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 178] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 178] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 178] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 178] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 178] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 178] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 178] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 178] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 178] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 178] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 178] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 178] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 178] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 178] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 178] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 178] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 178] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 178] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 178] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 178] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 178] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 178] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 178] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 178] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 178] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 178] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 178] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 178] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 178] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 178] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 178] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 178] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 178] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 178] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 178] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 178] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 178] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 178] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 178] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 178] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 178] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 178] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 178] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 178] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 178] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 178] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 178] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 178] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 178] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 178] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 178] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 178] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 178] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 178] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 178] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 178] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 178] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 178] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 178] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 178] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 178] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 178] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 178] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 178] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 178] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 178] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 178] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 178] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 178] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 178] Brq: ---------------- -[DEBUG][time= 178] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 178] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 178] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 178] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 178] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 178] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 179] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 179] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 179] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 179] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 179] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 179] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 179] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 179] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 179] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 179] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 179] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 179] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 179] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 179] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 179] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 179] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 179] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 179] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 179] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 179] BPUStage3: flushS3=0 -[DEBUG][time= 179] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 179] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 179] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 179] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 179] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 179] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 179] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 179] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 179] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 179] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 179] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 179] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 179] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 179] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 179] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 179] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 179] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 179] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 179] Dispatch2: regfile 0 from 3 -[DEBUG][time= 179] Dispatch2: regfile 1 from 3 -[DEBUG][time= 179] Dispatch2: regfile 2 from 0 -[DEBUG][time= 179] Dispatch2: regfile 3 from 0 -[DEBUG][time= 179] Dispatch2: regfile 4 from 0 -[DEBUG][time= 179] Dispatch2: regfile 5 from 0 -[DEBUG][time= 179] Dispatch2: regfile 6 from 0 -[DEBUG][time= 179] Dispatch2: regfile 7 from 0 -[DEBUG][time= 179] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 179] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 179] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 179] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 179] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 179] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 179] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 179] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 179] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 179] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 179] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 179] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 179] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 179] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 179] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 179] Roq: CSR block should only happen in s_idle -[DEBUG][time= 179] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 179] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 179] Roq: -------------------------------- -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 179] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 179] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 179] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 179] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 179] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 179] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 179] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 179] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 179] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 179] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 179] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 179] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 179] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 179] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 179] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 179] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 179] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 179] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 179] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 179] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 179] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 179] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 179] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 179] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 179] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 179] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 179] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 179] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 179] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 179] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 179] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 179] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 179] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 179] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 179] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 179] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 179] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 179] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 179] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 179] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 179] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 179] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 179] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 179] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 179] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 179] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 179] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 179] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 179] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 179] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 179] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 179] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 179] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 179] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 179] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 179] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 179] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 179] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 179] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 179] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 179] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 179] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 179] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 179] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 179] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 179] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 179] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 179] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 179] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 179] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 179] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 179] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 179] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 179] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 179] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 179] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 179] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 179] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 179] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 179] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 179] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 179] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 179] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 179] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 179] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 179] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 179] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 179] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 179] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 179] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 179] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 179] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 179] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 179] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 179] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 179] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 179] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 179] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 179] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 179] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 179] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 179] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 179] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 179] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 179] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 179] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 179] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 179] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 179] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 179] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 179] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 179] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 179] Brq: ---------------- -[DEBUG][time= 179] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 179] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 179] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 179] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 179] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 179] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 180] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 180] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 180] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 180] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 180] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 180] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 180] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 180] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 180] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 180] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 180] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 180] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 180] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 180] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 180] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 180] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 180] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 180] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 180] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 180] BPUStage3: flushS3=0 -[DEBUG][time= 180] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 180] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 180] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 180] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 180] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 180] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 180] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 180] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 180] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 180] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 180] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 180] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 180] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 180] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 180] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 180] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 180] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 180] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 180] Dispatch2: regfile 0 from 3 -[DEBUG][time= 180] Dispatch2: regfile 1 from 3 -[DEBUG][time= 180] Dispatch2: regfile 2 from 0 -[DEBUG][time= 180] Dispatch2: regfile 3 from 0 -[DEBUG][time= 180] Dispatch2: regfile 4 from 0 -[DEBUG][time= 180] Dispatch2: regfile 5 from 0 -[DEBUG][time= 180] Dispatch2: regfile 6 from 0 -[DEBUG][time= 180] Dispatch2: regfile 7 from 0 -[DEBUG][time= 180] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 180] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 180] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 180] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 180] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 180] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 180] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 180] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 180] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 180] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 180] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 180] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 180] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 180] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 180] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 180] Roq: CSR block should only happen in s_idle -[DEBUG][time= 180] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 180] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 180] Roq: -------------------------------- -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 180] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 180] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 180] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 180] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 180] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 180] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 180] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 180] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 180] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 180] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 180] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 180] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 180] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 180] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 180] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 180] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 180] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 180] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 180] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 180] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 180] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 180] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 180] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 180] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 180] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 180] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 180] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 180] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 180] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 180] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 180] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 180] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 180] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 180] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 180] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 180] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 180] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 180] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 180] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 180] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 180] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 180] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 180] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 180] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 180] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 180] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 180] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 180] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 180] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 180] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 180] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 180] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 180] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 180] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 180] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 180] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 180] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 180] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 180] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 180] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 180] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 180] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 180] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 180] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 180] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 180] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 180] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 180] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 180] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 180] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 180] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 180] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 180] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 180] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 180] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 180] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 180] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 180] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 180] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 180] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 180] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 180] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 180] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 180] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 180] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 180] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 180] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 180] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 180] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 180] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 180] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 180] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 180] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 180] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 180] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 180] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 180] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 180] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 180] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 180] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 180] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 180] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 180] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 180] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 180] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 180] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 180] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 180] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 180] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 180] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 180] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 180] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 180] Brq: ---------------- -[DEBUG][time= 180] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 180] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 180] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 180] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 180] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 180] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 181] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 181] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 181] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 181] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 181] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 181] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 181] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 181] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 181] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 181] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 181] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 181] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 181] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 181] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 181] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 181] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 181] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 181] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 181] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 181] BPUStage3: flushS3=0 -[DEBUG][time= 181] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 181] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 181] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 181] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 181] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 181] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 181] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 181] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 181] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 181] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 181] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 181] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 181] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 181] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 181] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 181] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 181] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 181] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 181] Dispatch2: regfile 0 from 3 -[DEBUG][time= 181] Dispatch2: regfile 1 from 3 -[DEBUG][time= 181] Dispatch2: regfile 2 from 0 -[DEBUG][time= 181] Dispatch2: regfile 3 from 0 -[DEBUG][time= 181] Dispatch2: regfile 4 from 0 -[DEBUG][time= 181] Dispatch2: regfile 5 from 0 -[DEBUG][time= 181] Dispatch2: regfile 6 from 0 -[DEBUG][time= 181] Dispatch2: regfile 7 from 0 -[DEBUG][time= 181] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 181] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 181] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 181] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 181] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 181] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 181] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 181] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 181] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 181] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 181] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 181] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 181] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 181] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 181] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 181] Roq: CSR block should only happen in s_idle -[DEBUG][time= 181] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 181] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 181] Roq: -------------------------------- -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 181] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 181] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 181] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 181] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 181] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 181] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 181] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 181] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 181] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 181] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 181] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 181] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 181] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 181] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 181] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 181] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 181] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 181] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 181] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 181] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 181] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 181] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 181] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 181] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 181] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 181] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 181] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 181] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 181] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 181] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 181] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 181] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 181] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 181] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 181] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 181] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 181] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 181] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 181] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 181] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 181] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 181] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 181] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 181] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 181] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 181] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 181] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 181] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 181] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 181] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 181] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 181] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 181] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 181] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 181] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 181] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 181] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 181] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 181] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 181] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 181] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 181] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 181] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 181] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 181] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 181] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 181] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 181] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 181] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 181] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 181] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 181] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 181] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 181] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 181] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 181] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 181] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 181] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 181] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 181] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 181] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 181] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 181] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 181] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 181] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 181] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 181] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 181] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 181] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 181] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 181] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 181] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 181] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 181] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 181] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 181] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 181] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 181] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 181] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 181] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 181] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 181] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 181] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 181] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 181] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 181] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 181] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 181] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 181] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 181] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 181] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 181] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 181] Brq: ---------------- -[DEBUG][time= 181] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 181] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 181] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 181] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 181] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 181] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 182] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 182] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 182] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 182] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 182] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 182] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 182] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 182] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 182] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 182] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 182] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 182] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 182] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 182] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 182] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 182] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 182] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 182] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 182] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 182] BPUStage3: flushS3=0 -[DEBUG][time= 182] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 182] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 182] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 182] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 182] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 182] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 182] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 182] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 182] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 182] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 182] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 182] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 182] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 182] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 182] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 182] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 182] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 182] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 182] Dispatch2: regfile 0 from 3 -[DEBUG][time= 182] Dispatch2: regfile 1 from 3 -[DEBUG][time= 182] Dispatch2: regfile 2 from 0 -[DEBUG][time= 182] Dispatch2: regfile 3 from 0 -[DEBUG][time= 182] Dispatch2: regfile 4 from 0 -[DEBUG][time= 182] Dispatch2: regfile 5 from 0 -[DEBUG][time= 182] Dispatch2: regfile 6 from 0 -[DEBUG][time= 182] Dispatch2: regfile 7 from 0 -[DEBUG][time= 182] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 182] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 182] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 182] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 182] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 182] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 182] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 182] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 182] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 182] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 182] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 182] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 182] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 182] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 182] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 182] Roq: CSR block should only happen in s_idle -[DEBUG][time= 182] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 182] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 182] Roq: -------------------------------- -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 182] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 182] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 182] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 182] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 182] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 182] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 182] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 182] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 182] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 182] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 182] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 182] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 182] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 182] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 182] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 182] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 182] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 182] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 182] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 182] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 182] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 182] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 182] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 182] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 182] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 182] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 182] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 182] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 182] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 182] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 182] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 182] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 182] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 182] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 182] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 182] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 182] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 182] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 182] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 182] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 182] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 182] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 182] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 182] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 182] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 182] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 182] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 182] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 182] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 182] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 182] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 182] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 182] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 182] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 182] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 182] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 182] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 182] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 182] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 182] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 182] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 182] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 182] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 182] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 182] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 182] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 182] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 182] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 182] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 182] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 182] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 182] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 182] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 182] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 182] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 182] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 182] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 182] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 182] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 182] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 182] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 182] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 182] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 182] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 182] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 182] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 182] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 182] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 182] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 182] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 182] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 182] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 182] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 182] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 182] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 182] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 182] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 182] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 182] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 182] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 182] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 182] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 182] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 182] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 182] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 182] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 182] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 182] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 182] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 182] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 182] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 182] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 182] Brq: ---------------- -[DEBUG][time= 182] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 182] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 182] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 182] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 182] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 182] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 183] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 183] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 183] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 183] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 183] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 183] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 183] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 183] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 183] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 183] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 183] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 183] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 183] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 183] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 183] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 183] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 183] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 183] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 183] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 183] BPUStage3: flushS3=0 -[DEBUG][time= 183] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 183] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 183] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 183] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 183] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 183] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 183] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 183] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 183] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 183] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 183] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 183] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 183] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 183] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 183] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 183] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 183] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 183] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 183] Dispatch2: regfile 0 from 3 -[DEBUG][time= 183] Dispatch2: regfile 1 from 3 -[DEBUG][time= 183] Dispatch2: regfile 2 from 0 -[DEBUG][time= 183] Dispatch2: regfile 3 from 0 -[DEBUG][time= 183] Dispatch2: regfile 4 from 0 -[DEBUG][time= 183] Dispatch2: regfile 5 from 0 -[DEBUG][time= 183] Dispatch2: regfile 6 from 0 -[DEBUG][time= 183] Dispatch2: regfile 7 from 0 -[DEBUG][time= 183] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 183] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 183] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 183] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 183] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 183] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 183] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 183] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 183] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 183] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 183] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 183] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 183] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 183] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 183] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 183] Roq: CSR block should only happen in s_idle -[DEBUG][time= 183] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 183] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 183] Roq: -------------------------------- -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 183] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 183] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 183] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 183] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 183] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 183] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 183] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 183] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 183] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 183] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 183] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 183] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 183] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 183] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 183] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 183] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 183] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 183] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 183] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 183] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 183] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 183] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 183] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 183] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 183] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 183] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 183] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 183] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 183] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 183] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 183] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 183] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 183] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 183] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 183] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 183] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 183] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 183] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 183] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 183] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 183] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 183] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 183] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 183] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 183] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 183] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 183] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 183] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 183] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 183] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 183] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 183] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 183] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 183] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 183] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 183] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 183] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 183] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 183] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 183] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 183] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 183] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 183] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 183] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 183] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 183] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 183] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 183] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 183] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 183] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 183] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 183] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 183] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 183] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 183] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 183] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 183] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 183] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 183] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 183] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 183] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 183] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 183] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 183] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 183] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 183] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 183] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 183] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 183] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 183] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 183] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 183] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 183] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 183] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 183] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 183] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 183] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 183] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 183] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 183] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 183] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 183] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 183] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 183] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 183] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 183] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 183] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 183] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 183] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 183] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 183] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 183] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 183] Brq: ---------------- -[DEBUG][time= 183] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 183] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 183] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 183] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 183] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 183] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 184] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 184] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 184] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 184] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 184] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 184] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 184] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 184] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 184] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 184] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 184] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 184] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 184] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 184] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 184] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 184] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 184] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 184] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 184] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 184] BPUStage3: flushS3=0 -[DEBUG][time= 184] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 184] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 184] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 184] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 184] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 184] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 184] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 184] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 184] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 184] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 184] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 184] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 184] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 184] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 184] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 184] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 184] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 184] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 184] Dispatch2: regfile 0 from 3 -[DEBUG][time= 184] Dispatch2: regfile 1 from 3 -[DEBUG][time= 184] Dispatch2: regfile 2 from 0 -[DEBUG][time= 184] Dispatch2: regfile 3 from 0 -[DEBUG][time= 184] Dispatch2: regfile 4 from 0 -[DEBUG][time= 184] Dispatch2: regfile 5 from 0 -[DEBUG][time= 184] Dispatch2: regfile 6 from 0 -[DEBUG][time= 184] Dispatch2: regfile 7 from 0 -[DEBUG][time= 184] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 184] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 184] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 184] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 184] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 184] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 184] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 184] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 184] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 184] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 184] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 184] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 184] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 184] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 184] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 184] Roq: CSR block should only happen in s_idle -[DEBUG][time= 184] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 184] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 184] Roq: -------------------------------- -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 184] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 184] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 184] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 184] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 184] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 184] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 184] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 184] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 184] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 184] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 184] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 184] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 184] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 184] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 184] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 184] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 184] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 184] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 184] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 184] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 184] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 184] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 184] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 184] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 184] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 184] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 184] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 184] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 184] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 184] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 184] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 184] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 184] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 184] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 184] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 184] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 184] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 184] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 184] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 184] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 184] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 184] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 184] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 184] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 184] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 184] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 184] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 184] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 184] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 184] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 184] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 184] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 184] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 184] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 184] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 184] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 184] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 184] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 184] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 184] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 184] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 184] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 184] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 184] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 184] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 184] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 184] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 184] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 184] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 184] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 184] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 184] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 184] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 184] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 184] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 184] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 184] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 184] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 184] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 184] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 184] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 184] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 184] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 184] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 184] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 184] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 184] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 184] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 184] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 184] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 184] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 184] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 184] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 184] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 184] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 184] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 184] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 184] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 184] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 184] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 184] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 184] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 184] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 184] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 184] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 184] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 184] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 184] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 184] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 184] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 184] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 184] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 184] Brq: ---------------- -[DEBUG][time= 184] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 184] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 184] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 184] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 184] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 184] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 185] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 185] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 185] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 185] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 185] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 185] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 185] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 185] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 185] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 185] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 185] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 185] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 185] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 185] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 185] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 185] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 185] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 185] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 185] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 185] BPUStage3: flushS3=0 -[DEBUG][time= 185] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 185] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 185] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 185] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 185] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 185] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 185] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 185] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 185] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 185] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 185] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 185] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 185] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 185] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 185] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 185] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 185] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 185] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 185] Dispatch2: regfile 0 from 3 -[DEBUG][time= 185] Dispatch2: regfile 1 from 3 -[DEBUG][time= 185] Dispatch2: regfile 2 from 0 -[DEBUG][time= 185] Dispatch2: regfile 3 from 0 -[DEBUG][time= 185] Dispatch2: regfile 4 from 0 -[DEBUG][time= 185] Dispatch2: regfile 5 from 0 -[DEBUG][time= 185] Dispatch2: regfile 6 from 0 -[DEBUG][time= 185] Dispatch2: regfile 7 from 0 -[DEBUG][time= 185] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 185] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 185] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 185] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 185] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 185] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 185] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 185] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 185] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 185] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 185] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 185] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 185] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 185] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 185] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 185] Roq: CSR block should only happen in s_idle -[DEBUG][time= 185] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 185] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 185] Roq: -------------------------------- -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 185] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 185] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 185] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 185] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 185] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 185] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 185] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 185] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 185] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 185] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 185] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 185] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 185] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 185] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 185] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 185] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 185] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 185] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 185] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 185] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 185] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 185] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 185] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 185] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 185] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 185] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 185] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 185] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 185] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 185] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 185] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 185] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 185] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 185] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 185] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 185] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 185] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 185] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 185] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 185] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 185] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 185] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 185] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 185] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 185] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 185] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 185] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 185] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 185] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 185] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 185] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 185] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 185] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 185] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 185] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 185] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 185] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 185] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 185] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 185] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 185] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 185] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 185] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 185] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 185] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 185] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 185] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 185] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 185] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 185] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 185] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 185] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 185] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 185] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 185] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 185] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 185] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 185] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 185] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 185] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 185] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 185] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 185] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 185] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 185] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 185] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 185] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 185] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 185] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 185] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 185] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 185] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 185] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 185] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 185] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 185] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 185] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 185] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 185] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 185] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 185] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 185] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 185] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 185] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 185] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 185] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 185] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 185] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 185] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 185] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 185] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 185] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 185] Brq: ---------------- -[DEBUG][time= 185] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 185] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 185] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 185] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 185] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 185] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 186] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 186] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 186] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 186] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 186] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 186] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 186] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 186] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 186] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 186] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 186] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 186] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 186] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 186] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 186] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 186] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 186] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 186] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 186] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 186] BPUStage3: flushS3=0 -[DEBUG][time= 186] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 186] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 186] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 186] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 186] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 186] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 186] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 186] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 186] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 186] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 186] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 186] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 186] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 186] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 186] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 186] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 186] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 186] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 186] Dispatch2: regfile 0 from 3 -[DEBUG][time= 186] Dispatch2: regfile 1 from 3 -[DEBUG][time= 186] Dispatch2: regfile 2 from 0 -[DEBUG][time= 186] Dispatch2: regfile 3 from 0 -[DEBUG][time= 186] Dispatch2: regfile 4 from 0 -[DEBUG][time= 186] Dispatch2: regfile 5 from 0 -[DEBUG][time= 186] Dispatch2: regfile 6 from 0 -[DEBUG][time= 186] Dispatch2: regfile 7 from 0 -[DEBUG][time= 186] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 186] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 186] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 186] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 186] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 186] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 186] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 186] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 186] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 186] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 186] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 186] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 186] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 186] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 186] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 186] Roq: CSR block should only happen in s_idle -[DEBUG][time= 186] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 186] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 186] Roq: -------------------------------- -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 186] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 186] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 186] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 186] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 186] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 186] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 186] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 186] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 186] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 186] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 186] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 186] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 186] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 186] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 186] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 186] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 186] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 186] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 186] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 186] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 186] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 186] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 186] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 186] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 186] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 186] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 186] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 186] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 186] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 186] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 186] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 186] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 186] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 186] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 186] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 186] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 186] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 186] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 186] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 186] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 186] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 186] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 186] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 186] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 186] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 186] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 186] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 186] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 186] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 186] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 186] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 186] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 186] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 186] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 186] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 186] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 186] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 186] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 186] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 186] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 186] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 186] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 186] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 186] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 186] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 186] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 186] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 186] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 186] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 186] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 186] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 186] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 186] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 186] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 186] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 186] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 186] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 186] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 186] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 186] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 186] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 186] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 186] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 186] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 186] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 186] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 186] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 186] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 186] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 186] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 186] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 186] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 186] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 186] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 186] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 186] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 186] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 186] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 186] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 186] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 186] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 186] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 186] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 186] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 186] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 186] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 186] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 186] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 186] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 186] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 186] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 186] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 186] Brq: ---------------- -[DEBUG][time= 186] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 186] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 186] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 186] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 186] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 186] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 187] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 187] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 187] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 187] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 187] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 187] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 187] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 187] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 187] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 187] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 187] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 187] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 187] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 187] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 187] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 187] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 187] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 187] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 187] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 187] BPUStage3: flushS3=0 -[DEBUG][time= 187] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 187] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 187] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 187] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 187] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 187] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 187] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 187] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 187] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 187] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 187] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 187] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 187] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 187] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 187] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 187] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 187] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 187] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 187] Dispatch2: regfile 0 from 3 -[DEBUG][time= 187] Dispatch2: regfile 1 from 3 -[DEBUG][time= 187] Dispatch2: regfile 2 from 0 -[DEBUG][time= 187] Dispatch2: regfile 3 from 0 -[DEBUG][time= 187] Dispatch2: regfile 4 from 0 -[DEBUG][time= 187] Dispatch2: regfile 5 from 0 -[DEBUG][time= 187] Dispatch2: regfile 6 from 0 -[DEBUG][time= 187] Dispatch2: regfile 7 from 0 -[DEBUG][time= 187] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 187] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 187] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 187] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 187] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 187] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 187] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 187] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 187] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 187] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 187] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 187] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 187] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 187] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 187] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 187] Roq: CSR block should only happen in s_idle -[DEBUG][time= 187] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 187] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 187] Roq: -------------------------------- -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 187] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 187] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 187] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 187] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 187] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 187] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 187] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 187] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 187] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 187] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 187] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 187] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 187] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 187] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 187] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 187] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 187] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 187] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 187] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 187] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 187] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 187] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 187] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 187] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 187] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 187] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 187] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 187] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 187] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 187] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 187] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 187] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 187] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 187] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 187] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 187] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 187] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 187] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 187] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 187] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 187] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 187] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 187] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 187] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 187] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 187] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 187] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 187] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 187] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 187] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 187] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 187] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 187] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 187] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 187] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 187] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 187] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 187] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 187] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 187] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 187] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 187] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 187] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 187] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 187] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 187] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 187] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 187] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 187] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 187] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 187] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 187] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 187] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 187] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 187] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 187] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 187] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 187] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 187] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 187] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 187] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 187] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 187] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 187] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 187] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 187] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 187] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 187] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 187] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 187] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 187] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 187] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 187] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 187] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 187] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 187] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 187] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 187] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 187] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 187] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 187] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 187] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 187] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 187] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 187] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 187] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 187] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 187] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 187] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 187] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 187] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 187] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 187] Brq: ---------------- -[DEBUG][time= 187] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 187] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 187] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 187] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 187] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 187] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 188] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 188] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 188] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 188] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 188] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 188] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 188] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 188] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 188] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 188] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 188] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 188] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 188] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 188] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 188] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 188] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 188] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 188] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 188] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 188] BPUStage3: flushS3=0 -[DEBUG][time= 188] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 188] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 188] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 188] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 188] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 188] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 188] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 188] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 188] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 188] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 188] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 188] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 188] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 188] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 188] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 188] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 188] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 188] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 188] Dispatch2: regfile 0 from 3 -[DEBUG][time= 188] Dispatch2: regfile 1 from 3 -[DEBUG][time= 188] Dispatch2: regfile 2 from 0 -[DEBUG][time= 188] Dispatch2: regfile 3 from 0 -[DEBUG][time= 188] Dispatch2: regfile 4 from 0 -[DEBUG][time= 188] Dispatch2: regfile 5 from 0 -[DEBUG][time= 188] Dispatch2: regfile 6 from 0 -[DEBUG][time= 188] Dispatch2: regfile 7 from 0 -[DEBUG][time= 188] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 188] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 188] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 188] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 188] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 188] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 188] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 188] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 188] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 188] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 188] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 188] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 188] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 188] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 188] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 188] Roq: CSR block should only happen in s_idle -[DEBUG][time= 188] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 188] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 188] Roq: -------------------------------- -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 188] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 188] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 188] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 188] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 188] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 188] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 188] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 188] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 188] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 188] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 188] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 188] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 188] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 188] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 188] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 188] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 188] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 188] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 188] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 188] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 188] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 188] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 188] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 188] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 188] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 188] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 188] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 188] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 188] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 188] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 188] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 188] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 188] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 188] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 188] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 188] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 188] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 188] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 188] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 188] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 188] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 188] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 188] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 188] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 188] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 188] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 188] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 188] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 188] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 188] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 188] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 188] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 188] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 188] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 188] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 188] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 188] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 188] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 188] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 188] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 188] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 188] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 188] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 188] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 188] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 188] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 188] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 188] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 188] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 188] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 188] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 188] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 188] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 188] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 188] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 188] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 188] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 188] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 188] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 188] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 188] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 188] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 188] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 188] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 188] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 188] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 188] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 188] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 188] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 188] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 188] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 188] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 188] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 188] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 188] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 188] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 188] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 188] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 188] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 188] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 188] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 188] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 188] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 188] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 188] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 188] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 188] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 188] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 188] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 188] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 188] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 188] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 188] Brq: ---------------- -[DEBUG][time= 188] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 188] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 188] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 188] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 188] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 188] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 189] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 189] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 189] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 189] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 189] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 189] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 189] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 189] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 189] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 189] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 189] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 189] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 189] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 189] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 189] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 189] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 189] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 189] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 189] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 189] BPUStage3: flushS3=0 -[DEBUG][time= 189] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 189] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 189] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 189] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 189] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 189] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 189] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 189] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 189] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 189] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 189] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 189] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 189] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 189] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 189] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 189] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 189] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 189] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 189] Dispatch2: regfile 0 from 3 -[DEBUG][time= 189] Dispatch2: regfile 1 from 3 -[DEBUG][time= 189] Dispatch2: regfile 2 from 0 -[DEBUG][time= 189] Dispatch2: regfile 3 from 0 -[DEBUG][time= 189] Dispatch2: regfile 4 from 0 -[DEBUG][time= 189] Dispatch2: regfile 5 from 0 -[DEBUG][time= 189] Dispatch2: regfile 6 from 0 -[DEBUG][time= 189] Dispatch2: regfile 7 from 0 -[DEBUG][time= 189] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 189] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 189] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 189] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 189] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 189] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 189] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 189] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 189] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 189] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 189] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 189] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 189] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 189] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 189] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 189] Roq: CSR block should only happen in s_idle -[DEBUG][time= 189] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 189] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 189] Roq: -------------------------------- -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 189] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 189] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 189] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 189] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 189] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 189] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 189] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 189] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 189] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 189] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 189] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 189] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 189] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 189] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 189] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 189] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 189] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 189] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 189] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 189] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 189] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 189] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 189] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 189] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 189] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 189] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 189] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 189] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 189] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 189] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 189] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 189] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 189] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 189] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 189] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 189] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 189] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 189] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 189] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 189] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 189] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 189] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 189] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 189] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 189] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 189] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 189] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 189] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 189] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 189] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 189] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 189] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 189] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 189] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 189] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 189] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 189] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 189] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 189] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 189] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 189] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 189] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 189] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 189] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 189] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 189] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 189] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 189] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 189] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 189] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 189] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 189] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 189] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 189] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 189] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 189] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 189] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 189] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 189] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 189] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 189] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 189] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 189] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 189] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 189] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 189] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 189] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 189] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 189] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 189] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 189] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 189] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 189] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 189] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 189] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 189] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 189] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 189] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 189] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 189] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 189] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 189] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 189] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 189] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 189] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 189] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 189] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 189] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 189] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 189] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 189] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 189] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 189] Brq: ---------------- -[DEBUG][time= 189] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 189] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 189] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 189] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 189] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 189] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 190] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 190] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 190] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 190] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 190] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 190] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 190] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 190] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 190] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 190] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 190] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 190] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 190] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 190] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 190] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 190] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 190] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 190] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 190] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 190] BPUStage3: flushS3=0 -[DEBUG][time= 190] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 190] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 190] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 190] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 190] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 190] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 190] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 190] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 190] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 190] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 190] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 190] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 190] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 190] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 190] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 190] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 190] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 190] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 190] Dispatch2: regfile 0 from 3 -[DEBUG][time= 190] Dispatch2: regfile 1 from 3 -[DEBUG][time= 190] Dispatch2: regfile 2 from 0 -[DEBUG][time= 190] Dispatch2: regfile 3 from 0 -[DEBUG][time= 190] Dispatch2: regfile 4 from 0 -[DEBUG][time= 190] Dispatch2: regfile 5 from 0 -[DEBUG][time= 190] Dispatch2: regfile 6 from 0 -[DEBUG][time= 190] Dispatch2: regfile 7 from 0 -[DEBUG][time= 190] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 190] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 190] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 190] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 190] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 190] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 190] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 190] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 190] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 190] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 190] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 190] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 190] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 190] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 190] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 190] Roq: CSR block should only happen in s_idle -[DEBUG][time= 190] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 190] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 190] Roq: -------------------------------- -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 190] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 190] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 190] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 190] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 190] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 190] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 190] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 190] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 190] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 190] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 190] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 190] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 190] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 190] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 190] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 190] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 190] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 190] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 190] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 190] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 190] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 190] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 190] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 190] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 190] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 190] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 190] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 190] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 190] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 190] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 190] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 190] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 190] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 190] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 190] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 190] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 190] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 190] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 190] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 190] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 190] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 190] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 190] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 190] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 190] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 190] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 190] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 190] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 190] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 190] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 190] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 190] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 190] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 190] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 190] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 190] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 190] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 190] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 190] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 190] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 190] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 190] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 190] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 190] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 190] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 190] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 190] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 190] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 190] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 190] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 190] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 190] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 190] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 190] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 190] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 190] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 190] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 190] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 190] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 190] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 190] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 190] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 190] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 190] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 190] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 190] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 190] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 190] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 190] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 190] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 190] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 190] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 190] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 190] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 190] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 190] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 190] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 190] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 190] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 190] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 190] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 190] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 190] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 190] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 190] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 190] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 190] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 190] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 190] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 190] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 190] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 190] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 190] Brq: ---------------- -[DEBUG][time= 190] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 190] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 190] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 190] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 190] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 190] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 191] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 191] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 191] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 191] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 191] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 191] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 191] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 191] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 191] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 191] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 191] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 191] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 191] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 191] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 191] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 191] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 191] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 191] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 191] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 191] BPUStage3: flushS3=0 -[DEBUG][time= 191] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 191] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 191] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 191] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 191] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 191] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 191] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 191] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 191] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 191] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 191] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 191] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 191] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 191] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 191] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 191] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 191] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 191] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 191] Dispatch2: regfile 0 from 3 -[DEBUG][time= 191] Dispatch2: regfile 1 from 3 -[DEBUG][time= 191] Dispatch2: regfile 2 from 0 -[DEBUG][time= 191] Dispatch2: regfile 3 from 0 -[DEBUG][time= 191] Dispatch2: regfile 4 from 0 -[DEBUG][time= 191] Dispatch2: regfile 5 from 0 -[DEBUG][time= 191] Dispatch2: regfile 6 from 0 -[DEBUG][time= 191] Dispatch2: regfile 7 from 0 -[DEBUG][time= 191] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 191] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 191] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 191] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 191] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 191] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 191] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 191] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 191] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 191] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 191] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 191] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 191] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 191] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 191] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 191] Roq: CSR block should only happen in s_idle -[DEBUG][time= 191] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 191] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 191] Roq: -------------------------------- -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 191] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 191] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 191] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 191] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 191] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 191] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 191] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 191] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 191] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 191] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 191] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 191] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 191] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 191] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 191] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 191] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 191] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 191] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 191] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 191] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 191] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 191] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 191] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 191] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 191] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 191] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 191] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 191] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 191] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 191] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 191] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 191] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 191] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 191] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 191] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 191] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 191] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 191] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 191] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 191] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 191] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 191] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 191] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 191] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 191] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 191] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 191] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 191] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 191] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 191] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 191] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 191] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 191] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 191] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 191] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 191] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 191] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 191] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 191] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 191] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 191] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 191] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 191] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 191] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 191] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 191] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 191] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 191] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 191] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 191] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 191] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 191] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 191] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 191] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 191] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 191] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 191] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 191] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 191] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 191] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 191] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 191] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 191] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 191] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 191] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 191] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 191] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 191] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 191] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 191] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 191] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 191] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 191] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 191] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 191] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 191] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 191] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 191] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 191] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 191] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 191] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 191] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 191] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 191] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 191] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 191] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 191] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 191] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 191] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 191] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 191] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 191] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 191] Brq: ---------------- -[DEBUG][time= 191] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 191] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 191] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 191] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 191] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 191] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 192] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 192] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 192] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 192] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 192] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 192] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 192] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 192] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 192] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 192] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 192] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 192] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 192] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 192] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 192] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 192] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 192] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 192] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 192] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 192] BPUStage3: flushS3=0 -[DEBUG][time= 192] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 192] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 192] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 192] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 192] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 192] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 192] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 192] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 192] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 192] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 192] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 192] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 192] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 192] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 192] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 192] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 192] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 192] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 192] Dispatch2: regfile 0 from 3 -[DEBUG][time= 192] Dispatch2: regfile 1 from 3 -[DEBUG][time= 192] Dispatch2: regfile 2 from 0 -[DEBUG][time= 192] Dispatch2: regfile 3 from 0 -[DEBUG][time= 192] Dispatch2: regfile 4 from 0 -[DEBUG][time= 192] Dispatch2: regfile 5 from 0 -[DEBUG][time= 192] Dispatch2: regfile 6 from 0 -[DEBUG][time= 192] Dispatch2: regfile 7 from 0 -[DEBUG][time= 192] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 192] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 192] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 192] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 192] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 192] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 192] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 192] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 192] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 192] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 192] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 192] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 192] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 192] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 192] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 192] Roq: CSR block should only happen in s_idle -[DEBUG][time= 192] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 192] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 192] Roq: -------------------------------- -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 192] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 192] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 192] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 192] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 192] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 192] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 192] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 192] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 192] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 192] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 192] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 192] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 192] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 192] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 192] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 192] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 192] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 192] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 192] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 192] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 192] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 192] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 192] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 192] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 192] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 192] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 192] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 192] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 192] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 192] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 192] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 192] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 192] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 192] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 192] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 192] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 192] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 192] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 192] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 192] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 192] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 192] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 192] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 192] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 192] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 192] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 192] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 192] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 192] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 192] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 192] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 192] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 192] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 192] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 192] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 192] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 192] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 192] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 192] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 192] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 192] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 192] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 192] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 192] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 192] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 192] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 192] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 192] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 192] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 192] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 192] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 192] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 192] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 192] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 192] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 192] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 192] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 192] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 192] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 192] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 192] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 192] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 192] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 192] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 192] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 192] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 192] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 192] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 192] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 192] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 192] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 192] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 192] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 192] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 192] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 192] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 192] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 192] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 192] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 192] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 192] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 192] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 192] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 192] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 192] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 192] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 192] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 192] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 192] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 192] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 192] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 192] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 192] Brq: ---------------- -[DEBUG][time= 192] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 192] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 192] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 192] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 192] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 192] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 193] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 193] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 193] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 193] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 193] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 193] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 193] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 193] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 193] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 193] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 193] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 193] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 193] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 193] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 193] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 193] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 193] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 193] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 193] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 193] BPUStage3: flushS3=0 -[DEBUG][time= 193] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 193] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 193] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 193] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 193] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 193] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 193] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 193] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 193] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 193] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 193] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 193] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 193] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 193] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 193] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 193] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 193] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 193] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 193] Dispatch2: regfile 0 from 3 -[DEBUG][time= 193] Dispatch2: regfile 1 from 3 -[DEBUG][time= 193] Dispatch2: regfile 2 from 0 -[DEBUG][time= 193] Dispatch2: regfile 3 from 0 -[DEBUG][time= 193] Dispatch2: regfile 4 from 0 -[DEBUG][time= 193] Dispatch2: regfile 5 from 0 -[DEBUG][time= 193] Dispatch2: regfile 6 from 0 -[DEBUG][time= 193] Dispatch2: regfile 7 from 0 -[DEBUG][time= 193] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 193] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 193] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 193] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 193] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 193] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 193] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 193] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 193] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 193] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 193] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 193] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 193] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 193] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 193] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 193] Roq: CSR block should only happen in s_idle -[DEBUG][time= 193] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 193] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 193] Roq: -------------------------------- -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 193] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 193] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 193] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 193] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 193] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 193] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 193] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 193] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 193] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 193] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 193] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 193] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 193] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 193] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 193] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 193] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 193] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 193] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 193] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 193] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 193] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 193] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 193] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 193] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 193] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 193] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 193] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 193] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 193] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 193] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 193] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 193] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 193] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 193] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 193] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 193] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 193] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 193] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 193] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 193] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 193] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 193] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 193] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 193] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 193] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 193] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 193] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 193] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 193] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 193] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 193] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 193] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 193] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 193] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 193] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 193] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 193] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 193] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 193] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 193] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 193] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 193] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 193] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 193] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 193] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 193] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 193] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 193] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 193] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 193] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 193] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 193] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 193] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 193] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 193] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 193] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 193] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 193] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 193] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 193] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 193] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 193] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 193] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 193] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 193] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 193] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 193] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 193] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 193] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 193] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 193] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 193] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 193] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 193] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 193] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 193] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 193] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 193] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 193] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 193] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 193] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 193] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 193] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 193] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 193] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 193] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 193] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 193] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 193] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 193] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 193] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 193] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 193] Brq: ---------------- -[DEBUG][time= 193] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 193] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 193] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 193] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 193] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 193] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 194] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 194] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 194] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 194] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 194] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 194] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 194] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 194] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 194] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 194] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 194] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 194] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 194] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 194] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 194] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 194] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 194] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 194] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 194] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 194] BPUStage3: flushS3=0 -[DEBUG][time= 194] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 194] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 194] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 194] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 194] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 194] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 194] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 194] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 194] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 194] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 194] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 194] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 194] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 194] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 194] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 194] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 194] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 194] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 194] Dispatch2: regfile 0 from 3 -[DEBUG][time= 194] Dispatch2: regfile 1 from 3 -[DEBUG][time= 194] Dispatch2: regfile 2 from 0 -[DEBUG][time= 194] Dispatch2: regfile 3 from 0 -[DEBUG][time= 194] Dispatch2: regfile 4 from 0 -[DEBUG][time= 194] Dispatch2: regfile 5 from 0 -[DEBUG][time= 194] Dispatch2: regfile 6 from 0 -[DEBUG][time= 194] Dispatch2: regfile 7 from 0 -[DEBUG][time= 194] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 194] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 194] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 194] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 194] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 194] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 194] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 194] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 194] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 194] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 194] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 194] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 194] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 194] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 194] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 194] Roq: CSR block should only happen in s_idle -[DEBUG][time= 194] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 194] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 194] Roq: -------------------------------- -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 194] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 194] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 194] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 194] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 194] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 194] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 194] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 194] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 194] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 194] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 194] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 194] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 194] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 194] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 194] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 194] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 194] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 194] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 194] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 194] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 194] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 194] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 194] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 194] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 194] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 194] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 194] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 194] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 194] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 194] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 194] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 194] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 194] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 194] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 194] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 194] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 194] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 194] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 194] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 194] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 194] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 194] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 194] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 194] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 194] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 194] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 194] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 194] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 194] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 194] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 194] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 194] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 194] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 194] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 194] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 194] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 194] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 194] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 194] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 194] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 194] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 194] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 194] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 194] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 194] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 194] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 194] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 194] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 194] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 194] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 194] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 194] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 194] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 194] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 194] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 194] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 194] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 194] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 194] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 194] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 194] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 194] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 194] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 194] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 194] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 194] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 194] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 194] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 194] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 194] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 194] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 194] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 194] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 194] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 194] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 194] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 194] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 194] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 194] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 194] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 194] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 194] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 194] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 194] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 194] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 194] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 194] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 194] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 194] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 194] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 194] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 194] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 194] Brq: ---------------- -[DEBUG][time= 194] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 194] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 194] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 194] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 194] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 194] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 195] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 195] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 195] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 195] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 195] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 195] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 195] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 195] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 195] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 195] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 195] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 195] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 195] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 195] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 195] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 195] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 195] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 195] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 195] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 195] BPUStage3: flushS3=0 -[DEBUG][time= 195] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 195] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 195] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 195] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 195] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 195] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 195] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 195] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 195] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 195] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 195] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 195] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 195] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 195] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 195] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 195] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 195] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 195] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 195] Dispatch2: regfile 0 from 3 -[DEBUG][time= 195] Dispatch2: regfile 1 from 3 -[DEBUG][time= 195] Dispatch2: regfile 2 from 0 -[DEBUG][time= 195] Dispatch2: regfile 3 from 0 -[DEBUG][time= 195] Dispatch2: regfile 4 from 0 -[DEBUG][time= 195] Dispatch2: regfile 5 from 0 -[DEBUG][time= 195] Dispatch2: regfile 6 from 0 -[DEBUG][time= 195] Dispatch2: regfile 7 from 0 -[DEBUG][time= 195] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 195] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 195] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 195] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 195] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 195] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 195] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 195] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 195] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 195] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 195] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 195] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 195] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 195] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 195] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 195] Roq: CSR block should only happen in s_idle -[DEBUG][time= 195] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 195] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 195] Roq: -------------------------------- -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 195] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 195] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 195] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 195] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 195] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 195] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 195] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 195] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 195] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 195] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 195] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 195] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 195] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 195] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 195] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 195] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 195] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 195] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 195] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 195] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 195] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 195] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 195] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 195] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 195] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 195] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 195] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 195] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 195] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 195] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 195] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 195] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 195] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 195] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 195] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 195] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 195] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 195] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 195] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 195] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 195] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 195] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 195] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 195] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 195] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 195] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 195] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 195] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 195] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 195] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 195] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 195] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 195] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 195] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 195] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 195] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 195] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 195] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 195] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 195] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 195] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 195] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 195] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 195] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 195] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 195] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 195] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 195] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 195] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 195] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 195] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 195] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 195] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 195] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 195] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 195] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 195] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 195] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 195] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 195] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 195] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 195] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 195] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 195] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 195] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 195] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 195] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 195] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 195] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 195] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 195] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 195] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 195] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 195] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 195] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 195] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 195] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 195] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 195] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 195] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 195] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 195] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 195] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 195] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 195] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 195] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 195] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 195] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 195] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 195] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 195] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 195] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 195] Brq: ---------------- -[DEBUG][time= 195] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 195] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 195] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 195] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 195] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 195] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 196] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 196] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 196] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 196] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 196] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 196] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 196] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 196] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 196] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 196] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 196] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 196] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 196] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 196] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 196] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 196] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 196] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 196] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 196] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 196] BPUStage3: flushS3=0 -[DEBUG][time= 196] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 196] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 196] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 196] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 196] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 196] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 196] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 196] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 196] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 196] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 196] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 196] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 196] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 196] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 196] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 196] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 196] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 196] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 196] Dispatch2: regfile 0 from 3 -[DEBUG][time= 196] Dispatch2: regfile 1 from 3 -[DEBUG][time= 196] Dispatch2: regfile 2 from 0 -[DEBUG][time= 196] Dispatch2: regfile 3 from 0 -[DEBUG][time= 196] Dispatch2: regfile 4 from 0 -[DEBUG][time= 196] Dispatch2: regfile 5 from 0 -[DEBUG][time= 196] Dispatch2: regfile 6 from 0 -[DEBUG][time= 196] Dispatch2: regfile 7 from 0 -[DEBUG][time= 196] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 196] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 196] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 196] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 196] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 196] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 196] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 196] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 196] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 196] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 196] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 196] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 196] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 196] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 196] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 196] Roq: CSR block should only happen in s_idle -[DEBUG][time= 196] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 196] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 196] Roq: -------------------------------- -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 196] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 196] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 196] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 196] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 196] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 196] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 196] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 196] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 196] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 196] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 196] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 196] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 196] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 196] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 196] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 196] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 196] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 196] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 196] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 196] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 196] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 196] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 196] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 196] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 196] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 196] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 196] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 196] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 196] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 196] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 196] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 196] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 196] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 196] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 196] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 196] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 196] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 196] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 196] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 196] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 196] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 196] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 196] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 196] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 196] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 196] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 196] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 196] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 196] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 196] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 196] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 196] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 196] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 196] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 196] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 196] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 196] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 196] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 196] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 196] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 196] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 196] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 196] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 196] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 196] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 196] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 196] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 196] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 196] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 196] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 196] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 196] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 196] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 196] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 196] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 196] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 196] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 196] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 196] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 196] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 196] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 196] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 196] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 196] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 196] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 196] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 196] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 196] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 196] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 196] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 196] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 196] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 196] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 196] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 196] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 196] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 196] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 196] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 196] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 196] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 196] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 196] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 196] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 196] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 196] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 196] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 196] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 196] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 196] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 196] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 196] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 196] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 196] Brq: ---------------- -[DEBUG][time= 196] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 196] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 196] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 196] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 196] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 196] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 197] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 197] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 197] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 197] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 197] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 197] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 197] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 197] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 197] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 197] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 197] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 197] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 197] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 197] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 197] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 197] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 197] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 197] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 197] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 197] BPUStage3: flushS3=0 -[DEBUG][time= 197] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 197] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 197] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 197] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 197] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 197] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 197] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 197] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 197] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 197] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 197] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 197] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 197] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 197] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 197] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 197] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 197] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 197] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 197] Dispatch2: regfile 0 from 3 -[DEBUG][time= 197] Dispatch2: regfile 1 from 3 -[DEBUG][time= 197] Dispatch2: regfile 2 from 0 -[DEBUG][time= 197] Dispatch2: regfile 3 from 0 -[DEBUG][time= 197] Dispatch2: regfile 4 from 0 -[DEBUG][time= 197] Dispatch2: regfile 5 from 0 -[DEBUG][time= 197] Dispatch2: regfile 6 from 0 -[DEBUG][time= 197] Dispatch2: regfile 7 from 0 -[DEBUG][time= 197] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 197] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 197] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 197] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 197] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 197] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 197] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 197] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 197] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 197] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 197] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 197] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 197] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 197] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 197] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 197] Roq: CSR block should only happen in s_idle -[DEBUG][time= 197] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 197] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 197] Roq: -------------------------------- -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 197] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 197] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 197] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 197] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 197] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 197] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 197] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 197] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 197] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 197] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 197] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 197] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 197] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 197] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 197] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 197] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 197] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 197] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 197] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 197] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 197] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 197] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 197] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 197] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 197] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 197] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 197] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 197] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 197] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 197] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 197] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 197] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 197] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 197] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 197] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 197] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 197] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 197] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 197] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 197] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 197] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 197] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 197] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 197] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 197] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 197] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 197] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 197] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 197] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 197] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 197] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 197] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 197] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 197] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 197] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 197] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 197] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 197] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 197] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 197] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 197] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 197] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 197] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 197] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 197] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 197] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 197] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 197] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 197] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 197] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 197] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 197] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 197] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 197] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 197] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 197] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 197] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 197] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 197] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 197] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 197] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 197] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 197] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 197] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 197] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 197] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 197] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 197] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 197] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 197] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 197] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 197] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 197] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 197] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 197] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 197] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 197] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 197] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 197] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 197] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 197] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 197] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 197] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 197] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 197] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 197] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 197] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 197] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 197] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 197] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 197] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 197] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 197] Brq: ---------------- -[DEBUG][time= 197] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 197] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 197] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 197] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 197] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 197] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 198] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 198] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 198] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 198] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 198] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 198] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 198] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 198] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 198] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 198] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 198] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 198] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 198] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 198] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 198] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 198] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 198] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 198] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 198] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 198] BPUStage3: flushS3=0 -[DEBUG][time= 198] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 198] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 198] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 198] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 198] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 198] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 198] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 198] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 198] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 198] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 198] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 198] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 198] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 198] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 198] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 198] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 198] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 198] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 198] Dispatch2: regfile 0 from 3 -[DEBUG][time= 198] Dispatch2: regfile 1 from 3 -[DEBUG][time= 198] Dispatch2: regfile 2 from 0 -[DEBUG][time= 198] Dispatch2: regfile 3 from 0 -[DEBUG][time= 198] Dispatch2: regfile 4 from 0 -[DEBUG][time= 198] Dispatch2: regfile 5 from 0 -[DEBUG][time= 198] Dispatch2: regfile 6 from 0 -[DEBUG][time= 198] Dispatch2: regfile 7 from 0 -[DEBUG][time= 198] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 198] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 198] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 198] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 198] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 198] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 198] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 198] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 198] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 198] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 198] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 198] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 198] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 198] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 198] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 198] Roq: CSR block should only happen in s_idle -[DEBUG][time= 198] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 198] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 198] Roq: -------------------------------- -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 198] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 198] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 198] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 198] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 198] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 198] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 198] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 198] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 198] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 198] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 198] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 198] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 198] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 198] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 198] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 198] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 198] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 198] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 198] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 198] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 198] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 198] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 198] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 198] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 198] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 198] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 198] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 198] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 198] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 198] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 198] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 198] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 198] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 198] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 198] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 198] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 198] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 198] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 198] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 198] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 198] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 198] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 198] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 198] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 198] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 198] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 198] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 198] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 198] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 198] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 198] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 198] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 198] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 198] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 198] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 198] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 198] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 198] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 198] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 198] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 198] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 198] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 198] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 198] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 198] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 198] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 198] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 198] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 198] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 198] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 198] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 198] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 198] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 198] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 198] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 198] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 198] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 198] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 198] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 198] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 198] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 198] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 198] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 198] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 198] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 198] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 198] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 198] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 198] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 198] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 198] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 198] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 198] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 198] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 198] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 198] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 198] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 198] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 198] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 198] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 198] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 198] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 198] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 198] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 198] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 198] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 198] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 198] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 198] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 198] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 198] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 198] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 198] Brq: ---------------- -[DEBUG][time= 198] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 198] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 198] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 198] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 198] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 198] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 199] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 199] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 199] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 199] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 199] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 199] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 199] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 199] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 199] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 199] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 199] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 199] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 199] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 199] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 199] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 199] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 199] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 199] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 199] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 199] BPUStage3: flushS3=0 -[DEBUG][time= 199] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 199] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 199] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 199] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 199] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 199] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 199] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 199] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 199] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 199] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 199] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 199] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 199] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 199] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 199] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 199] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 199] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 199] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 199] Dispatch2: regfile 0 from 3 -[DEBUG][time= 199] Dispatch2: regfile 1 from 3 -[DEBUG][time= 199] Dispatch2: regfile 2 from 0 -[DEBUG][time= 199] Dispatch2: regfile 3 from 0 -[DEBUG][time= 199] Dispatch2: regfile 4 from 0 -[DEBUG][time= 199] Dispatch2: regfile 5 from 0 -[DEBUG][time= 199] Dispatch2: regfile 6 from 0 -[DEBUG][time= 199] Dispatch2: regfile 7 from 0 -[DEBUG][time= 199] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 199] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 199] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 199] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 199] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 199] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 199] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 199] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 199] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 199] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 199] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 199] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 199] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 199] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 199] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 199] Roq: CSR block should only happen in s_idle -[DEBUG][time= 199] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 199] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 199] Roq: -------------------------------- -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 199] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 199] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 199] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 199] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 199] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 199] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 199] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 199] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 199] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 199] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 199] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 199] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 199] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 199] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 199] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 199] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 199] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 199] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 199] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 199] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 199] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 199] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 199] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 199] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 199] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 199] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 199] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 199] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 199] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 199] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 199] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 199] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 199] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 199] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 199] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 199] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 199] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 199] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 199] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 199] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 199] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 199] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 199] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 199] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 199] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 199] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 199] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 199] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 199] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 199] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 199] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 199] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 199] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 199] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 199] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 199] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 199] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 199] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 199] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 199] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 199] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 199] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 199] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 199] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 199] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 199] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 199] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 199] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 199] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 199] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 199] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 199] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 199] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 199] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 199] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 199] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 199] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 199] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 199] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 199] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 199] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 199] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 199] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 199] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 199] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 199] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 199] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 199] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 199] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 199] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 199] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 199] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 199] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 199] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 199] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 199] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 199] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 199] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 199] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 199] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 199] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 199] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 199] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 199] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 199] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 199] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 199] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 199] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 199] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 199] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 199] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 199] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 199] Brq: ---------------- -[DEBUG][time= 199] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 199] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 199] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 199] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 199] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 199] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 200] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 200] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 200] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 200] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 200] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 200] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 200] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 200] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 200] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 200] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 200] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 200] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 200] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 200] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 200] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 200] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 200] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 200] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 200] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 200] BPUStage3: flushS3=0 -[DEBUG][time= 200] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 200] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 200] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 200] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 200] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 200] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 200] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 200] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 200] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 200] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 200] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 200] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 200] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 200] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 200] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 200] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 200] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 200] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 200] Dispatch2: regfile 0 from 3 -[DEBUG][time= 200] Dispatch2: regfile 1 from 3 -[DEBUG][time= 200] Dispatch2: regfile 2 from 0 -[DEBUG][time= 200] Dispatch2: regfile 3 from 0 -[DEBUG][time= 200] Dispatch2: regfile 4 from 0 -[DEBUG][time= 200] Dispatch2: regfile 5 from 0 -[DEBUG][time= 200] Dispatch2: regfile 6 from 0 -[DEBUG][time= 200] Dispatch2: regfile 7 from 0 -[DEBUG][time= 200] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 200] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 200] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 200] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 200] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 200] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 200] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 200] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 200] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 200] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 200] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 200] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 200] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 200] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 200] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 200] Roq: CSR block should only happen in s_idle -[DEBUG][time= 200] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 200] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 200] Roq: -------------------------------- -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 200] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 200] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 200] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 200] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 200] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 200] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 200] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 200] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 200] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 200] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 200] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 200] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 200] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 200] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 200] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 200] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 200] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 200] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 200] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 200] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 200] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 200] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 200] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 200] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 200] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 200] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 200] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 200] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 200] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 200] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 200] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 200] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 200] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 200] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 200] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 200] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 200] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 200] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 200] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 200] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 200] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 200] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 200] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 200] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 200] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 200] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 200] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 200] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 200] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 200] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 200] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 200] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 200] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 200] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 200] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 200] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 200] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 200] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 200] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 200] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 200] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 200] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 200] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 200] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 200] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 200] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 200] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 200] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 200] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 200] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 200] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 200] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 200] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 200] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 200] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 200] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 200] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 200] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 200] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 200] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 200] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 200] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 200] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 200] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 200] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 200] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 200] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 200] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 200] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 200] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 200] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 200] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 200] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 200] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 200] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 200] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 200] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 200] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 200] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 200] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 200] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 200] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 200] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 200] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 200] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 200] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 200] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 200] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 200] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 200] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 200] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 200] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 200] Brq: ---------------- -[DEBUG][time= 200] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 200] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 200] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 200] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 200] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 200] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 201] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 201] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 201] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 201] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 201] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 201] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 201] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 201] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 201] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 201] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 201] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 201] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 201] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 201] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 201] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 201] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 201] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 201] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 201] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 201] BPUStage3: flushS3=0 -[DEBUG][time= 201] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 201] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 201] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 201] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 201] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 201] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 201] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 201] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 201] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 201] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 201] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 201] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 201] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 201] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 201] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 201] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 201] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 201] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 201] Dispatch2: regfile 0 from 3 -[DEBUG][time= 201] Dispatch2: regfile 1 from 3 -[DEBUG][time= 201] Dispatch2: regfile 2 from 0 -[DEBUG][time= 201] Dispatch2: regfile 3 from 0 -[DEBUG][time= 201] Dispatch2: regfile 4 from 0 -[DEBUG][time= 201] Dispatch2: regfile 5 from 0 -[DEBUG][time= 201] Dispatch2: regfile 6 from 0 -[DEBUG][time= 201] Dispatch2: regfile 7 from 0 -[DEBUG][time= 201] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 201] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 201] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 201] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 201] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 201] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 201] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 201] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 201] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 201] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 201] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 201] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 201] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 201] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 201] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 201] Roq: CSR block should only happen in s_idle -[DEBUG][time= 201] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 201] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 201] Roq: -------------------------------- -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 201] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 201] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 201] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 201] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 201] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 201] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 201] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 201] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 201] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 201] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 201] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 201] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 201] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 201] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 201] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 201] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 201] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 201] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 201] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 201] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 201] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 201] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 201] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 201] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 201] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 201] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 201] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 201] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 201] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 201] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 201] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 201] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 201] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 201] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 201] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 201] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 201] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 201] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 201] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 201] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 201] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 201] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 201] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 201] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 201] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 201] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 201] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 201] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 201] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 201] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 201] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 201] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 201] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 201] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 201] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 201] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 201] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 201] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 201] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 201] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 201] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 201] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 201] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 201] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 201] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 201] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 201] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 201] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 201] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 201] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 201] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 201] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 201] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 201] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 201] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 201] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 201] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 201] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 201] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 201] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 201] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 201] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 201] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 201] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 201] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 201] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 201] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 201] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 201] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 201] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 201] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 201] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 201] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 201] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 201] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 201] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 201] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 201] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 201] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 201] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 201] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 201] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 201] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 201] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 201] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 201] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 201] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 201] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 201] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 201] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 201] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 201] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 201] Brq: ---------------- -[DEBUG][time= 201] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 201] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 201] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 201] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 201] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 201] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 202] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 202] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 202] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 202] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 202] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 202] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 202] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 202] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 202] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 202] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 202] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 202] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 202] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 202] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 202] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 202] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 202] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 202] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 202] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 202] BPUStage3: flushS3=0 -[DEBUG][time= 202] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 202] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 202] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 202] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 202] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 202] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 202] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 202] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 202] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 202] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 202] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 202] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 202] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 202] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 202] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 202] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 202] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 202] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 202] Dispatch2: regfile 0 from 3 -[DEBUG][time= 202] Dispatch2: regfile 1 from 3 -[DEBUG][time= 202] Dispatch2: regfile 2 from 0 -[DEBUG][time= 202] Dispatch2: regfile 3 from 0 -[DEBUG][time= 202] Dispatch2: regfile 4 from 0 -[DEBUG][time= 202] Dispatch2: regfile 5 from 0 -[DEBUG][time= 202] Dispatch2: regfile 6 from 0 -[DEBUG][time= 202] Dispatch2: regfile 7 from 0 -[DEBUG][time= 202] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 202] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 202] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 202] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 202] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 202] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 202] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 202] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 202] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 202] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 202] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 202] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 202] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 202] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 202] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 202] Roq: CSR block should only happen in s_idle -[DEBUG][time= 202] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 202] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 202] Roq: -------------------------------- -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 202] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 202] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 202] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 202] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 202] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 202] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 202] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 202] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 202] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 202] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 202] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 202] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 202] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 202] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 202] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 202] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 202] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 202] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 202] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 202] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 202] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 202] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 202] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 202] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 202] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 202] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 202] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 202] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 202] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 202] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 202] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 202] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 202] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 202] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 202] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 202] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 202] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 202] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 202] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 202] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 202] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 202] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 202] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 202] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 202] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 202] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 202] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 202] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 202] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 202] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 202] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 202] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 202] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 202] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 202] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 202] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 202] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 202] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 202] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 202] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 202] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 202] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 202] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 202] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 202] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 202] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 202] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 202] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 202] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 202] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 202] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 202] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 202] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 202] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 202] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 202] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 202] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 202] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 202] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 202] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 202] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 202] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 202] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 202] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 202] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 202] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 202] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 202] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 202] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 202] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 202] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 202] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 202] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 202] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 202] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 202] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 202] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 202] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 202] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 202] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 202] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 202] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 202] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 202] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 202] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 202] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 202] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 202] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 202] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 202] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 202] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 202] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 202] Brq: ---------------- -[DEBUG][time= 202] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 202] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 202] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 202] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 202] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 202] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 203] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 203] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 203] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 203] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 203] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 203] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 203] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 203] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 203] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 203] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 203] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 203] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 203] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 203] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 203] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 203] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 203] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 203] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 203] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 203] BPUStage3: flushS3=0 -[DEBUG][time= 203] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 203] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 203] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 203] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 203] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 203] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 203] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 203] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 203] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 203] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 203] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 203] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 203] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 203] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 203] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 203] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 203] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 203] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 203] Dispatch2: regfile 0 from 3 -[DEBUG][time= 203] Dispatch2: regfile 1 from 3 -[DEBUG][time= 203] Dispatch2: regfile 2 from 0 -[DEBUG][time= 203] Dispatch2: regfile 3 from 0 -[DEBUG][time= 203] Dispatch2: regfile 4 from 0 -[DEBUG][time= 203] Dispatch2: regfile 5 from 0 -[DEBUG][time= 203] Dispatch2: regfile 6 from 0 -[DEBUG][time= 203] Dispatch2: regfile 7 from 0 -[DEBUG][time= 203] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 203] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 203] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 203] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 203] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 203] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 203] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 203] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 203] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 203] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 203] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 203] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 203] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 203] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 203] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 203] Roq: CSR block should only happen in s_idle -[DEBUG][time= 203] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 203] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 203] Roq: -------------------------------- -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 203] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 203] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 203] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 203] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 203] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 203] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 203] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 203] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 203] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 203] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 203] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 203] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 203] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 203] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 203] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 203] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 203] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 203] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 203] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 203] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 203] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 203] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 203] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 203] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 203] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 203] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 203] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 203] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 203] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 203] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 203] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 203] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 203] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 203] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 203] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 203] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 203] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 203] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 203] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 203] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 203] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 203] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 203] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 203] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 203] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 203] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 203] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 203] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 203] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 203] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 203] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 203] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 203] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 203] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 203] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 203] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 203] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 203] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 203] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 203] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 203] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 203] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 203] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 203] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 203] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 203] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 203] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 203] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 203] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 203] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 203] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 203] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 203] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 203] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 203] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 203] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 203] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 203] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 203] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 203] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 203] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 203] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 203] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 203] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 203] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 203] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 203] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 203] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 203] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 203] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 203] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 203] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 203] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 203] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 203] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 203] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 203] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 203] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 203] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 203] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 203] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 203] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 203] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 203] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 203] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 203] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 203] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 203] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 203] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 203] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 203] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 203] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 203] Brq: ---------------- -[DEBUG][time= 203] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 203] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 203] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 203] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 203] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 203] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 204] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 204] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 204] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 204] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 204] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 204] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 204] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 204] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 204] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 204] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 204] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 204] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 204] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 204] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 204] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 204] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 204] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 204] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 204] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 204] BPUStage3: flushS3=0 -[DEBUG][time= 204] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 204] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 204] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 204] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 204] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 204] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 204] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 204] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 204] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 204] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 204] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 204] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 204] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 204] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 204] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 204] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 204] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 204] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 204] Dispatch2: regfile 0 from 3 -[DEBUG][time= 204] Dispatch2: regfile 1 from 3 -[DEBUG][time= 204] Dispatch2: regfile 2 from 0 -[DEBUG][time= 204] Dispatch2: regfile 3 from 0 -[DEBUG][time= 204] Dispatch2: regfile 4 from 0 -[DEBUG][time= 204] Dispatch2: regfile 5 from 0 -[DEBUG][time= 204] Dispatch2: regfile 6 from 0 -[DEBUG][time= 204] Dispatch2: regfile 7 from 0 -[DEBUG][time= 204] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 204] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 204] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 204] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 204] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 204] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 204] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 204] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 204] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 204] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 204] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 204] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 204] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 204] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 204] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 204] Roq: CSR block should only happen in s_idle -[DEBUG][time= 204] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 204] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 204] Roq: -------------------------------- -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 204] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 204] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 204] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 204] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 204] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 204] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 204] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 204] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 204] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 204] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 204] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 204] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 204] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 204] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 204] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 204] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 204] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 204] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 204] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 204] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 204] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 204] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 204] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 204] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 204] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 204] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 204] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 204] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 204] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 204] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 204] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 204] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 204] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 204] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 204] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 204] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 204] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 204] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 204] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 204] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 204] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 204] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 204] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 204] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 204] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 204] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 204] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 204] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 204] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 204] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 204] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 204] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 204] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 204] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 204] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 204] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 204] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 204] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 204] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 204] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 204] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 204] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 204] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 204] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 204] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 204] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 204] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 204] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 204] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 204] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 204] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 204] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 204] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 204] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 204] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 204] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 204] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 204] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 204] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 204] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 204] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 204] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 204] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 204] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 204] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 204] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 204] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 204] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 204] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 204] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 204] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 204] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 204] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 204] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 204] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 204] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 204] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 204] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 204] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 204] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 204] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 204] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 204] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 204] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 204] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 204] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 204] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 204] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 204] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 204] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 204] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 204] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 204] Brq: ---------------- -[DEBUG][time= 204] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 204] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 204] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 204] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 204] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 204] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 205] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 205] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 205] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 205] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 205] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 205] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 205] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 205] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 205] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 205] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 205] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 205] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 205] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 205] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 205] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 205] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 205] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 205] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 205] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 205] BPUStage3: flushS3=0 -[DEBUG][time= 205] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 205] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 205] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 205] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 205] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 205] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 205] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 205] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 205] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 205] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 205] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 205] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 205] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 205] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 205] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 205] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 205] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 205] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 205] Dispatch2: regfile 0 from 3 -[DEBUG][time= 205] Dispatch2: regfile 1 from 3 -[DEBUG][time= 205] Dispatch2: regfile 2 from 0 -[DEBUG][time= 205] Dispatch2: regfile 3 from 0 -[DEBUG][time= 205] Dispatch2: regfile 4 from 0 -[DEBUG][time= 205] Dispatch2: regfile 5 from 0 -[DEBUG][time= 205] Dispatch2: regfile 6 from 0 -[DEBUG][time= 205] Dispatch2: regfile 7 from 0 -[DEBUG][time= 205] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 205] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 205] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 205] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 205] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 205] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 205] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 205] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 205] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 205] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 205] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 205] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 205] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 205] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 205] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 205] Roq: CSR block should only happen in s_idle -[DEBUG][time= 205] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 205] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 205] Roq: -------------------------------- -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 205] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 205] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 205] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 205] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 205] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 205] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 205] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 205] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 205] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 205] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 205] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 205] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 205] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 205] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 205] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 205] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 205] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 205] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 205] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 205] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 205] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 205] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 205] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 205] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 205] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 205] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 205] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 205] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 205] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 205] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 205] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 205] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 205] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 205] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 205] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 205] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 205] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 205] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 205] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 205] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 205] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 205] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 205] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 205] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 205] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 205] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 205] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 205] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 205] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 205] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 205] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 205] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 205] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 205] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 205] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 205] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 205] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 205] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 205] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 205] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 205] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 205] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 205] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 205] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 205] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 205] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 205] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 205] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 205] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 205] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 205] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 205] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 205] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 205] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 205] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 205] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 205] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 205] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 205] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 205] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 205] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 205] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 205] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 205] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 205] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 205] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 205] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 205] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 205] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 205] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 205] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 205] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 205] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 205] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 205] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 205] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 205] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 205] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 205] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 205] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 205] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 205] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 205] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 205] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 205] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 205] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 205] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 205] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 205] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 205] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 205] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 205] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 205] Brq: ---------------- -[DEBUG][time= 205] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 205] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 205] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 205] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 205] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 205] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 206] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 206] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 206] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 206] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 206] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 206] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 206] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 206] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 206] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 206] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 206] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 206] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 206] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 206] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 206] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 206] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 206] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 206] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 206] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 206] BPUStage3: flushS3=0 -[DEBUG][time= 206] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 206] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 206] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 206] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 206] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 206] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 206] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 206] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 206] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 206] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 206] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 206] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 206] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 206] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 206] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 206] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 206] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 206] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 206] Dispatch2: regfile 0 from 3 -[DEBUG][time= 206] Dispatch2: regfile 1 from 3 -[DEBUG][time= 206] Dispatch2: regfile 2 from 0 -[DEBUG][time= 206] Dispatch2: regfile 3 from 0 -[DEBUG][time= 206] Dispatch2: regfile 4 from 0 -[DEBUG][time= 206] Dispatch2: regfile 5 from 0 -[DEBUG][time= 206] Dispatch2: regfile 6 from 0 -[DEBUG][time= 206] Dispatch2: regfile 7 from 0 -[DEBUG][time= 206] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 206] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 206] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 206] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 206] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 206] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 206] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 206] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 206] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 206] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 206] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 206] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 206] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 206] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 206] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 206] Roq: CSR block should only happen in s_idle -[DEBUG][time= 206] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 206] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 206] Roq: -------------------------------- -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 206] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 206] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 206] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 206] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 206] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 206] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 206] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 206] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 206] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 206] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 206] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 206] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 206] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 206] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 206] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 206] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 206] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 206] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 206] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 206] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 206] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 206] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 206] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 206] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 206] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 206] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 206] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 206] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 206] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 206] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 206] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 206] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 206] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 206] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 206] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 206] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 206] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 206] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 206] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 206] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 206] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 206] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 206] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 206] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 206] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 206] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 206] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 206] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 206] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 206] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 206] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 206] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 206] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 206] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 206] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 206] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 206] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 206] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 206] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 206] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 206] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 206] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 206] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 206] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 206] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 206] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 206] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 206] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 206] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 206] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 206] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 206] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 206] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 206] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 206] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 206] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 206] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 206] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 206] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 206] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 206] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 206] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 206] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 206] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 206] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 206] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 206] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 206] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 206] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 206] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 206] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 206] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 206] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 206] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 206] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 206] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 206] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 206] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 206] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 206] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 206] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 206] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 206] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 206] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 206] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 206] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 206] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 206] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 206] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 206] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 206] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 206] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 206] Brq: ---------------- -[DEBUG][time= 206] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 206] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 206] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 206] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 206] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 206] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 207] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 207] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 207] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 207] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 207] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 207] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 207] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 207] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 207] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 207] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 207] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 207] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 207] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 207] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 207] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 207] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 207] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 207] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 207] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 207] BPUStage3: flushS3=0 -[DEBUG][time= 207] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 207] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 207] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 207] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 207] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 207] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 207] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 207] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 207] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 207] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 207] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 207] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 207] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 207] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 207] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 207] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 207] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 207] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 207] Dispatch2: regfile 0 from 3 -[DEBUG][time= 207] Dispatch2: regfile 1 from 3 -[DEBUG][time= 207] Dispatch2: regfile 2 from 0 -[DEBUG][time= 207] Dispatch2: regfile 3 from 0 -[DEBUG][time= 207] Dispatch2: regfile 4 from 0 -[DEBUG][time= 207] Dispatch2: regfile 5 from 0 -[DEBUG][time= 207] Dispatch2: regfile 6 from 0 -[DEBUG][time= 207] Dispatch2: regfile 7 from 0 -[DEBUG][time= 207] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 207] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 207] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 207] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 207] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 207] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 207] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 207] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 207] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 207] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 207] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 207] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 207] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 207] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 207] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 207] Roq: CSR block should only happen in s_idle -[DEBUG][time= 207] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 207] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 207] Roq: -------------------------------- -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 207] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 207] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 207] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 207] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 207] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 207] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 207] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 207] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 207] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 207] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 207] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 207] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 207] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 207] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 207] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 207] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 207] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 207] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 207] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 207] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 207] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 207] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 207] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 207] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 207] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 207] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 207] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 207] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 207] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 207] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 207] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 207] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 207] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 207] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 207] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 207] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 207] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 207] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 207] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 207] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 207] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 207] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 207] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 207] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 207] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 207] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 207] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 207] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 207] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 207] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 207] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 207] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 207] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 207] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 207] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 207] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 207] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 207] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 207] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 207] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 207] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 207] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 207] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 207] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 207] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 207] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 207] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 207] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 207] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 207] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 207] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 207] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 207] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 207] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 207] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 207] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 207] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 207] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 207] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 207] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 207] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 207] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 207] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 207] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 207] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 207] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 207] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 207] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 207] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 207] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 207] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 207] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 207] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 207] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 207] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 207] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 207] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 207] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 207] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 207] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 207] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 207] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 207] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 207] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 207] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 207] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 207] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 207] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 207] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 207] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 207] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 207] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 207] Brq: ---------------- -[DEBUG][time= 207] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 207] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 207] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 207] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 207] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 207] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 208] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 208] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 208] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 208] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 208] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 208] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 208] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 208] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 208] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 208] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 208] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 208] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 208] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 208] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 208] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 208] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 208] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 208] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 208] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 208] BPUStage3: flushS3=0 -[DEBUG][time= 208] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 208] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 208] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 208] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 208] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 208] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 208] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 208] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 208] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 208] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 208] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 208] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 208] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 208] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 208] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 208] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 208] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 208] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 208] Dispatch2: regfile 0 from 3 -[DEBUG][time= 208] Dispatch2: regfile 1 from 3 -[DEBUG][time= 208] Dispatch2: regfile 2 from 0 -[DEBUG][time= 208] Dispatch2: regfile 3 from 0 -[DEBUG][time= 208] Dispatch2: regfile 4 from 0 -[DEBUG][time= 208] Dispatch2: regfile 5 from 0 -[DEBUG][time= 208] Dispatch2: regfile 6 from 0 -[DEBUG][time= 208] Dispatch2: regfile 7 from 0 -[DEBUG][time= 208] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 208] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 208] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 208] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 208] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 208] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 208] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 208] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 208] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 208] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 208] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 208] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 208] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 208] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 208] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 208] Roq: CSR block should only happen in s_idle -[DEBUG][time= 208] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 208] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 208] Roq: -------------------------------- -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 208] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 208] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 208] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 208] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 208] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 208] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 208] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 208] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 208] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 208] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 208] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 208] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 208] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 208] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 208] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 208] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 208] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 208] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 208] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 208] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 208] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 208] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 208] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 208] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 208] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 208] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 208] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 208] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 208] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 208] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 208] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 208] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 208] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 208] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 208] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 208] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 208] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 208] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 208] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 208] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 208] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 208] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 208] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 208] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 208] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 208] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 208] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 208] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 208] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 208] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 208] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 208] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 208] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 208] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 208] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 208] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 208] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 208] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 208] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 208] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 208] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 208] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 208] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 208] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 208] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 208] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 208] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 208] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 208] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 208] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 208] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 208] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 208] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 208] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 208] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 208] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 208] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 208] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 208] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 208] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 208] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 208] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 208] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 208] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 208] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 208] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 208] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 208] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 208] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 208] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 208] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 208] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 208] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 208] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 208] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 208] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 208] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 208] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 208] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 208] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 208] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 208] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 208] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 208] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 208] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 208] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 208] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 208] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 208] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 208] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 208] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 208] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 208] Brq: ---------------- -[DEBUG][time= 208] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 208] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 208] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 208] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 208] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 208] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 209] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 209] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 209] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 209] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 209] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 209] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 209] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 209] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 209] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 209] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 209] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 209] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 209] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 209] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 209] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 209] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 209] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 209] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 209] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 209] BPUStage3: flushS3=0 -[DEBUG][time= 209] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 209] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 209] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 209] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 209] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 209] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 209] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 209] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 209] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 209] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 209] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 209] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 209] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 209] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 209] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 209] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 209] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 209] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 209] Dispatch2: regfile 0 from 3 -[DEBUG][time= 209] Dispatch2: regfile 1 from 3 -[DEBUG][time= 209] Dispatch2: regfile 2 from 0 -[DEBUG][time= 209] Dispatch2: regfile 3 from 0 -[DEBUG][time= 209] Dispatch2: regfile 4 from 0 -[DEBUG][time= 209] Dispatch2: regfile 5 from 0 -[DEBUG][time= 209] Dispatch2: regfile 6 from 0 -[DEBUG][time= 209] Dispatch2: regfile 7 from 0 -[DEBUG][time= 209] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 209] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 209] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 209] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 209] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 209] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 209] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 209] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 209] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 209] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 209] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 209] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 209] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 209] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 209] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 209] Roq: CSR block should only happen in s_idle -[DEBUG][time= 209] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 209] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 209] Roq: -------------------------------- -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 209] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 209] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 209] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 209] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 209] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 209] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 209] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 209] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 209] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 209] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 209] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 209] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 209] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 209] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 209] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 209] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 209] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 209] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 209] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 209] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 209] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 209] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 209] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 209] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 209] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 209] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 209] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 209] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 209] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 209] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 209] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 209] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 209] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 209] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 209] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 209] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 209] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 209] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 209] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 209] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 209] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 209] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 209] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 209] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 209] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 209] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 209] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 209] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 209] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 209] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 209] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 209] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 209] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 209] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 209] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 209] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 209] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 209] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 209] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 209] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 209] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 209] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 209] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 209] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 209] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 209] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 209] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 209] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 209] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 209] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 209] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 209] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 209] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 209] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 209] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 209] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 209] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 209] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 209] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 209] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 209] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 209] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 209] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 209] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 209] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 209] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 209] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 209] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 209] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 209] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 209] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 209] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 209] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 209] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 209] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 209] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 209] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 209] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 209] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 209] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 209] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 209] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 209] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 209] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 209] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 209] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 209] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 209] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 209] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 209] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 209] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 209] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 209] Brq: ---------------- -[DEBUG][time= 209] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 209] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 209] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 209] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 209] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 209] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 210] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 210] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 210] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 210] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 210] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 210] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 210] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 210] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 210] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 210] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 210] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 210] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 210] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 210] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 210] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 210] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 210] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 210] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 210] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 210] BPUStage3: flushS3=0 -[DEBUG][time= 210] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 210] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 210] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 210] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 210] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 210] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 210] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 210] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 210] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 210] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 210] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 210] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 210] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 210] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 210] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 210] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 210] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 210] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 210] Dispatch2: regfile 0 from 3 -[DEBUG][time= 210] Dispatch2: regfile 1 from 3 -[DEBUG][time= 210] Dispatch2: regfile 2 from 0 -[DEBUG][time= 210] Dispatch2: regfile 3 from 0 -[DEBUG][time= 210] Dispatch2: regfile 4 from 0 -[DEBUG][time= 210] Dispatch2: regfile 5 from 0 -[DEBUG][time= 210] Dispatch2: regfile 6 from 0 -[DEBUG][time= 210] Dispatch2: regfile 7 from 0 -[DEBUG][time= 210] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 210] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 210] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 210] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 210] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 210] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 210] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 210] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 210] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 210] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 210] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 210] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 210] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 210] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 210] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 210] Roq: CSR block should only happen in s_idle -[DEBUG][time= 210] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 210] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 210] Roq: -------------------------------- -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 210] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 210] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 210] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 210] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 210] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 210] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 210] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 210] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 210] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 210] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 210] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 210] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 210] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 210] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 210] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 210] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 210] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 210] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 210] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 210] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 210] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 210] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 210] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 210] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 210] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 210] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 210] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 210] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 210] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 210] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 210] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 210] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 210] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 210] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 210] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 210] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 210] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 210] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 210] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 210] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 210] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 210] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 210] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 210] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 210] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 210] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 210] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 210] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 210] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 210] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 210] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 210] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 210] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 210] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 210] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 210] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 210] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 210] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 210] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 210] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 210] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 210] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 210] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 210] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 210] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 210] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 210] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 210] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 210] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 210] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 210] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 210] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 210] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 210] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 210] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 210] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 210] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 210] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 210] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 210] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 210] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 210] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 210] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 210] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 210] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 210] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 210] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 210] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 210] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 210] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 210] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 210] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 210] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 210] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 210] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 210] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 210] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 210] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 210] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 210] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 210] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 210] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 210] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 210] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 210] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 210] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 210] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 210] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 210] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 210] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 210] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 210] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 210] Brq: ---------------- -[DEBUG][time= 210] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 210] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 210] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 210] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 210] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 210] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 211] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 211] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 211] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 211] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 211] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 211] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 211] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 211] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 211] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 211] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 211] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 211] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 211] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 211] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 211] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 211] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 211] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 211] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 211] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 211] BPUStage3: flushS3=0 -[DEBUG][time= 211] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 211] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 211] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 211] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 211] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 211] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 211] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 211] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 211] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 211] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 211] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 211] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 211] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 211] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 211] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 211] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 211] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 211] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 211] Dispatch2: regfile 0 from 3 -[DEBUG][time= 211] Dispatch2: regfile 1 from 3 -[DEBUG][time= 211] Dispatch2: regfile 2 from 0 -[DEBUG][time= 211] Dispatch2: regfile 3 from 0 -[DEBUG][time= 211] Dispatch2: regfile 4 from 0 -[DEBUG][time= 211] Dispatch2: regfile 5 from 0 -[DEBUG][time= 211] Dispatch2: regfile 6 from 0 -[DEBUG][time= 211] Dispatch2: regfile 7 from 0 -[DEBUG][time= 211] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 211] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 211] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 211] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 211] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 211] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 211] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 211] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 211] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 211] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 211] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 211] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 211] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 211] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 211] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 211] Roq: CSR block should only happen in s_idle -[DEBUG][time= 211] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 211] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 211] Roq: -------------------------------- -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 211] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 211] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 211] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 211] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 211] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 211] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 211] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 211] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 211] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 211] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 211] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 211] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 211] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 211] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 211] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 211] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 211] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 211] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 211] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 211] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 211] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 211] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 211] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 211] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 211] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 211] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 211] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 211] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 211] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 211] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 211] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 211] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 211] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 211] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 211] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 211] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 211] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 211] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 211] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 211] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 211] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 211] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 211] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 211] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 211] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 211] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 211] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 211] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 211] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 211] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 211] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 211] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 211] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 211] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 211] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 211] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 211] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 211] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 211] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 211] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 211] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 211] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 211] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 211] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 211] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 211] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 211] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 211] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 211] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 211] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 211] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 211] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 211] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 211] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 211] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 211] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 211] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 211] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 211] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 211] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 211] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 211] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 211] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 211] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 211] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 211] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 211] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 211] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 211] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 211] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 211] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 211] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 211] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 211] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 211] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 211] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 211] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 211] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 211] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 211] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 211] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 211] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 211] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 211] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 211] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 211] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 211] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 211] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 211] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 211] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 211] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 211] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 211] Brq: ---------------- -[DEBUG][time= 211] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 211] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 211] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 211] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 211] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 211] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 212] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 212] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 212] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 212] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 212] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 212] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 212] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 212] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 212] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 212] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 212] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 212] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 212] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 212] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 212] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 212] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 212] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 212] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 212] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 212] BPUStage3: flushS3=0 -[DEBUG][time= 212] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 212] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 212] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 212] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 212] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 212] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 212] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 212] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 212] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 212] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 212] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 212] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 212] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 212] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 212] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 212] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 212] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 212] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 212] Dispatch2: regfile 0 from 3 -[DEBUG][time= 212] Dispatch2: regfile 1 from 3 -[DEBUG][time= 212] Dispatch2: regfile 2 from 0 -[DEBUG][time= 212] Dispatch2: regfile 3 from 0 -[DEBUG][time= 212] Dispatch2: regfile 4 from 0 -[DEBUG][time= 212] Dispatch2: regfile 5 from 0 -[DEBUG][time= 212] Dispatch2: regfile 6 from 0 -[DEBUG][time= 212] Dispatch2: regfile 7 from 0 -[DEBUG][time= 212] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 212] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 212] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 212] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 212] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 212] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 212] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 212] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 212] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 212] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 212] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 212] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 212] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 212] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 212] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 212] Roq: CSR block should only happen in s_idle -[DEBUG][time= 212] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 212] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 212] Roq: -------------------------------- -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 212] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 212] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 212] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 212] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 212] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 212] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 212] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 212] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 212] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 212] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 212] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 212] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 212] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 212] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 212] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 212] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 212] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 212] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 212] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 212] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 212] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 212] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 212] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 212] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 212] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 212] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 212] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 212] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 212] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 212] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 212] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 212] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 212] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 212] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 212] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 212] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 212] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 212] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 212] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 212] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 212] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 212] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 212] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 212] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 212] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 212] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 212] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 212] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 212] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 212] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 212] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 212] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 212] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 212] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 212] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 212] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 212] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 212] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 212] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 212] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 212] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 212] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 212] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 212] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 212] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 212] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 212] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 212] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 212] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 212] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 212] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 212] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 212] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 212] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 212] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 212] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 212] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 212] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 212] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 212] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 212] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 212] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 212] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 212] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 212] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 212] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 212] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 212] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 212] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 212] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 212] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 212] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 212] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 212] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 212] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 212] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 212] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 212] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 212] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 212] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 212] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 212] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 212] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 212] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 212] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 212] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 212] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 212] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 212] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 212] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 212] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 212] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 212] Brq: ---------------- -[DEBUG][time= 212] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 212] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 212] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 212] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 212] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 212] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 213] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 213] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 213] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 213] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 213] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 213] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 213] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 213] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 213] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 213] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 213] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 213] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 213] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 213] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 213] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 213] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 213] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 213] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 213] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 213] BPUStage3: flushS3=0 -[DEBUG][time= 213] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 213] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 213] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 213] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 213] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 213] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 213] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 213] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 213] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 213] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 213] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 213] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 213] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 213] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 213] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 213] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 213] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 213] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 213] Dispatch2: regfile 0 from 3 -[DEBUG][time= 213] Dispatch2: regfile 1 from 3 -[DEBUG][time= 213] Dispatch2: regfile 2 from 0 -[DEBUG][time= 213] Dispatch2: regfile 3 from 0 -[DEBUG][time= 213] Dispatch2: regfile 4 from 0 -[DEBUG][time= 213] Dispatch2: regfile 5 from 0 -[DEBUG][time= 213] Dispatch2: regfile 6 from 0 -[DEBUG][time= 213] Dispatch2: regfile 7 from 0 -[DEBUG][time= 213] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 213] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 213] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 213] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 213] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 213] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 213] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 213] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 213] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 213] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 213] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 213] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 213] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 213] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 213] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 213] Roq: CSR block should only happen in s_idle -[DEBUG][time= 213] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 213] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 213] Roq: -------------------------------- -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 213] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 213] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 213] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 213] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 213] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 213] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 213] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 213] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 213] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 213] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 213] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 213] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 213] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 213] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 213] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 213] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 213] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 213] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 213] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 213] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 213] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 213] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 213] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 213] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 213] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 213] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 213] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 213] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 213] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 213] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 213] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 213] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 213] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 213] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 213] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 213] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 213] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 213] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 213] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 213] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 213] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 213] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 213] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 213] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 213] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 213] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 213] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 213] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 213] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 213] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 213] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 213] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 213] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 213] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 213] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 213] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 213] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 213] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 213] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 213] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 213] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 213] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 213] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 213] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 213] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 213] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 213] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 213] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 213] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 213] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 213] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 213] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 213] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 213] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 213] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 213] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 213] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 213] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 213] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 213] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 213] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 213] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 213] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 213] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 213] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 213] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 213] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 213] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 213] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 213] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 213] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 213] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 213] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 213] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 213] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 213] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 213] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 213] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 213] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 213] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 213] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 213] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 213] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 213] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 213] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 213] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 213] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 213] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 213] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 213] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 213] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 213] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 213] Brq: ---------------- -[DEBUG][time= 213] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 213] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 213] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 213] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 213] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 213] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 214] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 214] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 214] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 214] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 214] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 214] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 214] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 214] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 214] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 214] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 214] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 214] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 214] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 214] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 214] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 214] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 214] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 214] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 214] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 214] BPUStage3: flushS3=0 -[DEBUG][time= 214] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 214] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 214] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 214] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 214] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 214] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 214] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 214] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 214] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 214] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 214] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 214] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 214] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 214] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 214] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 214] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 214] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 214] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 214] Dispatch2: regfile 0 from 3 -[DEBUG][time= 214] Dispatch2: regfile 1 from 3 -[DEBUG][time= 214] Dispatch2: regfile 2 from 0 -[DEBUG][time= 214] Dispatch2: regfile 3 from 0 -[DEBUG][time= 214] Dispatch2: regfile 4 from 0 -[DEBUG][time= 214] Dispatch2: regfile 5 from 0 -[DEBUG][time= 214] Dispatch2: regfile 6 from 0 -[DEBUG][time= 214] Dispatch2: regfile 7 from 0 -[DEBUG][time= 214] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 214] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 214] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 214] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 214] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 214] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 214] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 214] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 214] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 214] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 214] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 214] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 214] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 214] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 214] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 214] Roq: CSR block should only happen in s_idle -[DEBUG][time= 214] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 214] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 214] Roq: -------------------------------- -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 214] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 214] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 214] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 214] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 214] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 214] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 214] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 214] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 214] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 214] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 214] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 214] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 214] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 214] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 214] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 214] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 214] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 214] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 214] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 214] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 214] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 214] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 214] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 214] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 214] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 214] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 214] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 214] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 214] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 214] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 214] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 214] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 214] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 214] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 214] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 214] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 214] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 214] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 214] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 214] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 214] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 214] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 214] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 214] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 214] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 214] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 214] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 214] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 214] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 214] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 214] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 214] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 214] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 214] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 214] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 214] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 214] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 214] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 214] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 214] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 214] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 214] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 214] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 214] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 214] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 214] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 214] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 214] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 214] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 214] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 214] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 214] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 214] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 214] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 214] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 214] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 214] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 214] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 214] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 214] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 214] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 214] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 214] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 214] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 214] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 214] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 214] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 214] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 214] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 214] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 214] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 214] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 214] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 214] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 214] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 214] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 214] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 214] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 214] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 214] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 214] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 214] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 214] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 214] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 214] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 214] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 214] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 214] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 214] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 214] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 214] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 214] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 214] Brq: ---------------- -[DEBUG][time= 214] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 214] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 214] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 214] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 214] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 214] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 215] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 215] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 215] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 215] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 215] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 215] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 215] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 215] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 215] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 215] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 215] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 215] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 215] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 215] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 215] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 215] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 215] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 215] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 215] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 215] BPUStage3: flushS3=0 -[DEBUG][time= 215] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 215] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 215] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 215] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 215] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 215] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 215] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 215] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 215] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 215] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 215] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 215] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 215] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 215] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 215] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 215] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 215] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 215] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 215] Dispatch2: regfile 0 from 3 -[DEBUG][time= 215] Dispatch2: regfile 1 from 3 -[DEBUG][time= 215] Dispatch2: regfile 2 from 0 -[DEBUG][time= 215] Dispatch2: regfile 3 from 0 -[DEBUG][time= 215] Dispatch2: regfile 4 from 0 -[DEBUG][time= 215] Dispatch2: regfile 5 from 0 -[DEBUG][time= 215] Dispatch2: regfile 6 from 0 -[DEBUG][time= 215] Dispatch2: regfile 7 from 0 -[DEBUG][time= 215] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 215] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 215] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 215] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 215] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 215] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 215] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 215] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 215] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 215] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 215] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 215] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 215] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 215] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 215] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 215] Roq: CSR block should only happen in s_idle -[DEBUG][time= 215] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 215] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 215] Roq: -------------------------------- -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 215] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 215] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 215] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 215] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 215] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 215] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 215] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 215] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 215] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 215] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 215] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 215] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 215] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 215] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 215] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 215] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 215] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 215] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 215] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 215] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 215] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 215] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 215] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 215] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 215] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 215] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 215] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 215] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 215] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 215] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 215] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 215] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 215] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 215] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 215] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 215] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 215] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 215] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 215] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 215] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 215] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 215] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 215] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 215] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 215] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 215] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 215] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 215] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 215] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 215] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 215] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 215] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 215] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 215] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 215] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 215] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 215] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 215] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 215] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 215] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 215] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 215] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 215] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 215] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 215] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 215] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 215] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 215] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 215] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 215] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 215] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 215] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 215] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 215] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 215] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 215] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 215] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 215] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 215] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 215] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 215] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 215] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 215] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 215] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 215] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 215] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 215] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 215] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 215] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 215] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 215] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 215] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 215] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 215] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 215] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 215] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 215] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 215] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 215] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 215] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 215] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 215] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 215] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 215] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 215] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 215] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 215] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 215] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 215] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 215] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 215] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 215] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 215] Brq: ---------------- -[DEBUG][time= 215] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 215] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 215] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 215] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 215] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 215] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 216] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 216] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 216] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 216] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 216] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 216] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 216] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 216] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 216] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 216] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 216] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 216] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 216] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 216] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 216] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 216] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 216] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 216] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 216] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 216] BPUStage3: flushS3=0 -[DEBUG][time= 216] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 216] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 216] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 216] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 216] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 216] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 216] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 216] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 216] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 216] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 216] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 216] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 216] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 216] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 216] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 216] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 216] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 216] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 216] Dispatch2: regfile 0 from 3 -[DEBUG][time= 216] Dispatch2: regfile 1 from 3 -[DEBUG][time= 216] Dispatch2: regfile 2 from 0 -[DEBUG][time= 216] Dispatch2: regfile 3 from 0 -[DEBUG][time= 216] Dispatch2: regfile 4 from 0 -[DEBUG][time= 216] Dispatch2: regfile 5 from 0 -[DEBUG][time= 216] Dispatch2: regfile 6 from 0 -[DEBUG][time= 216] Dispatch2: regfile 7 from 0 -[DEBUG][time= 216] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 216] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 216] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 216] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 216] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 216] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 216] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 216] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 216] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 216] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 216] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 216] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 216] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 216] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 216] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 216] Roq: CSR block should only happen in s_idle -[DEBUG][time= 216] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 216] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 216] Roq: -------------------------------- -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 216] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 216] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 216] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 216] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 216] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 216] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 216] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 216] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 216] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 216] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 216] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 216] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 216] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 216] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 216] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 216] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 216] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 216] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 216] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 216] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 216] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 216] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 216] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 216] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 216] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 216] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 216] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 216] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 216] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 216] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 216] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 216] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 216] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 216] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 216] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 216] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 216] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 216] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 216] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 216] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 216] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 216] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 216] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 216] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 216] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 216] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 216] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 216] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 216] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 216] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 216] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 216] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 216] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 216] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 216] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 216] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 216] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 216] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 216] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 216] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 216] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 216] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 216] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 216] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 216] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 216] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 216] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 216] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 216] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 216] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 216] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 216] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 216] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 216] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 216] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 216] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 216] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 216] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 216] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 216] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 216] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 216] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 216] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 216] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 216] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 216] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 216] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 216] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 216] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 216] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 216] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 216] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 216] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 216] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 216] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 216] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 216] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 216] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 216] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 216] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 216] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 216] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 216] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 216] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 216] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 216] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 216] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 216] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 216] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 216] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 216] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 216] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 216] Brq: ---------------- -[DEBUG][time= 216] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 216] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 216] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 216] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 216] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 216] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 217] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 217] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 217] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 217] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 217] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 217] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 217] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 217] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 217] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 217] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 217] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 217] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 217] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 217] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 217] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 217] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 217] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 217] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 217] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 217] BPUStage3: flushS3=0 -[DEBUG][time= 217] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 217] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 217] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 217] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 217] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 217] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 217] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 217] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 217] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 217] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 217] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 217] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 217] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 217] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 217] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 217] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 217] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 217] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 217] Dispatch2: regfile 0 from 3 -[DEBUG][time= 217] Dispatch2: regfile 1 from 3 -[DEBUG][time= 217] Dispatch2: regfile 2 from 0 -[DEBUG][time= 217] Dispatch2: regfile 3 from 0 -[DEBUG][time= 217] Dispatch2: regfile 4 from 0 -[DEBUG][time= 217] Dispatch2: regfile 5 from 0 -[DEBUG][time= 217] Dispatch2: regfile 6 from 0 -[DEBUG][time= 217] Dispatch2: regfile 7 from 0 -[DEBUG][time= 217] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 217] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 217] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 217] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 217] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 217] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 217] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 217] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 217] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 217] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 217] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 217] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 217] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 217] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 217] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 217] Roq: CSR block should only happen in s_idle -[DEBUG][time= 217] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 217] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 217] Roq: -------------------------------- -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 217] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 217] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 217] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 217] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 217] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 217] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 217] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 217] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 217] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 217] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 217] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 217] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 217] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 217] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 217] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 217] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 217] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 217] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 217] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 217] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 217] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 217] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 217] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 217] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 217] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 217] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 217] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 217] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 217] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 217] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 217] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 217] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 217] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 217] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 217] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 217] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 217] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 217] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 217] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 217] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 217] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 217] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 217] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 217] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 217] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 217] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 217] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 217] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 217] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 217] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 217] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 217] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 217] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 217] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 217] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 217] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 217] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 217] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 217] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 217] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 217] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 217] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 217] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 217] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 217] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 217] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 217] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 217] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 217] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 217] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 217] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 217] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 217] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 217] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 217] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 217] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 217] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 217] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 217] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 217] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 217] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 217] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 217] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 217] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 217] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 217] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 217] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 217] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 217] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 217] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 217] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 217] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 217] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 217] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 217] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 217] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 217] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 217] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 217] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 217] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 217] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 217] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 217] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 217] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 217] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 217] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 217] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 217] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 217] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 217] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 217] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 217] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 217] Brq: ---------------- -[DEBUG][time= 217] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 217] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 217] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 217] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 217] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 217] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 218] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 218] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 218] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 218] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 218] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 218] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 218] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 218] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 218] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 218] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 218] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 218] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 218] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 218] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 218] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 218] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 218] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 218] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 218] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 218] BPUStage3: flushS3=0 -[DEBUG][time= 218] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 218] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 218] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 218] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 218] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 218] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 218] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 218] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 218] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 218] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 218] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 218] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 218] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 218] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 218] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 218] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 218] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 218] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 218] Dispatch2: regfile 0 from 3 -[DEBUG][time= 218] Dispatch2: regfile 1 from 3 -[DEBUG][time= 218] Dispatch2: regfile 2 from 0 -[DEBUG][time= 218] Dispatch2: regfile 3 from 0 -[DEBUG][time= 218] Dispatch2: regfile 4 from 0 -[DEBUG][time= 218] Dispatch2: regfile 5 from 0 -[DEBUG][time= 218] Dispatch2: regfile 6 from 0 -[DEBUG][time= 218] Dispatch2: regfile 7 from 0 -[DEBUG][time= 218] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 218] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 218] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 218] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 218] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 218] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 218] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 218] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 218] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 218] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 218] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 218] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 218] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 218] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 218] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 218] Roq: CSR block should only happen in s_idle -[DEBUG][time= 218] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 218] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 218] Roq: -------------------------------- -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 218] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 218] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 218] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 218] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 218] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 218] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 218] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 218] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 218] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 218] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 218] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 218] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 218] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 218] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 218] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 218] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 218] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 218] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 218] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 218] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 218] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 218] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 218] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 218] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 218] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 218] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 218] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 218] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 218] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 218] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 218] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 218] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 218] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 218] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 218] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 218] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 218] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 218] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 218] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 218] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 218] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 218] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 218] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 218] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 218] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 218] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 218] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 218] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 218] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 218] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 218] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 218] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 218] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 218] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 218] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 218] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 218] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 218] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 218] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 218] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 218] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 218] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 218] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 218] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 218] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 218] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 218] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 218] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 218] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 218] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 218] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 218] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 218] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 218] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 218] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 218] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 218] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 218] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 218] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 218] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 218] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 218] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 218] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 218] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 218] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 218] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 218] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 218] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 218] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 218] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 218] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 218] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 218] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 218] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 218] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 218] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 218] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 218] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 218] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 218] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 218] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 218] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 218] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 218] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 218] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 218] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 218] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 218] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 218] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 218] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 218] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 218] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 218] Brq: ---------------- -[DEBUG][time= 218] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 218] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 218] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 218] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 218] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 218] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 219] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 219] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 219] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 219] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 219] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 219] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 219] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 219] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 219] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 219] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 219] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 219] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 219] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 219] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 219] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 219] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 219] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 219] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 219] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 219] BPUStage3: flushS3=0 -[DEBUG][time= 219] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 219] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 219] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 219] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 219] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 219] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 219] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 219] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 219] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 219] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 219] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 219] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 219] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 219] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 219] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 219] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 219] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 219] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 219] Dispatch2: regfile 0 from 3 -[DEBUG][time= 219] Dispatch2: regfile 1 from 3 -[DEBUG][time= 219] Dispatch2: regfile 2 from 0 -[DEBUG][time= 219] Dispatch2: regfile 3 from 0 -[DEBUG][time= 219] Dispatch2: regfile 4 from 0 -[DEBUG][time= 219] Dispatch2: regfile 5 from 0 -[DEBUG][time= 219] Dispatch2: regfile 6 from 0 -[DEBUG][time= 219] Dispatch2: regfile 7 from 0 -[DEBUG][time= 219] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 219] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 219] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 219] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 219] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 219] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 219] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 219] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 219] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 219] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 219] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 219] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 219] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 219] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 219] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 219] Roq: CSR block should only happen in s_idle -[DEBUG][time= 219] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 219] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 219] Roq: -------------------------------- -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 219] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 219] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 219] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 219] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 219] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 219] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 219] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 219] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 219] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 219] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 219] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 219] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 219] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 219] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 219] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 219] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 219] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 219] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 219] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 219] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 219] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 219] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 219] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 219] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 219] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 219] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 219] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 219] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 219] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 219] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 219] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 219] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 219] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 219] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 219] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 219] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 219] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 219] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 219] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 219] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 219] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 219] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 219] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 219] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 219] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 219] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 219] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 219] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 219] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 219] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 219] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 219] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 219] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 219] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 219] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 219] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 219] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 219] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 219] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 219] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 219] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 219] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 219] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 219] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 219] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 219] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 219] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 219] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 219] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 219] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 219] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 219] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 219] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 219] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 219] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 219] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 219] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 219] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 219] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 219] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 219] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 219] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 219] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 219] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 219] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 219] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 219] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 219] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 219] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 219] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 219] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 219] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 219] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 219] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 219] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 219] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 219] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 219] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 219] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 219] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 219] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 219] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 219] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 219] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 219] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 219] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 219] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 219] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 219] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 219] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 219] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 219] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 219] Brq: ---------------- -[DEBUG][time= 219] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 219] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 219] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 219] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 219] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 219] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 220] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 220] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 220] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 220] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 220] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 220] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 220] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 220] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 220] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 220] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 220] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 220] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 220] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 220] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 220] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 220] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 220] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 220] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 220] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 220] BPUStage3: flushS3=0 -[DEBUG][time= 220] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 220] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 220] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 220] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 220] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 220] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 220] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 220] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 220] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 220] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 220] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 220] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 220] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 220] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 220] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 220] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 220] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 220] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 220] Dispatch2: regfile 0 from 3 -[DEBUG][time= 220] Dispatch2: regfile 1 from 3 -[DEBUG][time= 220] Dispatch2: regfile 2 from 0 -[DEBUG][time= 220] Dispatch2: regfile 3 from 0 -[DEBUG][time= 220] Dispatch2: regfile 4 from 0 -[DEBUG][time= 220] Dispatch2: regfile 5 from 0 -[DEBUG][time= 220] Dispatch2: regfile 6 from 0 -[DEBUG][time= 220] Dispatch2: regfile 7 from 0 -[DEBUG][time= 220] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 220] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 220] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 220] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 220] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 220] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 220] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 220] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 220] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 220] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 220] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 220] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 220] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 220] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 220] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 220] Roq: CSR block should only happen in s_idle -[DEBUG][time= 220] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 220] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 220] Roq: -------------------------------- -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 220] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 220] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 220] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 220] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 220] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 220] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 220] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 220] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 220] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 220] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 220] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 220] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 220] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 220] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 220] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 220] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 220] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 220] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 220] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 220] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 220] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 220] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 220] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 220] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 220] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 220] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 220] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 220] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 220] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 220] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 220] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 220] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 220] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 220] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 220] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 220] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 220] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 220] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 220] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 220] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 220] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 220] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 220] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 220] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 220] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 220] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 220] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 220] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 220] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 220] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 220] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 220] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 220] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 220] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 220] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 220] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 220] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 220] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 220] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 220] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 220] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 220] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 220] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 220] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 220] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 220] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 220] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 220] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 220] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 220] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 220] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 220] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 220] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 220] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 220] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 220] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 220] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 220] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 220] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 220] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 220] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 220] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 220] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 220] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 220] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 220] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 220] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 220] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 220] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 220] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 220] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 220] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 220] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 220] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 220] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 220] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 220] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 220] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 220] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 220] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 220] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 220] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 220] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 220] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 220] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 220] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 220] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 220] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 220] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 220] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 220] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 220] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 220] Brq: ---------------- -[DEBUG][time= 220] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 220] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 220] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 220] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 220] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 220] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 221] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 221] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 221] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 221] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 221] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 221] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 221] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 221] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 221] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 221] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 221] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 221] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 221] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 221] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 221] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 221] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 221] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 221] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 221] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 221] BPUStage3: flushS3=0 -[DEBUG][time= 221] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 221] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 221] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 221] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 221] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 221] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 221] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 221] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 221] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 221] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 221] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 221] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 221] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 221] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 221] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 221] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 221] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 221] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 221] Dispatch2: regfile 0 from 3 -[DEBUG][time= 221] Dispatch2: regfile 1 from 3 -[DEBUG][time= 221] Dispatch2: regfile 2 from 0 -[DEBUG][time= 221] Dispatch2: regfile 3 from 0 -[DEBUG][time= 221] Dispatch2: regfile 4 from 0 -[DEBUG][time= 221] Dispatch2: regfile 5 from 0 -[DEBUG][time= 221] Dispatch2: regfile 6 from 0 -[DEBUG][time= 221] Dispatch2: regfile 7 from 0 -[DEBUG][time= 221] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 221] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 221] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 221] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 221] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 221] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 221] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 221] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 221] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 221] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 221] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 221] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 221] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 221] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 221] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 221] Roq: CSR block should only happen in s_idle -[DEBUG][time= 221] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 221] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 221] Roq: -------------------------------- -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 221] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 221] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 221] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 221] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 221] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 221] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 221] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 221] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 221] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 221] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 221] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 221] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 221] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 221] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 221] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 221] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 221] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 221] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 221] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 221] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 221] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 221] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 221] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 221] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 221] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 221] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 221] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 221] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 221] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 221] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 221] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 221] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 221] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 221] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 221] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 221] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 221] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 221] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 221] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 221] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 221] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 221] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 221] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 221] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 221] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 221] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 221] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 221] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 221] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 221] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 221] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 221] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 221] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 221] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 221] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 221] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 221] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 221] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 221] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 221] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 221] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 221] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 221] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 221] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 221] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 221] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 221] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 221] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 221] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 221] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 221] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 221] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 221] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 221] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 221] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 221] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 221] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 221] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 221] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 221] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 221] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 221] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 221] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 221] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 221] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 221] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 221] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 221] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 221] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 221] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 221] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 221] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 221] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 221] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 221] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 221] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 221] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 221] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 221] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 221] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 221] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 221] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 221] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 221] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 221] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 221] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 221] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 221] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 221] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 221] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 221] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 221] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 221] Brq: ---------------- -[DEBUG][time= 221] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 221] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 221] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 221] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 221] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 221] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 222] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 222] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 222] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 222] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 222] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 222] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 222] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 222] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 222] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 222] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 222] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 222] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 222] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 222] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 222] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 222] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 222] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 222] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 222] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 222] BPUStage3: flushS3=0 -[DEBUG][time= 222] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 222] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 222] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 222] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 222] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 222] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 222] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 222] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 222] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 222] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 222] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 222] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 222] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 222] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 222] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 222] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 222] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 222] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 222] Dispatch2: regfile 0 from 3 -[DEBUG][time= 222] Dispatch2: regfile 1 from 3 -[DEBUG][time= 222] Dispatch2: regfile 2 from 0 -[DEBUG][time= 222] Dispatch2: regfile 3 from 0 -[DEBUG][time= 222] Dispatch2: regfile 4 from 0 -[DEBUG][time= 222] Dispatch2: regfile 5 from 0 -[DEBUG][time= 222] Dispatch2: regfile 6 from 0 -[DEBUG][time= 222] Dispatch2: regfile 7 from 0 -[DEBUG][time= 222] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 222] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 222] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 222] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 222] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 222] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 222] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 222] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 222] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 222] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 222] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 222] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 222] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 222] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 222] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 222] Roq: CSR block should only happen in s_idle -[DEBUG][time= 222] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 222] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 222] Roq: -------------------------------- -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 222] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 222] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 222] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 222] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 222] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 222] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 222] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 222] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 222] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 222] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 222] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 222] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 222] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 222] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 222] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 222] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 222] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 222] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 222] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 222] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 222] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 222] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 222] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 222] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 222] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 222] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 222] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 222] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 222] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 222] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 222] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 222] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 222] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 222] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 222] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 222] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 222] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 222] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 222] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 222] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 222] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 222] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 222] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 222] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 222] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 222] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 222] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 222] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 222] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 222] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 222] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 222] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 222] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 222] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 222] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 222] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 222] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 222] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 222] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 222] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 222] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 222] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 222] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 222] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 222] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 222] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 222] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 222] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 222] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 222] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 222] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 222] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 222] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 222] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 222] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 222] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 222] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 222] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 222] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 222] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 222] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 222] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 222] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 222] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 222] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 222] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 222] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 222] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 222] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 222] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 222] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 222] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 222] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 222] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 222] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 222] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 222] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 222] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 222] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 222] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 222] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 222] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 222] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 222] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 222] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 222] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 222] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 222] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 222] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 222] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 222] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 222] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 222] Brq: ---------------- -[DEBUG][time= 222] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 222] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 222] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 222] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 222] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 222] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 223] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 223] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 223] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 223] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 223] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 223] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 223] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 223] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 223] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 223] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 223] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 223] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 223] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 223] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 223] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 223] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 223] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 223] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 223] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 223] BPUStage3: flushS3=0 -[DEBUG][time= 223] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 223] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 223] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 223] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 223] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 223] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 223] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 223] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 223] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 223] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 223] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 223] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 223] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 223] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 223] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 223] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 223] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 223] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 223] Dispatch2: regfile 0 from 3 -[DEBUG][time= 223] Dispatch2: regfile 1 from 3 -[DEBUG][time= 223] Dispatch2: regfile 2 from 0 -[DEBUG][time= 223] Dispatch2: regfile 3 from 0 -[DEBUG][time= 223] Dispatch2: regfile 4 from 0 -[DEBUG][time= 223] Dispatch2: regfile 5 from 0 -[DEBUG][time= 223] Dispatch2: regfile 6 from 0 -[DEBUG][time= 223] Dispatch2: regfile 7 from 0 -[DEBUG][time= 223] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 223] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 223] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 223] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 223] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 223] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 223] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 223] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 223] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 223] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 223] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 223] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 223] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 223] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 223] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 223] Roq: CSR block should only happen in s_idle -[DEBUG][time= 223] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 223] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 223] Roq: -------------------------------- -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 223] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 223] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 223] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 223] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 223] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 223] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 223] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 223] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 223] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 223] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 223] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 223] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 223] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 223] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 223] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 223] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 223] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 223] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 223] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 223] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 223] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 223] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 223] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 223] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 223] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 223] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 223] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 223] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 223] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 223] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 223] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 223] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 223] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 223] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 223] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 223] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 223] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 223] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 223] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 223] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 223] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 223] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 223] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 223] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 223] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 223] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 223] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 223] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 223] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 223] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 223] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 223] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 223] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 223] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 223] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 223] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 223] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 223] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 223] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 223] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 223] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 223] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 223] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 223] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 223] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 223] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 223] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 223] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 223] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 223] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 223] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 223] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 223] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 223] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 223] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 223] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 223] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 223] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 223] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 223] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 223] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 223] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 223] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 223] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 223] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 223] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 223] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 223] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 223] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 223] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 223] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 223] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 223] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 223] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 223] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 223] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 223] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 223] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 223] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 223] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 223] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 223] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 223] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 223] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 223] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 223] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 223] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 223] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 223] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 223] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 223] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 223] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 223] Brq: ---------------- -[DEBUG][time= 223] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 223] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 223] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 223] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 223] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 223] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 224] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 224] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 224] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 224] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 224] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 224] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 224] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 224] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 224] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 224] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 224] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 224] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 224] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 224] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 224] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 224] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 224] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 224] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 224] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 224] BPUStage3: flushS3=0 -[DEBUG][time= 224] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 224] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 224] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 224] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 224] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 224] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 224] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 224] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 224] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 224] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 224] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 224] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 224] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 224] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 224] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 224] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 224] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 224] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 224] Dispatch2: regfile 0 from 3 -[DEBUG][time= 224] Dispatch2: regfile 1 from 3 -[DEBUG][time= 224] Dispatch2: regfile 2 from 0 -[DEBUG][time= 224] Dispatch2: regfile 3 from 0 -[DEBUG][time= 224] Dispatch2: regfile 4 from 0 -[DEBUG][time= 224] Dispatch2: regfile 5 from 0 -[DEBUG][time= 224] Dispatch2: regfile 6 from 0 -[DEBUG][time= 224] Dispatch2: regfile 7 from 0 -[DEBUG][time= 224] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 224] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 224] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 224] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 224] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 224] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 224] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 224] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 224] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 224] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 224] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 224] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 224] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 224] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 224] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 224] Roq: CSR block should only happen in s_idle -[DEBUG][time= 224] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 224] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 224] Roq: -------------------------------- -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 224] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 224] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 224] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 224] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 224] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 224] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 224] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 224] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 224] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 224] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 224] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 224] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 224] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 224] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 224] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 224] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 224] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 224] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 224] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 224] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 224] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 224] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 224] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 224] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 224] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 224] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 224] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 224] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 224] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 224] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 224] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 224] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 224] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 224] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 224] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 224] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 224] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 224] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 224] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 224] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 224] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 224] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 224] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 224] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 224] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 224] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 224] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 224] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 224] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 224] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 224] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 224] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 224] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 224] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 224] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 224] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 224] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 224] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 224] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 224] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 224] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 224] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 224] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 224] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 224] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 224] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 224] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 224] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 224] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 224] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 224] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 224] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 224] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 224] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 224] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 224] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 224] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 224] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 224] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 224] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 224] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 224] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 224] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 224] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 224] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 224] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 224] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 224] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 224] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 224] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 224] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 224] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 224] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 224] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 224] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 224] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 224] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 224] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 224] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 224] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 224] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 224] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 224] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 224] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 224] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 224] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 224] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 224] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 224] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 224] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 224] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 224] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 224] Brq: ---------------- -[DEBUG][time= 224] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 224] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 224] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 224] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 224] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 224] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 225] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 225] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 225] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 225] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 225] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 225] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 225] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 225] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 225] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 225] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 225] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 225] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 225] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 225] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 225] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 225] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 225] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 225] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 225] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 225] BPUStage3: flushS3=0 -[DEBUG][time= 225] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 225] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 225] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 225] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 225] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 225] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 225] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 225] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 225] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 225] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 225] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 225] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 225] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 225] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 225] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 225] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 225] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 225] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 225] Dispatch2: regfile 0 from 3 -[DEBUG][time= 225] Dispatch2: regfile 1 from 3 -[DEBUG][time= 225] Dispatch2: regfile 2 from 0 -[DEBUG][time= 225] Dispatch2: regfile 3 from 0 -[DEBUG][time= 225] Dispatch2: regfile 4 from 0 -[DEBUG][time= 225] Dispatch2: regfile 5 from 0 -[DEBUG][time= 225] Dispatch2: regfile 6 from 0 -[DEBUG][time= 225] Dispatch2: regfile 7 from 0 -[DEBUG][time= 225] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 225] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 225] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 225] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 225] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 225] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 225] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 225] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 225] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 225] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 225] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 225] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 225] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 225] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 225] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 225] Roq: CSR block should only happen in s_idle -[DEBUG][time= 225] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 225] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 225] Roq: -------------------------------- -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 225] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 225] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 225] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 225] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 225] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 225] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 225] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 225] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 225] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 225] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 225] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 225] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 225] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 225] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 225] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 225] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 225] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 225] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 225] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 225] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 225] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 225] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 225] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 225] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 225] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 225] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 225] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 225] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 225] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 225] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 225] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 225] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 225] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 225] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 225] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 225] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 225] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 225] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 225] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 225] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 225] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 225] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 225] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 225] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 225] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 225] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 225] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 225] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 225] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 225] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 225] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 225] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 225] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 225] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 225] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 225] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 225] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 225] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 225] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 225] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 225] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 225] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 225] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 225] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 225] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 225] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 225] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 225] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 225] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 225] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 225] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 225] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 225] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 225] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 225] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 225] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 225] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 225] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 225] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 225] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 225] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 225] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 225] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 225] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 225] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 225] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 225] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 225] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 225] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 225] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 225] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 225] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 225] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 225] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 225] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 225] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 225] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 225] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 225] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 225] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 225] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 225] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 225] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 225] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 225] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 225] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 225] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 225] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 225] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 225] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 225] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 225] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 225] Brq: ---------------- -[DEBUG][time= 225] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 225] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 225] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 225] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 225] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 225] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 226] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 226] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 226] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 226] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 226] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 226] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 226] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 226] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 226] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 226] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 226] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 226] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 226] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 226] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 226] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 226] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 226] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 226] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 226] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 226] BPUStage3: flushS3=0 -[DEBUG][time= 226] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 226] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 226] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 226] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 226] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 226] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 226] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 226] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 226] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 226] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 226] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 226] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 226] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 226] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 226] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 226] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 226] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 226] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 226] Dispatch2: regfile 0 from 3 -[DEBUG][time= 226] Dispatch2: regfile 1 from 3 -[DEBUG][time= 226] Dispatch2: regfile 2 from 0 -[DEBUG][time= 226] Dispatch2: regfile 3 from 0 -[DEBUG][time= 226] Dispatch2: regfile 4 from 0 -[DEBUG][time= 226] Dispatch2: regfile 5 from 0 -[DEBUG][time= 226] Dispatch2: regfile 6 from 0 -[DEBUG][time= 226] Dispatch2: regfile 7 from 0 -[DEBUG][time= 226] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 226] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 226] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 226] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 226] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 226] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 226] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 226] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 226] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 226] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 226] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 226] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 226] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 226] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 226] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 226] Roq: CSR block should only happen in s_idle -[DEBUG][time= 226] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 226] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 226] Roq: -------------------------------- -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 226] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 226] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 226] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 226] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 226] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 226] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 226] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 226] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 226] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 226] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 226] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 226] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 226] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 226] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 226] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 226] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 226] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 226] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 226] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 226] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 226] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 226] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 226] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 226] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 226] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 226] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 226] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 226] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 226] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 226] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 226] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 226] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 226] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 226] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 226] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 226] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 226] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 226] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 226] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 226] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 226] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 226] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 226] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 226] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 226] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 226] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 226] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 226] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 226] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 226] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 226] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 226] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 226] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 226] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 226] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 226] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 226] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 226] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 226] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 226] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 226] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 226] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 226] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 226] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 226] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 226] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 226] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 226] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 226] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 226] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 226] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 226] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 226] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 226] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 226] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 226] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 226] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 226] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 226] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 226] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 226] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 226] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 226] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 226] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 226] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 226] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 226] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 226] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 226] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 226] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 226] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 226] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 226] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 226] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 226] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 226] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 226] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 226] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 226] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 226] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 226] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 226] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 226] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 226] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 226] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 226] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 226] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 226] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 226] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 226] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 226] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 226] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 226] Brq: ---------------- -[DEBUG][time= 226] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 226] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 226] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 226] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 226] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 226] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 227] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 227] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 227] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 227] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 227] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 227] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 227] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 227] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 227] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 227] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 227] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 227] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 227] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 227] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 227] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 227] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 227] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 227] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 227] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 227] BPUStage3: flushS3=0 -[DEBUG][time= 227] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 227] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 227] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 227] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 227] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 227] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 227] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 227] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 227] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 227] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 227] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 227] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 227] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 227] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 227] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 227] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 227] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 227] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 227] Dispatch2: regfile 0 from 3 -[DEBUG][time= 227] Dispatch2: regfile 1 from 3 -[DEBUG][time= 227] Dispatch2: regfile 2 from 0 -[DEBUG][time= 227] Dispatch2: regfile 3 from 0 -[DEBUG][time= 227] Dispatch2: regfile 4 from 0 -[DEBUG][time= 227] Dispatch2: regfile 5 from 0 -[DEBUG][time= 227] Dispatch2: regfile 6 from 0 -[DEBUG][time= 227] Dispatch2: regfile 7 from 0 -[DEBUG][time= 227] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 227] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 227] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 227] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 227] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 227] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 227] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 227] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 227] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 227] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 227] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 227] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 227] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 227] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 227] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 227] Roq: CSR block should only happen in s_idle -[DEBUG][time= 227] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 227] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 227] Roq: -------------------------------- -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 227] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 227] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 227] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 227] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 227] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 227] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 227] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 227] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 227] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 227] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 227] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 227] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 227] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 227] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 227] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 227] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 227] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 227] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 227] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 227] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 227] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 227] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 227] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 227] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 227] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 227] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 227] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 227] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 227] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 227] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 227] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 227] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 227] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 227] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 227] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 227] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 227] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 227] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 227] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 227] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 227] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 227] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 227] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 227] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 227] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 227] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 227] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 227] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 227] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 227] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 227] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 227] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 227] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 227] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 227] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 227] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 227] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 227] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 227] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 227] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 227] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 227] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 227] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 227] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 227] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 227] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 227] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 227] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 227] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 227] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 227] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 227] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 227] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 227] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 227] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 227] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 227] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 227] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 227] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 227] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 227] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 227] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 227] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 227] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 227] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 227] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 227] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 227] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 227] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 227] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 227] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 227] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 227] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 227] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 227] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 227] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 227] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 227] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 227] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 227] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 227] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 227] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 227] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 227] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 227] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 227] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 227] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 227] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 227] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 227] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 227] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 227] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 227] Brq: ---------------- -[DEBUG][time= 227] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 227] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 227] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 227] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 227] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 227] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 228] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 228] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 228] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 228] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 228] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 228] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 228] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 228] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 228] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 228] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 228] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 228] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 228] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 228] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 228] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 228] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 228] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 228] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 228] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 228] BPUStage3: flushS3=0 -[DEBUG][time= 228] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 228] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 228] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 228] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 228] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 228] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 228] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 228] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 228] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 228] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 228] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 228] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 228] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 228] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 228] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 228] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 228] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 228] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 228] Dispatch2: regfile 0 from 3 -[DEBUG][time= 228] Dispatch2: regfile 1 from 3 -[DEBUG][time= 228] Dispatch2: regfile 2 from 0 -[DEBUG][time= 228] Dispatch2: regfile 3 from 0 -[DEBUG][time= 228] Dispatch2: regfile 4 from 0 -[DEBUG][time= 228] Dispatch2: regfile 5 from 0 -[DEBUG][time= 228] Dispatch2: regfile 6 from 0 -[DEBUG][time= 228] Dispatch2: regfile 7 from 0 -[DEBUG][time= 228] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 228] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 228] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 228] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 228] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 228] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 228] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 228] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 228] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 228] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 228] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 228] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 228] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 228] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 228] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 228] Roq: CSR block should only happen in s_idle -[DEBUG][time= 228] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 228] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 228] Roq: -------------------------------- -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 228] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 228] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 228] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 228] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 228] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 228] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 228] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 228] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 228] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 228] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 228] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 228] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 228] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 228] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 228] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 228] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 228] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 228] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 228] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 228] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 228] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 228] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 228] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 228] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 228] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 228] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 228] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 228] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 228] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 228] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 228] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 228] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 228] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 228] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 228] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 228] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 228] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 228] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 228] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 228] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 228] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 228] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 228] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 228] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 228] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 228] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 228] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 228] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 228] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 228] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 228] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 228] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 228] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 228] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 228] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 228] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 228] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 228] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 228] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 228] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 228] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 228] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 228] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 228] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 228] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 228] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 228] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 228] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 228] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 228] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 228] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 228] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 228] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 228] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 228] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 228] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 228] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 228] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 228] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 228] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 228] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 228] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 228] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 228] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 228] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 228] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 228] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 228] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 228] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 228] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 228] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 228] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 228] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 228] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 228] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 228] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 228] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 228] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 228] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 228] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 228] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 228] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 228] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 228] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 228] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 228] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 228] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 228] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 228] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 228] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 228] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 228] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 228] Brq: ---------------- -[DEBUG][time= 228] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 228] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 228] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 228] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 228] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 228] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 229] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 229] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 229] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 229] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 229] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 229] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 229] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 229] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 229] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 229] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 229] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 229] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 229] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 229] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 229] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 229] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 229] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 229] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 229] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 229] BPUStage3: flushS3=0 -[DEBUG][time= 229] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 229] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 229] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 229] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 229] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 229] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 229] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 229] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 229] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 229] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 229] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 229] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 229] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 229] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 229] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 229] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 229] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 229] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 229] Dispatch2: regfile 0 from 3 -[DEBUG][time= 229] Dispatch2: regfile 1 from 3 -[DEBUG][time= 229] Dispatch2: regfile 2 from 0 -[DEBUG][time= 229] Dispatch2: regfile 3 from 0 -[DEBUG][time= 229] Dispatch2: regfile 4 from 0 -[DEBUG][time= 229] Dispatch2: regfile 5 from 0 -[DEBUG][time= 229] Dispatch2: regfile 6 from 0 -[DEBUG][time= 229] Dispatch2: regfile 7 from 0 -[DEBUG][time= 229] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 229] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 229] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 229] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 229] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 229] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 229] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 229] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 229] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 229] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 229] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 229] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 229] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 229] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 229] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 229] Roq: CSR block should only happen in s_idle -[DEBUG][time= 229] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 229] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 229] Roq: -------------------------------- -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 229] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 229] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 229] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 229] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 229] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 229] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 229] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 229] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 229] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 229] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 229] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 229] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 229] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 229] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 229] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 229] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 229] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 229] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 229] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 229] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 229] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 229] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 229] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 229] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 229] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 229] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 229] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 229] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 229] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 229] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 229] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 229] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 229] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 229] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 229] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 229] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 229] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 229] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 229] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 229] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 229] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 229] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 229] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 229] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 229] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 229] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 229] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 229] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 229] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 229] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 229] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 229] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 229] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 229] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 229] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 229] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 229] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 229] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 229] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 229] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 229] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 229] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 229] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 229] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 229] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 229] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 229] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 229] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 229] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 229] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 229] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 229] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 229] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 229] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 229] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 229] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 229] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 229] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 229] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 229] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 229] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 229] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 229] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 229] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 229] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 229] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 229] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 229] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 229] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 229] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 229] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 229] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 229] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 229] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 229] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 229] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 229] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 229] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 229] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 229] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 229] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 229] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 229] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 229] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 229] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 229] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 229] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 229] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 229] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 229] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 229] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 229] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 229] Brq: ---------------- -[DEBUG][time= 229] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 229] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 229] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 229] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 229] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 229] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 230] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 230] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 230] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 230] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 230] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 230] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 230] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 230] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 230] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 230] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 230] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 230] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 230] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 230] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 230] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 230] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 230] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 230] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 230] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 230] BPUStage3: flushS3=0 -[DEBUG][time= 230] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 230] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 230] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 230] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 230] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 230] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 230] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 230] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 230] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 230] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 230] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 230] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 230] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 230] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 230] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 230] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 230] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 230] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 230] Dispatch2: regfile 0 from 3 -[DEBUG][time= 230] Dispatch2: regfile 1 from 3 -[DEBUG][time= 230] Dispatch2: regfile 2 from 0 -[DEBUG][time= 230] Dispatch2: regfile 3 from 0 -[DEBUG][time= 230] Dispatch2: regfile 4 from 0 -[DEBUG][time= 230] Dispatch2: regfile 5 from 0 -[DEBUG][time= 230] Dispatch2: regfile 6 from 0 -[DEBUG][time= 230] Dispatch2: regfile 7 from 0 -[DEBUG][time= 230] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 230] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 230] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 230] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 230] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 230] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 230] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 230] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 230] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 230] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 230] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 230] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 230] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 230] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 230] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 230] Roq: CSR block should only happen in s_idle -[DEBUG][time= 230] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 230] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 230] Roq: -------------------------------- -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 230] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 230] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 230] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 230] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 230] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 230] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 230] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 230] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 230] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 230] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 230] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 230] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 230] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 230] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 230] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 230] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 230] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 230] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 230] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 230] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 230] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 230] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 230] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 230] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 230] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 230] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 230] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 230] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 230] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 230] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 230] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 230] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 230] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 230] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 230] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 230] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 230] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 230] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 230] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 230] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 230] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 230] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 230] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 230] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 230] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 230] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 230] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 230] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 230] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 230] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 230] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 230] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 230] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 230] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 230] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 230] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 230] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 230] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 230] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 230] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 230] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 230] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 230] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 230] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 230] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 230] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 230] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 230] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 230] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 230] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 230] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 230] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 230] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 230] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 230] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 230] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 230] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 230] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 230] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 230] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 230] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 230] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 230] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 230] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 230] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 230] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 230] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 230] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 230] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 230] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 230] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 230] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 230] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 230] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 230] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 230] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 230] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 230] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 230] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 230] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 230] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 230] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 230] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 230] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 230] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 230] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 230] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 230] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 230] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 230] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 230] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 230] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 230] Brq: ---------------- -[DEBUG][time= 230] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 230] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 230] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 230] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 230] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 230] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 231] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 231] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 231] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 231] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 231] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 231] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 231] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 231] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 231] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 231] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 231] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 231] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 231] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 231] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 231] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 231] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 231] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 231] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 231] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 231] BPUStage3: flushS3=0 -[DEBUG][time= 231] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 231] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 231] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 231] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 231] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 231] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 231] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 231] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 231] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 231] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 231] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 231] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 231] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 231] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 231] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 231] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 231] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 231] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 231] Dispatch2: regfile 0 from 3 -[DEBUG][time= 231] Dispatch2: regfile 1 from 3 -[DEBUG][time= 231] Dispatch2: regfile 2 from 0 -[DEBUG][time= 231] Dispatch2: regfile 3 from 0 -[DEBUG][time= 231] Dispatch2: regfile 4 from 0 -[DEBUG][time= 231] Dispatch2: regfile 5 from 0 -[DEBUG][time= 231] Dispatch2: regfile 6 from 0 -[DEBUG][time= 231] Dispatch2: regfile 7 from 0 -[DEBUG][time= 231] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 231] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 231] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 231] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 231] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 231] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 231] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 231] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 231] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 231] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 231] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 231] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 231] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 231] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 231] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 231] Roq: CSR block should only happen in s_idle -[DEBUG][time= 231] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 231] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 231] Roq: -------------------------------- -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 231] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 231] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 231] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 231] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 231] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 231] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 231] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 231] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 231] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 231] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 231] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 231] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 231] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 231] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 231] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 231] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 231] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 231] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 231] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 231] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 231] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 231] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 231] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 231] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 231] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 231] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 231] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 231] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 231] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 231] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 231] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 231] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 231] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 231] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 231] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 231] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 231] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 231] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 231] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 231] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 231] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 231] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 231] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 231] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 231] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 231] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 231] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 231] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 231] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 231] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 231] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 231] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 231] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 231] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 231] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 231] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 231] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 231] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 231] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 231] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 231] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 231] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 231] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 231] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 231] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 231] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 231] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 231] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 231] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 231] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 231] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 231] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 231] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 231] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 231] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 231] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 231] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 231] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 231] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 231] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 231] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 231] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 231] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 231] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 231] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 231] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 231] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 231] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 231] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 231] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 231] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 231] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 231] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 231] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 231] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 231] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 231] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 231] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 231] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 231] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 231] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 231] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 231] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 231] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 231] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 231] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 231] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 231] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 231] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 231] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 231] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 231] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 231] Brq: ---------------- -[DEBUG][time= 231] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 231] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 231] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 231] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 231] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 231] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 232] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 232] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 232] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 232] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 232] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 232] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 232] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 232] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 232] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 232] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 232] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 232] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 232] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 232] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 232] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 232] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 232] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 232] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 232] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 232] BPUStage3: flushS3=0 -[DEBUG][time= 232] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 232] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 232] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 232] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 232] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 232] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 232] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 232] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 232] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 232] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 232] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 232] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 232] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 232] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 232] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 232] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 232] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 232] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 232] Dispatch2: regfile 0 from 3 -[DEBUG][time= 232] Dispatch2: regfile 1 from 3 -[DEBUG][time= 232] Dispatch2: regfile 2 from 0 -[DEBUG][time= 232] Dispatch2: regfile 3 from 0 -[DEBUG][time= 232] Dispatch2: regfile 4 from 0 -[DEBUG][time= 232] Dispatch2: regfile 5 from 0 -[DEBUG][time= 232] Dispatch2: regfile 6 from 0 -[DEBUG][time= 232] Dispatch2: regfile 7 from 0 -[DEBUG][time= 232] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 232] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 232] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 232] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 232] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 232] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 232] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 232] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 232] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 232] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 232] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 232] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 232] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 232] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 232] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 232] Roq: CSR block should only happen in s_idle -[DEBUG][time= 232] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 232] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 232] Roq: -------------------------------- -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 232] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 232] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 232] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 232] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 232] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 232] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 232] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 232] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 232] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 232] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 232] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 232] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 232] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 232] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 232] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 232] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 232] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 232] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 232] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 232] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 232] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 232] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 232] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 232] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 232] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 232] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 232] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 232] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 232] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 232] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 232] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 232] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 232] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 232] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 232] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 232] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 232] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 232] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 232] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 232] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 232] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 232] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 232] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 232] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 232] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 232] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 232] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 232] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 232] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 232] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 232] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 232] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 232] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 232] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 232] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 232] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 232] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 232] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 232] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 232] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 232] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 232] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 232] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 232] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 232] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 232] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 232] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 232] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 232] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 232] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 232] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 232] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 232] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 232] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 232] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 232] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 232] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 232] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 232] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 232] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 232] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 232] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 232] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 232] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 232] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 232] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 232] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 232] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 232] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 232] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 232] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 232] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 232] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 232] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 232] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 232] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 232] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 232] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 232] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 232] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 232] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 232] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 232] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 232] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 232] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 232] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 232] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 232] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 232] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 232] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 232] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 232] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 232] Brq: ---------------- -[DEBUG][time= 232] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 232] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 232] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 232] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 232] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 232] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 233] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 233] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 233] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 233] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 233] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 233] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 233] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 233] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 233] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 233] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 233] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 233] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 233] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 233] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 233] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 233] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 233] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 233] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 233] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 233] BPUStage3: flushS3=0 -[DEBUG][time= 233] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 233] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 233] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 233] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 233] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 233] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 233] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 233] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 233] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 233] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 233] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 233] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 233] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 233] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 233] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 233] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 233] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 233] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 233] Dispatch2: regfile 0 from 3 -[DEBUG][time= 233] Dispatch2: regfile 1 from 3 -[DEBUG][time= 233] Dispatch2: regfile 2 from 0 -[DEBUG][time= 233] Dispatch2: regfile 3 from 0 -[DEBUG][time= 233] Dispatch2: regfile 4 from 0 -[DEBUG][time= 233] Dispatch2: regfile 5 from 0 -[DEBUG][time= 233] Dispatch2: regfile 6 from 0 -[DEBUG][time= 233] Dispatch2: regfile 7 from 0 -[DEBUG][time= 233] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 233] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 233] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 233] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 233] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 233] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 233] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 233] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 233] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 233] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 233] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 233] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 233] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 233] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 233] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 233] Roq: CSR block should only happen in s_idle -[DEBUG][time= 233] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 233] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 233] Roq: -------------------------------- -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 233] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 233] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 233] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 233] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 233] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 233] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 233] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 233] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 233] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 233] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 233] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 233] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 233] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 233] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 233] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 233] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 233] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 233] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 233] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 233] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 233] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 233] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 233] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 233] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 233] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 233] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 233] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 233] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 233] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 233] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 233] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 233] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 233] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 233] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 233] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 233] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 233] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 233] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 233] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 233] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 233] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 233] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 233] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 233] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 233] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 233] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 233] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 233] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 233] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 233] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 233] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 233] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 233] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 233] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 233] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 233] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 233] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 233] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 233] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 233] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 233] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 233] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 233] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 233] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 233] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 233] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 233] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 233] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 233] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 233] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 233] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 233] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 233] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 233] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 233] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 233] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 233] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 233] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 233] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 233] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 233] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 233] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 233] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 233] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 233] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 233] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 233] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 233] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 233] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 233] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 233] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 233] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 233] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 233] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 233] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 233] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 233] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 233] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 233] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 233] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 233] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 233] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 233] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 233] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 233] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 233] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 233] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 233] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 233] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 233] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 233] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 233] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 233] Brq: ---------------- -[DEBUG][time= 233] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 233] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 233] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 233] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 233] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 233] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 234] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 234] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 234] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 234] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 234] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 234] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 234] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 234] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 234] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 234] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 234] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 234] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 234] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 234] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 234] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 234] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 234] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 234] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 234] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 234] BPUStage3: flushS3=0 -[DEBUG][time= 234] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 234] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 234] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 234] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 234] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 234] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 234] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 234] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 234] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 234] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 234] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 234] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 234] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 234] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 234] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 234] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 234] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 234] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 234] Dispatch2: regfile 0 from 3 -[DEBUG][time= 234] Dispatch2: regfile 1 from 3 -[DEBUG][time= 234] Dispatch2: regfile 2 from 0 -[DEBUG][time= 234] Dispatch2: regfile 3 from 0 -[DEBUG][time= 234] Dispatch2: regfile 4 from 0 -[DEBUG][time= 234] Dispatch2: regfile 5 from 0 -[DEBUG][time= 234] Dispatch2: regfile 6 from 0 -[DEBUG][time= 234] Dispatch2: regfile 7 from 0 -[DEBUG][time= 234] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 234] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 234] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 234] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 234] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 234] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 234] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 234] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 234] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 234] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 234] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 234] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 234] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 234] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 234] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 234] Roq: CSR block should only happen in s_idle -[DEBUG][time= 234] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 234] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 234] Roq: -------------------------------- -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 234] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 234] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 234] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 234] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 234] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 234] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 234] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 234] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 234] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 234] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 234] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 234] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 234] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 234] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 234] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 234] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 234] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 234] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 234] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 234] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 234] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 234] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 234] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 234] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 234] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 234] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 234] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 234] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 234] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 234] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 234] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 234] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 234] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 234] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 234] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 234] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 234] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 234] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 234] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 234] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 234] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 234] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 234] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 234] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 234] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 234] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 234] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 234] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 234] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 234] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 234] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 234] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 234] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 234] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 234] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 234] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 234] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 234] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 234] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 234] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 234] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 234] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 234] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 234] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 234] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 234] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 234] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 234] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 234] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 234] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 234] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 234] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 234] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 234] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 234] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 234] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 234] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 234] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 234] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 234] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 234] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 234] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 234] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 234] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 234] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 234] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 234] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 234] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 234] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 234] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 234] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 234] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 234] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 234] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 234] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 234] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 234] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 234] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 234] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 234] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 234] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 234] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 234] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 234] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 234] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 234] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 234] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 234] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 234] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 234] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 234] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 234] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 234] Brq: ---------------- -[DEBUG][time= 234] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 234] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 234] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 234] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 234] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 234] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 235] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 235] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 235] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 235] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 235] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 235] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 235] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 235] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 235] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 235] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 235] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 235] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 235] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 235] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 235] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 235] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 235] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 235] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 235] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 235] BPUStage3: flushS3=0 -[DEBUG][time= 235] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 235] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 235] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 235] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 235] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 235] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 235] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 235] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 235] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 235] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 235] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 235] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 235] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 235] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 235] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 235] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 235] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 235] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 235] Dispatch2: regfile 0 from 3 -[DEBUG][time= 235] Dispatch2: regfile 1 from 3 -[DEBUG][time= 235] Dispatch2: regfile 2 from 0 -[DEBUG][time= 235] Dispatch2: regfile 3 from 0 -[DEBUG][time= 235] Dispatch2: regfile 4 from 0 -[DEBUG][time= 235] Dispatch2: regfile 5 from 0 -[DEBUG][time= 235] Dispatch2: regfile 6 from 0 -[DEBUG][time= 235] Dispatch2: regfile 7 from 0 -[DEBUG][time= 235] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 235] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 235] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 235] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 235] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 235] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 235] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 235] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 235] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 235] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 235] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 235] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 235] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 235] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 235] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 235] Roq: CSR block should only happen in s_idle -[DEBUG][time= 235] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 235] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 235] Roq: -------------------------------- -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 235] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 235] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 235] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 235] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 235] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 235] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 235] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 235] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 235] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 235] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 235] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 235] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 235] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 235] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 235] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 235] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 235] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 235] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 235] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 235] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 235] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 235] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 235] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 235] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 235] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 235] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 235] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 235] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 235] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 235] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 235] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 235] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 235] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 235] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 235] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 235] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 235] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 235] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 235] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 235] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 235] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 235] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 235] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 235] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 235] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 235] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 235] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 235] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 235] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 235] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 235] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 235] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 235] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 235] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 235] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 235] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 235] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 235] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 235] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 235] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 235] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 235] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 235] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 235] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 235] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 235] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 235] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 235] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 235] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 235] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 235] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 235] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 235] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 235] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 235] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 235] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 235] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 235] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 235] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 235] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 235] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 235] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 235] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 235] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 235] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 235] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 235] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 235] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 235] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 235] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 235] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 235] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 235] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 235] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 235] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 235] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 235] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 235] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 235] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 235] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 235] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 235] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 235] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 235] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 235] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 235] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 235] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 235] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 235] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 235] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 235] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 235] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 235] Brq: ---------------- -[DEBUG][time= 235] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 235] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 235] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 235] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 235] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 235] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 236] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 236] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 236] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 236] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 236] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 236] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 236] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 236] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 236] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 236] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 236] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 236] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 236] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 236] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 236] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 236] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 236] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 236] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 236] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 236] BPUStage3: flushS3=0 -[DEBUG][time= 236] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 236] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 236] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 236] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 236] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 236] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 236] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 236] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 236] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 236] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 236] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 236] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 236] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 236] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 236] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 236] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 236] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 236] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 236] Dispatch2: regfile 0 from 3 -[DEBUG][time= 236] Dispatch2: regfile 1 from 3 -[DEBUG][time= 236] Dispatch2: regfile 2 from 0 -[DEBUG][time= 236] Dispatch2: regfile 3 from 0 -[DEBUG][time= 236] Dispatch2: regfile 4 from 0 -[DEBUG][time= 236] Dispatch2: regfile 5 from 0 -[DEBUG][time= 236] Dispatch2: regfile 6 from 0 -[DEBUG][time= 236] Dispatch2: regfile 7 from 0 -[DEBUG][time= 236] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 236] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 236] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 236] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 236] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 236] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 236] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 236] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 236] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 236] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 236] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 236] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 236] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 236] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 236] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 236] Roq: CSR block should only happen in s_idle -[DEBUG][time= 236] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 236] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 236] Roq: -------------------------------- -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 236] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 236] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 236] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 236] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 236] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 236] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 236] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 236] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 236] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 236] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 236] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 236] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 236] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 236] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 236] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 236] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 236] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 236] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 236] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 236] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 236] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 236] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 236] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 236] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 236] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 236] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 236] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 236] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 236] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 236] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 236] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 236] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 236] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 236] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 236] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 236] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 236] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 236] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 236] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 236] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 236] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 236] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 236] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 236] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 236] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 236] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 236] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 236] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 236] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 236] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 236] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 236] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 236] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 236] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 236] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 236] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 236] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 236] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 236] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 236] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 236] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 236] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 236] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 236] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 236] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 236] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 236] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 236] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 236] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 236] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 236] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 236] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 236] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 236] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 236] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 236] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 236] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 236] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 236] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 236] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 236] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 236] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 236] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 236] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 236] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 236] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 236] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 236] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 236] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 236] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 236] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 236] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 236] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 236] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 236] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 236] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 236] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 236] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 236] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 236] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 236] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 236] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 236] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 236] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 236] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 236] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 236] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 236] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 236] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 236] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 236] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 236] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 236] Brq: ---------------- -[DEBUG][time= 236] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 236] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 236] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 236] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 236] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 236] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 237] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 237] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 237] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 237] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 237] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 237] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 237] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 237] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 237] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 237] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 237] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 237] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 237] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 237] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 237] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 237] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 237] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 237] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 237] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 237] BPUStage3: flushS3=0 -[DEBUG][time= 237] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 237] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 237] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 237] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 237] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 237] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 237] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 237] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 237] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 237] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 237] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 237] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 237] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 237] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 237] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 237] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 237] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 237] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 237] Dispatch2: regfile 0 from 3 -[DEBUG][time= 237] Dispatch2: regfile 1 from 3 -[DEBUG][time= 237] Dispatch2: regfile 2 from 0 -[DEBUG][time= 237] Dispatch2: regfile 3 from 0 -[DEBUG][time= 237] Dispatch2: regfile 4 from 0 -[DEBUG][time= 237] Dispatch2: regfile 5 from 0 -[DEBUG][time= 237] Dispatch2: regfile 6 from 0 -[DEBUG][time= 237] Dispatch2: regfile 7 from 0 -[DEBUG][time= 237] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 237] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 237] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 237] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 237] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 237] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 237] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 237] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 237] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 237] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 237] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 237] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 237] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 237] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 237] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 237] Roq: CSR block should only happen in s_idle -[DEBUG][time= 237] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 237] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 237] Roq: -------------------------------- -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 237] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 237] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 237] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 237] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 237] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 237] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 237] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 237] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 237] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 237] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 237] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 237] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 237] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 237] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 237] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 237] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 237] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 237] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 237] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 237] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 237] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 237] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 237] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 237] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 237] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 237] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 237] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 237] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 237] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 237] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 237] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 237] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 237] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 237] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 237] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 237] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 237] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 237] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 237] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 237] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 237] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 237] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 237] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 237] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 237] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 237] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 237] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 237] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 237] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 237] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 237] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 237] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 237] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 237] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 237] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 237] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 237] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 237] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 237] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 237] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 237] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 237] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 237] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 237] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 237] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 237] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 237] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 237] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 237] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 237] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 237] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 237] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 237] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 237] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 237] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 237] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 237] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 237] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 237] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 237] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 237] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 237] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 237] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 237] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 237] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 237] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 237] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 237] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 237] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 237] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 237] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 237] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 237] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 237] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 237] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 237] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 237] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 237] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 237] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 237] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 237] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 237] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 237] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 237] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 237] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 237] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 237] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 237] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 237] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 237] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 237] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 237] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 237] Brq: ---------------- -[DEBUG][time= 237] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 237] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 237] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 237] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 237] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 237] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 238] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 238] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 238] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 238] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 238] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 238] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 238] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 238] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 238] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 238] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 238] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 238] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 238] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 238] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 238] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 238] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 238] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 238] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 238] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 238] BPUStage3: flushS3=0 -[DEBUG][time= 238] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 238] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 238] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 238] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 238] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 238] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 238] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 238] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 238] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 238] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 238] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 238] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 238] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 238] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 238] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 238] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 238] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 238] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 238] Dispatch2: regfile 0 from 3 -[DEBUG][time= 238] Dispatch2: regfile 1 from 3 -[DEBUG][time= 238] Dispatch2: regfile 2 from 0 -[DEBUG][time= 238] Dispatch2: regfile 3 from 0 -[DEBUG][time= 238] Dispatch2: regfile 4 from 0 -[DEBUG][time= 238] Dispatch2: regfile 5 from 0 -[DEBUG][time= 238] Dispatch2: regfile 6 from 0 -[DEBUG][time= 238] Dispatch2: regfile 7 from 0 -[DEBUG][time= 238] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 238] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 238] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 238] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 238] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 238] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 238] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 238] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 238] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 238] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 238] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 238] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 238] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 238] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 238] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 238] Roq: CSR block should only happen in s_idle -[DEBUG][time= 238] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 238] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 238] Roq: -------------------------------- -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 238] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 238] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 238] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 238] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 238] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 238] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 238] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 238] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 238] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 238] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 238] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 238] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 238] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 238] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 238] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 238] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 238] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 238] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 238] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 238] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 238] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 238] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 238] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 238] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 238] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 238] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 238] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 238] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 238] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 238] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 238] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 238] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 238] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 238] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 238] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 238] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 238] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 238] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 238] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 238] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 238] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 238] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 238] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 238] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 238] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 238] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 238] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 238] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 238] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 238] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 238] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 238] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 238] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 238] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 238] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 238] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 238] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 238] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 238] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 238] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 238] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 238] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 238] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 238] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 238] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 238] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 238] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 238] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 238] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 238] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 238] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 238] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 238] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 238] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 238] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 238] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 238] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 238] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 238] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 238] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 238] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 238] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 238] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 238] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 238] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 238] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 238] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 238] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 238] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 238] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 238] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 238] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 238] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 238] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 238] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 238] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 238] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 238] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 238] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 238] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 238] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 238] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 238] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 238] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 238] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 238] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 238] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 238] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 238] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 238] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 238] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 238] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 238] Brq: ---------------- -[DEBUG][time= 238] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 238] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 238] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 238] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 238] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 238] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 239] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 239] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 239] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 239] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 239] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 239] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 239] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 239] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 239] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 239] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 239] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 239] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 239] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 239] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 239] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 239] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 239] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 239] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 239] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 239] BPUStage3: flushS3=0 -[DEBUG][time= 239] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 239] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 239] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 239] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 239] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 239] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 239] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 239] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 239] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 239] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 239] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 239] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 239] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 239] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 239] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 239] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 239] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 239] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 239] Dispatch2: regfile 0 from 3 -[DEBUG][time= 239] Dispatch2: regfile 1 from 3 -[DEBUG][time= 239] Dispatch2: regfile 2 from 0 -[DEBUG][time= 239] Dispatch2: regfile 3 from 0 -[DEBUG][time= 239] Dispatch2: regfile 4 from 0 -[DEBUG][time= 239] Dispatch2: regfile 5 from 0 -[DEBUG][time= 239] Dispatch2: regfile 6 from 0 -[DEBUG][time= 239] Dispatch2: regfile 7 from 0 -[DEBUG][time= 239] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 239] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 239] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 239] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 239] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 239] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 239] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 239] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 239] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 239] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 239] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 239] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 239] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 239] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 239] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 239] Roq: CSR block should only happen in s_idle -[DEBUG][time= 239] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 239] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 239] Roq: -------------------------------- -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 239] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 239] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 239] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 239] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 239] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 239] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 239] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 239] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 239] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 239] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 239] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 239] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 239] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 239] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 239] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 239] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 239] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 239] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 239] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 239] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 239] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 239] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 239] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 239] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 239] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 239] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 239] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 239] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 239] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 239] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 239] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 239] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 239] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 239] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 239] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 239] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 239] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 239] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 239] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 239] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 239] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 239] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 239] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 239] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 239] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 239] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 239] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 239] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 239] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 239] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 239] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 239] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 239] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 239] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 239] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 239] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 239] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 239] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 239] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 239] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 239] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 239] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 239] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 239] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 239] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 239] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 239] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 239] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 239] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 239] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 239] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 239] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 239] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 239] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 239] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 239] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 239] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 239] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 239] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 239] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 239] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 239] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 239] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 239] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 239] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 239] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 239] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 239] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 239] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 239] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 239] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 239] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 239] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 239] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 239] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 239] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 239] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 239] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 239] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 239] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 239] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 239] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 239] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 239] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 239] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 239] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 239] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 239] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 239] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 239] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 239] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 239] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 239] Brq: ---------------- -[DEBUG][time= 239] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 239] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 239] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 239] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 239] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 239] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 240] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 240] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 240] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 240] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 240] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 240] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 240] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 240] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 240] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 240] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 240] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 240] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 240] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 240] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 240] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 240] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 240] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 240] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 240] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 240] BPUStage3: flushS3=0 -[DEBUG][time= 240] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 240] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 240] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 240] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 240] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 240] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 240] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 240] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 240] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 240] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 240] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 240] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 240] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 240] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 240] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 240] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 240] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 240] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 240] Dispatch2: regfile 0 from 3 -[DEBUG][time= 240] Dispatch2: regfile 1 from 3 -[DEBUG][time= 240] Dispatch2: regfile 2 from 0 -[DEBUG][time= 240] Dispatch2: regfile 3 from 0 -[DEBUG][time= 240] Dispatch2: regfile 4 from 0 -[DEBUG][time= 240] Dispatch2: regfile 5 from 0 -[DEBUG][time= 240] Dispatch2: regfile 6 from 0 -[DEBUG][time= 240] Dispatch2: regfile 7 from 0 -[DEBUG][time= 240] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 240] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 240] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 240] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 240] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 240] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 240] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 240] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 240] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 240] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 240] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 240] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 240] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 240] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 240] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 240] Roq: CSR block should only happen in s_idle -[DEBUG][time= 240] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 240] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 240] Roq: -------------------------------- -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 240] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 240] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 240] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 240] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 240] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 240] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 240] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 240] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 240] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 240] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 240] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 240] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 240] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 240] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 240] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 240] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 240] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 240] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 240] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 240] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 240] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 240] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 240] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 240] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 240] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 240] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 240] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 240] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 240] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 240] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 240] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 240] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 240] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 240] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 240] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 240] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 240] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 240] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 240] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 240] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 240] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 240] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 240] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 240] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 240] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 240] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 240] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 240] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 240] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 240] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 240] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 240] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 240] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 240] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 240] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 240] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 240] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 240] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 240] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 240] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 240] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 240] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 240] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 240] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 240] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 240] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 240] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 240] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 240] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 240] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 240] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 240] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 240] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 240] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 240] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 240] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 240] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 240] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 240] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 240] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 240] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 240] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 240] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 240] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 240] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 240] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 240] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 240] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 240] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 240] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 240] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 240] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 240] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 240] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 240] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 240] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 240] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 240] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 240] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 240] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 240] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 240] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 240] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 240] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 240] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 240] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 240] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 240] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 240] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 240] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 240] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 240] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 240] Brq: ---------------- -[DEBUG][time= 240] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 240] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 240] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 240] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 240] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 240] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 241] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 241] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 241] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 241] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 241] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 241] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 241] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 241] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 241] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 241] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 241] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 241] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 241] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 241] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 241] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 241] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 241] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 241] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 241] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 241] BPUStage3: flushS3=0 -[DEBUG][time= 241] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 241] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 241] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 241] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 241] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 241] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 241] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 241] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 241] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 241] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 241] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 241] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 241] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 241] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 241] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 241] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 241] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 241] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 241] Dispatch2: regfile 0 from 3 -[DEBUG][time= 241] Dispatch2: regfile 1 from 3 -[DEBUG][time= 241] Dispatch2: regfile 2 from 0 -[DEBUG][time= 241] Dispatch2: regfile 3 from 0 -[DEBUG][time= 241] Dispatch2: regfile 4 from 0 -[DEBUG][time= 241] Dispatch2: regfile 5 from 0 -[DEBUG][time= 241] Dispatch2: regfile 6 from 0 -[DEBUG][time= 241] Dispatch2: regfile 7 from 0 -[DEBUG][time= 241] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 241] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 241] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 241] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 241] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 241] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 241] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 241] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 241] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 241] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 241] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 241] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 241] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 241] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 241] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 241] Roq: CSR block should only happen in s_idle -[DEBUG][time= 241] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 241] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 241] Roq: -------------------------------- -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 241] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 241] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 241] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 241] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 241] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 241] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 241] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 241] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 241] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 241] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 241] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 241] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 241] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 241] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 241] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 241] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 241] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 241] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 241] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 241] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 241] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 241] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 241] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 241] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 241] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 241] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 241] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 241] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 241] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 241] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 241] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 241] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 241] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 241] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 241] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 241] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 241] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 241] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 241] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 241] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 241] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 241] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 241] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 241] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 241] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 241] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 241] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 241] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 241] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 241] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 241] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 241] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 241] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 241] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 241] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 241] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 241] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 241] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 241] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 241] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 241] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 241] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 241] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 241] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 241] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 241] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 241] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 241] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 241] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 241] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 241] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 241] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 241] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 241] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 241] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 241] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 241] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 241] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 241] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 241] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 241] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 241] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 241] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 241] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 241] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 241] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 241] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 241] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 241] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 241] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 241] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 241] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 241] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 241] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 241] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 241] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 241] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 241] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 241] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 241] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 241] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 241] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 241] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 241] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 241] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 241] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 241] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 241] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 241] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 241] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 241] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 241] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 241] Brq: ---------------- -[DEBUG][time= 241] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 241] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 241] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 241] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 241] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 241] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 242] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 242] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 242] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 242] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 242] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 242] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 242] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 242] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 242] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 242] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 242] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 242] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 242] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 242] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 242] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 242] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 242] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 242] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 242] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 242] BPUStage3: flushS3=0 -[DEBUG][time= 242] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 242] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 242] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 242] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 242] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 242] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 242] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 242] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 242] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 242] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 242] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 242] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 242] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 242] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 242] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 242] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 242] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 242] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 242] Dispatch2: regfile 0 from 3 -[DEBUG][time= 242] Dispatch2: regfile 1 from 3 -[DEBUG][time= 242] Dispatch2: regfile 2 from 0 -[DEBUG][time= 242] Dispatch2: regfile 3 from 0 -[DEBUG][time= 242] Dispatch2: regfile 4 from 0 -[DEBUG][time= 242] Dispatch2: regfile 5 from 0 -[DEBUG][time= 242] Dispatch2: regfile 6 from 0 -[DEBUG][time= 242] Dispatch2: regfile 7 from 0 -[DEBUG][time= 242] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 242] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 242] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 242] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 242] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 242] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 242] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 242] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 242] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 242] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 242] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 242] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 242] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 242] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 242] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 242] Roq: CSR block should only happen in s_idle -[DEBUG][time= 242] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 242] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 242] Roq: -------------------------------- -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 242] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 242] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 242] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 242] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 242] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 242] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 242] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 242] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 242] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 242] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 242] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 242] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 242] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 242] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 242] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 242] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 242] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 242] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 242] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 242] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 242] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 242] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 242] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 242] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 242] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 242] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 242] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 242] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 242] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 242] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 242] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 242] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 242] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 242] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 242] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 242] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 242] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 242] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 242] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 242] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 242] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 242] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 242] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 242] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 242] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 242] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 242] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 242] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 242] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 242] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 242] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 242] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 242] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 242] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 242] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 242] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 242] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 242] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 242] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 242] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 242] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 242] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 242] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 242] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 242] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 242] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 242] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 242] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 242] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 242] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 242] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 242] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 242] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 242] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 242] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 242] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 242] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 242] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 242] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 242] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 242] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 242] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 242] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 242] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 242] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 242] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 242] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 242] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 242] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 242] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 242] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 242] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 242] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 242] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 242] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 242] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 242] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 242] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 242] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 242] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 242] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 242] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 242] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 242] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 242] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 242] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 242] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 242] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 242] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 242] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 242] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 242] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 242] Brq: ---------------- -[DEBUG][time= 242] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 242] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 242] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 242] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 242] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 242] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 243] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 243] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 243] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 243] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 243] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 243] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 243] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 243] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 243] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 243] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 243] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 243] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 243] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 243] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 243] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 243] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 243] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 243] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 243] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 243] BPUStage3: flushS3=0 -[DEBUG][time= 243] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 243] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 243] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 243] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 243] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 243] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 243] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 243] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 243] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 243] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 243] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 243] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 243] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 243] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 243] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 243] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 243] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 243] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 243] Dispatch2: regfile 0 from 3 -[DEBUG][time= 243] Dispatch2: regfile 1 from 3 -[DEBUG][time= 243] Dispatch2: regfile 2 from 0 -[DEBUG][time= 243] Dispatch2: regfile 3 from 0 -[DEBUG][time= 243] Dispatch2: regfile 4 from 0 -[DEBUG][time= 243] Dispatch2: regfile 5 from 0 -[DEBUG][time= 243] Dispatch2: regfile 6 from 0 -[DEBUG][time= 243] Dispatch2: regfile 7 from 0 -[DEBUG][time= 243] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 243] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 243] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 243] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 243] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 243] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 243] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 243] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 243] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 243] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 243] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 243] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 243] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 243] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 243] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 243] Roq: CSR block should only happen in s_idle -[DEBUG][time= 243] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 243] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 243] Roq: -------------------------------- -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 243] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 243] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 243] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 243] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 243] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 243] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 243] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 243] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 243] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 243] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 243] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 243] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 243] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 243] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 243] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 243] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 243] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 243] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 243] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 243] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 243] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 243] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 243] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 243] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 243] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 243] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 243] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 243] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 243] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 243] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 243] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 243] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 243] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 243] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 243] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 243] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 243] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 243] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 243] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 243] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 243] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 243] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 243] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 243] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 243] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 243] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 243] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 243] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 243] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 243] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 243] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 243] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 243] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 243] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 243] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 243] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 243] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 243] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 243] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 243] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 243] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 243] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 243] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 243] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 243] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 243] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 243] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 243] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 243] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 243] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 243] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 243] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 243] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 243] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 243] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 243] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 243] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 243] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 243] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 243] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 243] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 243] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 243] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 243] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 243] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 243] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 243] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 243] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 243] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 243] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 243] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 243] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 243] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 243] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 243] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 243] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 243] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 243] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 243] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 243] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 243] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 243] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 243] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 243] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 243] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 243] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 243] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 243] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 243] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 243] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 243] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 243] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 243] Brq: ---------------- -[DEBUG][time= 243] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 243] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 243] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 243] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 243] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 243] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 244] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 244] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 244] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 244] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 244] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 244] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 244] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 244] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 244] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 244] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 244] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 244] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 244] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 244] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 244] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 244] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 244] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 244] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 244] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 244] BPUStage3: flushS3=0 -[DEBUG][time= 244] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 244] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 244] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 244] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 244] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 244] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 244] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 244] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 244] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 244] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 244] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 244] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 244] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 244] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 244] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 244] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 244] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 244] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 244] Dispatch2: regfile 0 from 3 -[DEBUG][time= 244] Dispatch2: regfile 1 from 3 -[DEBUG][time= 244] Dispatch2: regfile 2 from 0 -[DEBUG][time= 244] Dispatch2: regfile 3 from 0 -[DEBUG][time= 244] Dispatch2: regfile 4 from 0 -[DEBUG][time= 244] Dispatch2: regfile 5 from 0 -[DEBUG][time= 244] Dispatch2: regfile 6 from 0 -[DEBUG][time= 244] Dispatch2: regfile 7 from 0 -[DEBUG][time= 244] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 244] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 244] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 244] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 244] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 244] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 244] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 244] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 244] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 244] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 244] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 244] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 244] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 244] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 244] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 244] Roq: CSR block should only happen in s_idle -[DEBUG][time= 244] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 244] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 244] Roq: -------------------------------- -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 244] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 244] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 244] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 244] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 244] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 244] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 244] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 244] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 244] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 244] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 244] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 244] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 244] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 244] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 244] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 244] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 244] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 244] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 244] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 244] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 244] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 244] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 244] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 244] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 244] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 244] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 244] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 244] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 244] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 244] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 244] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 244] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 244] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 244] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 244] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 244] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 244] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 244] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 244] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 244] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 244] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 244] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 244] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 244] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 244] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 244] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 244] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 244] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 244] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 244] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 244] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 244] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 244] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 244] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 244] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 244] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 244] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 244] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 244] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 244] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 244] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 244] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 244] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 244] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 244] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 244] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 244] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 244] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 244] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 244] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 244] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 244] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 244] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 244] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 244] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 244] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 244] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 244] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 244] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 244] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 244] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 244] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 244] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 244] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 244] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 244] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 244] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 244] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 244] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 244] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 244] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 244] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 244] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 244] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 244] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 244] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 244] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 244] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 244] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 244] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 244] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 244] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 244] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 244] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 244] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 244] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 244] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 244] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 244] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 244] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 244] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 244] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 244] Brq: ---------------- -[DEBUG][time= 244] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 244] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 244] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 244] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 244] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 244] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 245] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 245] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 245] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 245] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 245] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 245] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 245] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 245] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 245] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 245] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 245] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 245] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 245] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 245] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 245] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 245] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 245] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 245] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 245] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 245] BPUStage3: flushS3=0 -[DEBUG][time= 245] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 245] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 245] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 245] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 245] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 245] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 245] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 245] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 245] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 245] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 245] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 245] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 245] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 245] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 245] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 245] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 245] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 245] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 245] Dispatch2: regfile 0 from 3 -[DEBUG][time= 245] Dispatch2: regfile 1 from 3 -[DEBUG][time= 245] Dispatch2: regfile 2 from 0 -[DEBUG][time= 245] Dispatch2: regfile 3 from 0 -[DEBUG][time= 245] Dispatch2: regfile 4 from 0 -[DEBUG][time= 245] Dispatch2: regfile 5 from 0 -[DEBUG][time= 245] Dispatch2: regfile 6 from 0 -[DEBUG][time= 245] Dispatch2: regfile 7 from 0 -[DEBUG][time= 245] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 245] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 245] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 245] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 245] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 245] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 245] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 245] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 245] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 245] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 245] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 245] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 245] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 245] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 245] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 245] Roq: CSR block should only happen in s_idle -[DEBUG][time= 245] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 245] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 245] Roq: -------------------------------- -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 245] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 245] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 245] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 245] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 245] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 245] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 245] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 245] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 245] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 245] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 245] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 245] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 245] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 245] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 245] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 245] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 245] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 245] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 245] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 245] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 245] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 245] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 245] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 245] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 245] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 245] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 245] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 245] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 245] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 245] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 245] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 245] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 245] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 245] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 245] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 245] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 245] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 245] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 245] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 245] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 245] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 245] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 245] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 245] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 245] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 245] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 245] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 245] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 245] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 245] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 245] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 245] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 245] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 245] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 245] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 245] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 245] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 245] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 245] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 245] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 245] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 245] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 245] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 245] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 245] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 245] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 245] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 245] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 245] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 245] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 245] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 245] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 245] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 245] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 245] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 245] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 245] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 245] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 245] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 245] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 245] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 245] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 245] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 245] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 245] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 245] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 245] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 245] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 245] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 245] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 245] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 245] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 245] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 245] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 245] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 245] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 245] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 245] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 245] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 245] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 245] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 245] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 245] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 245] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 245] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 245] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 245] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 245] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 245] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 245] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 245] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 245] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 245] Brq: ---------------- -[DEBUG][time= 245] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 245] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 245] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 245] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 245] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 245] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 246] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 246] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 246] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 246] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 246] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 246] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 246] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 246] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 246] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 246] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 246] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 246] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 246] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 246] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 246] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 246] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 246] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 246] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 246] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 246] BPUStage3: flushS3=0 -[DEBUG][time= 246] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 246] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 246] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 246] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 246] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 246] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 246] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 246] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 246] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 246] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 246] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 246] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 246] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 246] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 246] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 246] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 246] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 246] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 246] Dispatch2: regfile 0 from 3 -[DEBUG][time= 246] Dispatch2: regfile 1 from 3 -[DEBUG][time= 246] Dispatch2: regfile 2 from 0 -[DEBUG][time= 246] Dispatch2: regfile 3 from 0 -[DEBUG][time= 246] Dispatch2: regfile 4 from 0 -[DEBUG][time= 246] Dispatch2: regfile 5 from 0 -[DEBUG][time= 246] Dispatch2: regfile 6 from 0 -[DEBUG][time= 246] Dispatch2: regfile 7 from 0 -[DEBUG][time= 246] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 246] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 246] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 246] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 246] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 246] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 246] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 246] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 246] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 246] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 246] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 246] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 246] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 246] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 246] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 246] Roq: CSR block should only happen in s_idle -[DEBUG][time= 246] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 246] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 246] Roq: -------------------------------- -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 246] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 246] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 246] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 246] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 246] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 246] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 246] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 246] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 246] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 246] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 246] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 246] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 246] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 246] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 246] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 246] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 246] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 246] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 246] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 246] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 246] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 246] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 246] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 246] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 246] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 246] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 246] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 246] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 246] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 246] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 246] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 246] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 246] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 246] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 246] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 246] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 246] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 246] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 246] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 246] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 246] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 246] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 246] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 246] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 246] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 246] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 246] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 246] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 246] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 246] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 246] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 246] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 246] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 246] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 246] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 246] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 246] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 246] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 246] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 246] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 246] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 246] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 246] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 246] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 246] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 246] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 246] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 246] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 246] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 246] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 246] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 246] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 246] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 246] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 246] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 246] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 246] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 246] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 246] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 246] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 246] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 246] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 246] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 246] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 246] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 246] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 246] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 246] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 246] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 246] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 246] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 246] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 246] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 246] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 246] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 246] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 246] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 246] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 246] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 246] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 246] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 246] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 246] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 246] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 246] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 246] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 246] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 246] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 246] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 246] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 246] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 246] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 246] Brq: ---------------- -[DEBUG][time= 246] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 246] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 246] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 246] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 246] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 246] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 247] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 247] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 247] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 247] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 247] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 247] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 247] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 247] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 247] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 247] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 247] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 247] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 247] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 247] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 247] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 247] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 247] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 247] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 247] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 247] BPUStage3: flushS3=0 -[DEBUG][time= 247] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 247] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 247] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 247] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 247] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 247] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 247] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 247] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 247] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 247] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 247] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 247] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 247] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 247] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 247] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 247] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 247] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 247] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 247] Dispatch2: regfile 0 from 3 -[DEBUG][time= 247] Dispatch2: regfile 1 from 3 -[DEBUG][time= 247] Dispatch2: regfile 2 from 0 -[DEBUG][time= 247] Dispatch2: regfile 3 from 0 -[DEBUG][time= 247] Dispatch2: regfile 4 from 0 -[DEBUG][time= 247] Dispatch2: regfile 5 from 0 -[DEBUG][time= 247] Dispatch2: regfile 6 from 0 -[DEBUG][time= 247] Dispatch2: regfile 7 from 0 -[DEBUG][time= 247] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 247] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 247] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 247] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 247] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 247] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 247] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 247] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 247] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 247] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 247] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 247] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 247] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 247] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 247] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 247] Roq: CSR block should only happen in s_idle -[DEBUG][time= 247] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 247] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 247] Roq: -------------------------------- -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 247] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 247] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 247] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 247] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 247] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 247] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 247] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 247] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 247] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 247] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 247] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 247] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 247] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 247] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 247] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 247] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 247] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 247] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 247] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 247] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 247] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 247] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 247] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 247] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 247] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 247] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 247] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 247] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 247] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 247] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 247] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 247] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 247] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 247] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 247] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 247] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 247] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 247] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 247] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 247] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 247] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 247] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 247] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 247] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 247] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 247] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 247] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 247] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 247] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 247] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 247] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 247] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 247] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 247] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 247] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 247] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 247] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 247] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 247] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 247] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 247] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 247] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 247] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 247] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 247] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 247] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 247] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 247] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 247] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 247] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 247] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 247] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 247] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 247] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 247] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 247] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 247] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 247] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 247] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 247] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 247] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 247] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 247] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 247] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 247] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 247] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 247] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 247] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 247] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 247] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 247] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 247] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 247] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 247] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 247] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 247] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 247] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 247] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 247] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 247] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 247] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 247] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 247] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 247] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 247] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 247] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 247] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 247] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 247] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 247] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 247] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 247] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 247] Brq: ---------------- -[DEBUG][time= 247] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 247] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 247] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 247] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 247] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 247] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 248] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 248] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 248] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 248] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 248] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 248] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 248] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 248] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 248] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 248] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 248] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 248] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 248] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 248] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 248] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 248] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 248] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 248] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 248] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 248] BPUStage3: flushS3=0 -[DEBUG][time= 248] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 248] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 248] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 248] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 248] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 248] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 248] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 248] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 248] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 248] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 248] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 248] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 248] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 248] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 248] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 248] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 248] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 248] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 248] Dispatch2: regfile 0 from 3 -[DEBUG][time= 248] Dispatch2: regfile 1 from 3 -[DEBUG][time= 248] Dispatch2: regfile 2 from 0 -[DEBUG][time= 248] Dispatch2: regfile 3 from 0 -[DEBUG][time= 248] Dispatch2: regfile 4 from 0 -[DEBUG][time= 248] Dispatch2: regfile 5 from 0 -[DEBUG][time= 248] Dispatch2: regfile 6 from 0 -[DEBUG][time= 248] Dispatch2: regfile 7 from 0 -[DEBUG][time= 248] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 248] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 248] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 248] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 248] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 248] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 248] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 248] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 248] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 248] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 248] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 248] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 248] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 248] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 248] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 248] Roq: CSR block should only happen in s_idle -[DEBUG][time= 248] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 248] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 248] Roq: -------------------------------- -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 248] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 248] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 248] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 248] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 248] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 248] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 248] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 248] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 248] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 248] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 248] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 248] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 248] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 248] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 248] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 248] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 248] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 248] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 248] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 248] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 248] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 248] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 248] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 248] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 248] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 248] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 248] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 248] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 248] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 248] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 248] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 248] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 248] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 248] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 248] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 248] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 248] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 248] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 248] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 248] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 248] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 248] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 248] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 248] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 248] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 248] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 248] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 248] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 248] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 248] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 248] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 248] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 248] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 248] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 248] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 248] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 248] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 248] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 248] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 248] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 248] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 248] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 248] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 248] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 248] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 248] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 248] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 248] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 248] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 248] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 248] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 248] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 248] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 248] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 248] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 248] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 248] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 248] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 248] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 248] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 248] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 248] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 248] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 248] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 248] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 248] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 248] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 248] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 248] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 248] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 248] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 248] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 248] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 248] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 248] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 248] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 248] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 248] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 248] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 248] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 248] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 248] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 248] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 248] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 248] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 248] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 248] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 248] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 248] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 248] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 248] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 248] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 248] Brq: ---------------- -[DEBUG][time= 248] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 248] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 248] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 248] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 248] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 248] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 249] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 249] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 249] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 249] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 249] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 249] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 249] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 249] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 249] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 249] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 249] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 249] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 249] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 249] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 249] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 249] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 249] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 249] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 249] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 249] BPUStage3: flushS3=0 -[DEBUG][time= 249] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 249] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 249] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 249] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 249] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 249] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 249] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 249] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 249] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 249] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 249] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 249] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 249] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 249] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 249] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 249] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 249] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 249] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 249] Dispatch2: regfile 0 from 3 -[DEBUG][time= 249] Dispatch2: regfile 1 from 3 -[DEBUG][time= 249] Dispatch2: regfile 2 from 0 -[DEBUG][time= 249] Dispatch2: regfile 3 from 0 -[DEBUG][time= 249] Dispatch2: regfile 4 from 0 -[DEBUG][time= 249] Dispatch2: regfile 5 from 0 -[DEBUG][time= 249] Dispatch2: regfile 6 from 0 -[DEBUG][time= 249] Dispatch2: regfile 7 from 0 -[DEBUG][time= 249] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 249] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 249] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 249] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 249] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 249] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 249] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 249] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 249] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 249] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 249] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 249] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 249] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 249] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 249] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 249] Roq: CSR block should only happen in s_idle -[DEBUG][time= 249] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 249] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 249] Roq: -------------------------------- -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 249] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 249] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 249] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 249] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 249] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 249] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 249] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 249] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 249] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 249] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 249] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 249] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 249] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 249] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 249] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 249] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 249] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 249] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 249] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 249] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 249] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 249] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 249] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 249] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 249] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 249] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 249] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 249] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 249] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 249] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 249] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 249] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 249] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 249] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 249] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 249] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 249] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 249] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 249] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 249] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 249] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 249] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 249] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 249] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 249] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 249] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 249] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 249] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 249] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 249] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 249] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 249] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 249] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 249] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 249] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 249] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 249] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 249] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 249] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 249] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 249] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 249] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 249] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 249] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 249] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 249] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 249] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 249] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 249] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 249] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 249] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 249] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 249] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 249] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 249] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 249] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 249] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 249] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 249] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 249] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 249] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 249] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 249] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 249] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 249] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 249] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 249] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 249] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 249] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 249] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 249] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 249] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 249] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 249] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 249] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 249] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 249] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 249] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 249] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 249] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 249] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 249] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 249] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 249] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 249] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 249] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 249] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 249] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 249] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 249] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 249] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 249] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 249] Brq: ---------------- -[DEBUG][time= 249] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 249] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 249] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 249] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 249] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 249] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 250] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 250] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 250] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 250] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 250] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 250] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 250] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 250] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 250] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 250] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 250] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 250] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 250] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 250] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 250] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 250] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 250] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 250] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 250] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 250] BPUStage3: flushS3=0 -[DEBUG][time= 250] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 250] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 250] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 250] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 250] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 250] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 250] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 250] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 250] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 250] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 250] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 250] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 250] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 250] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 250] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 250] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 250] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 250] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 250] Dispatch2: regfile 0 from 3 -[DEBUG][time= 250] Dispatch2: regfile 1 from 3 -[DEBUG][time= 250] Dispatch2: regfile 2 from 0 -[DEBUG][time= 250] Dispatch2: regfile 3 from 0 -[DEBUG][time= 250] Dispatch2: regfile 4 from 0 -[DEBUG][time= 250] Dispatch2: regfile 5 from 0 -[DEBUG][time= 250] Dispatch2: regfile 6 from 0 -[DEBUG][time= 250] Dispatch2: regfile 7 from 0 -[DEBUG][time= 250] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 250] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 250] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 250] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 250] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 250] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 250] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 250] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 250] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 250] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 250] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 250] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 250] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 250] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 250] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 250] Roq: CSR block should only happen in s_idle -[DEBUG][time= 250] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 250] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 250] Roq: -------------------------------- -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 250] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 250] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 250] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 250] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 250] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 250] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 250] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 250] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 250] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 250] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 250] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 250] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 250] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 250] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 250] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 250] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 250] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 250] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 250] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 250] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 250] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 250] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 250] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 250] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 250] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 250] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 250] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 250] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 250] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 250] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 250] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 250] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 250] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 250] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 250] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 250] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 250] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 250] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 250] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 250] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 250] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 250] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 250] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 250] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 250] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 250] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 250] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 250] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 250] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 250] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 250] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 250] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 250] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 250] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 250] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 250] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 250] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 250] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 250] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 250] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 250] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 250] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 250] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 250] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 250] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 250] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 250] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 250] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 250] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 250] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 250] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 250] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 250] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 250] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 250] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 250] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 250] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 250] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 250] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 250] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 250] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 250] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 250] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 250] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 250] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 250] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 250] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 250] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 250] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 250] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 250] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 250] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 250] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 250] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 250] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 250] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 250] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 250] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 250] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 250] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 250] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 250] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 250] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 250] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 250] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 250] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 250] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 250] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 250] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 250] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 250] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 250] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 250] Brq: ---------------- -[DEBUG][time= 250] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 250] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 250] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 250] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 250] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 250] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 251] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 251] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 251] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 251] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 251] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 251] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 251] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 251] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 251] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 251] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 251] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 251] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 251] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 251] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 251] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 251] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 251] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 251] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 251] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 251] BPUStage3: flushS3=0 -[DEBUG][time= 251] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 251] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 251] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 251] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 251] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 251] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 251] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 251] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 251] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 251] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 251] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 251] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 251] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 251] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 251] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 251] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 251] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 251] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 251] Dispatch2: regfile 0 from 3 -[DEBUG][time= 251] Dispatch2: regfile 1 from 3 -[DEBUG][time= 251] Dispatch2: regfile 2 from 0 -[DEBUG][time= 251] Dispatch2: regfile 3 from 0 -[DEBUG][time= 251] Dispatch2: regfile 4 from 0 -[DEBUG][time= 251] Dispatch2: regfile 5 from 0 -[DEBUG][time= 251] Dispatch2: regfile 6 from 0 -[DEBUG][time= 251] Dispatch2: regfile 7 from 0 -[DEBUG][time= 251] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 251] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 251] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 251] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 251] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 251] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 251] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 251] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 251] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 251] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 251] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 251] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 251] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 251] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 251] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 251] Roq: CSR block should only happen in s_idle -[DEBUG][time= 251] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 251] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 251] Roq: -------------------------------- -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 251] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 251] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 251] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 251] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 251] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 251] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 251] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 251] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 251] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 251] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 251] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 251] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 251] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 251] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 251] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 251] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 251] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 251] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 251] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 251] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 251] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 251] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 251] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 251] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 251] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 251] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 251] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 251] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 251] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 251] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 251] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 251] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 251] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 251] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 251] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 251] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 251] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 251] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 251] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 251] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 251] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 251] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 251] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 251] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 251] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 251] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 251] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 251] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 251] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 251] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 251] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 251] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 251] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 251] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 251] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 251] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 251] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 251] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 251] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 251] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 251] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 251] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 251] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 251] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 251] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 251] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 251] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 251] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 251] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 251] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 251] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 251] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 251] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 251] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 251] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 251] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 251] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 251] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 251] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 251] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 251] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 251] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 251] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 251] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 251] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 251] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 251] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 251] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 251] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 251] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 251] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 251] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 251] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 251] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 251] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 251] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 251] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 251] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 251] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 251] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 251] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 251] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 251] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 251] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 251] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 251] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 251] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 251] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 251] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 251] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 251] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 251] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 251] Brq: ---------------- -[DEBUG][time= 251] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 251] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 251] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 251] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 251] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 251] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 252] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 252] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 252] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 252] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 252] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 252] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 252] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 252] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 252] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 252] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 252] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 252] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 252] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 252] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 252] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 252] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 252] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 252] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 252] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 252] BPUStage3: flushS3=0 -[DEBUG][time= 252] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 252] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 252] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 252] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 252] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 252] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 252] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 252] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 252] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 252] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 252] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 252] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 252] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 252] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 252] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 252] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 252] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 252] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 252] Dispatch2: regfile 0 from 3 -[DEBUG][time= 252] Dispatch2: regfile 1 from 3 -[DEBUG][time= 252] Dispatch2: regfile 2 from 0 -[DEBUG][time= 252] Dispatch2: regfile 3 from 0 -[DEBUG][time= 252] Dispatch2: regfile 4 from 0 -[DEBUG][time= 252] Dispatch2: regfile 5 from 0 -[DEBUG][time= 252] Dispatch2: regfile 6 from 0 -[DEBUG][time= 252] Dispatch2: regfile 7 from 0 -[DEBUG][time= 252] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 252] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 252] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 252] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 252] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 252] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 252] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 252] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 252] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 252] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 252] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 252] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 252] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 252] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 252] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 252] Roq: CSR block should only happen in s_idle -[DEBUG][time= 252] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 252] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 252] Roq: -------------------------------- -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 252] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 252] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 252] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 252] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 252] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 252] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 252] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 252] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 252] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 252] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 252] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 252] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 252] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 252] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 252] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 252] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 252] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 252] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 252] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 252] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 252] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 252] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 252] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 252] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 252] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 252] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 252] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 252] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 252] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 252] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 252] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 252] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 252] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 252] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 252] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 252] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 252] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 252] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 252] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 252] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 252] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 252] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 252] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 252] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 252] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 252] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 252] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 252] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 252] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 252] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 252] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 252] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 252] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 252] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 252] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 252] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 252] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 252] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 252] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 252] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 252] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 252] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 252] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 252] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 252] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 252] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 252] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 252] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 252] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 252] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 252] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 252] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 252] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 252] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 252] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 252] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 252] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 252] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 252] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 252] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 252] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 252] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 252] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 252] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 252] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 252] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 252] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 252] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 252] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 252] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 252] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 252] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 252] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 252] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 252] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 252] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 252] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 252] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 252] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 252] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 252] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 252] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 252] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 252] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 252] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 252] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 252] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 252] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 252] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 252] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 252] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 252] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 252] Brq: ---------------- -[DEBUG][time= 252] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 252] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 252] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 252] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 252] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 252] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 253] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 253] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 253] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 253] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 253] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 253] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 253] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 253] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 253] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 253] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 253] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 253] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 253] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 253] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 253] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 253] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 253] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 253] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 253] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 253] BPUStage3: flushS3=0 -[DEBUG][time= 253] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 253] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 253] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 253] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 253] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 253] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 253] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 253] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 253] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 253] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 253] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 253] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 253] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 253] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 253] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 253] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 253] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 253] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 253] Dispatch2: regfile 0 from 3 -[DEBUG][time= 253] Dispatch2: regfile 1 from 3 -[DEBUG][time= 253] Dispatch2: regfile 2 from 0 -[DEBUG][time= 253] Dispatch2: regfile 3 from 0 -[DEBUG][time= 253] Dispatch2: regfile 4 from 0 -[DEBUG][time= 253] Dispatch2: regfile 5 from 0 -[DEBUG][time= 253] Dispatch2: regfile 6 from 0 -[DEBUG][time= 253] Dispatch2: regfile 7 from 0 -[DEBUG][time= 253] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 253] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 253] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 253] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 253] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 253] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 253] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 253] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 253] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 253] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 253] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 253] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 253] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 253] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 253] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 253] Roq: CSR block should only happen in s_idle -[DEBUG][time= 253] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 253] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 253] Roq: -------------------------------- -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 253] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 253] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 253] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 253] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 253] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 253] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 253] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 253] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 253] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 253] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 253] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 253] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 253] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 253] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 253] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 253] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 253] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 253] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 253] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 253] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 253] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 253] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 253] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 253] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 253] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 253] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 253] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 253] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 253] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 253] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 253] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 253] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 253] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 253] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 253] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 253] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 253] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 253] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 253] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 253] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 253] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 253] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 253] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 253] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 253] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 253] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 253] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 253] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 253] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 253] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 253] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 253] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 253] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 253] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 253] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 253] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 253] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 253] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 253] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 253] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 253] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 253] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 253] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 253] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 253] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 253] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 253] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 253] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 253] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 253] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 253] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 253] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 253] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 253] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 253] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 253] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 253] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 253] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 253] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 253] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 253] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 253] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 253] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 253] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 253] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 253] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 253] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 253] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 253] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 253] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 253] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 253] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 253] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 253] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 253] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 253] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 253] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 253] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 253] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 253] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 253] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 253] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 253] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 253] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 253] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 253] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 253] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 253] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 253] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 253] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 253] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 253] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 253] Brq: ---------------- -[DEBUG][time= 253] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 253] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 253] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 253] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 253] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 253] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 254] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 254] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 254] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 254] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 254] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 254] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 254] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 254] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 254] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 254] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 254] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 254] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 254] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 254] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 254] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 254] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 254] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 254] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 254] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 254] BPUStage3: flushS3=0 -[DEBUG][time= 254] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 254] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 254] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 254] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 254] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 254] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 254] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 254] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 254] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 254] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 254] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 254] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 254] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 254] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 254] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 254] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 254] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 254] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 254] Dispatch2: regfile 0 from 3 -[DEBUG][time= 254] Dispatch2: regfile 1 from 3 -[DEBUG][time= 254] Dispatch2: regfile 2 from 0 -[DEBUG][time= 254] Dispatch2: regfile 3 from 0 -[DEBUG][time= 254] Dispatch2: regfile 4 from 0 -[DEBUG][time= 254] Dispatch2: regfile 5 from 0 -[DEBUG][time= 254] Dispatch2: regfile 6 from 0 -[DEBUG][time= 254] Dispatch2: regfile 7 from 0 -[DEBUG][time= 254] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 254] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 254] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 254] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 254] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 254] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 254] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 254] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 254] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 254] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 254] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 254] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 254] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 254] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 254] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 254] Roq: CSR block should only happen in s_idle -[DEBUG][time= 254] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 254] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 254] Roq: -------------------------------- -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 254] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 254] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 254] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 254] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 254] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 254] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 254] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 254] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 254] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 254] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 254] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 254] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 254] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 254] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 254] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 254] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 254] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 254] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 254] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 254] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 254] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 254] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 254] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 254] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 254] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 254] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 254] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 254] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 254] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 254] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 254] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 254] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 254] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 254] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 254] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 254] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 254] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 254] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 254] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 254] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 254] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 254] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 254] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 254] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 254] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 254] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 254] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 254] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 254] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 254] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 254] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 254] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 254] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 254] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 254] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 254] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 254] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 254] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 254] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 254] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 254] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 254] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 254] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 254] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 254] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 254] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 254] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 254] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 254] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 254] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 254] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 254] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 254] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 254] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 254] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 254] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 254] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 254] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 254] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 254] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 254] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 254] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 254] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 254] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 254] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 254] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 254] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 254] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 254] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 254] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 254] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 254] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 254] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 254] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 254] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 254] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 254] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 254] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 254] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 254] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 254] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 254] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 254] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 254] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 254] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 254] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 254] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 254] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 254] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 254] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 254] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 254] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 254] Brq: ---------------- -[DEBUG][time= 254] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 254] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 254] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 254] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 254] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 254] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 255] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 255] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 255] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 255] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 255] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 255] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 255] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 255] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 255] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 255] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 255] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 255] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 255] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 255] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 255] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 255] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 255] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 255] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 255] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 255] BPUStage3: flushS3=0 -[DEBUG][time= 255] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 255] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 255] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 255] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 255] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 255] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 255] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 255] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 255] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 255] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 255] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 255] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 255] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 255] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 255] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 255] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 255] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 255] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 255] Dispatch2: regfile 0 from 3 -[DEBUG][time= 255] Dispatch2: regfile 1 from 3 -[DEBUG][time= 255] Dispatch2: regfile 2 from 0 -[DEBUG][time= 255] Dispatch2: regfile 3 from 0 -[DEBUG][time= 255] Dispatch2: regfile 4 from 0 -[DEBUG][time= 255] Dispatch2: regfile 5 from 0 -[DEBUG][time= 255] Dispatch2: regfile 6 from 0 -[DEBUG][time= 255] Dispatch2: regfile 7 from 0 -[DEBUG][time= 255] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 255] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 255] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 255] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 255] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 255] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 255] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 255] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 255] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 255] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 255] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 255] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 255] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 255] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 255] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 255] Roq: CSR block should only happen in s_idle -[DEBUG][time= 255] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 255] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 255] Roq: -------------------------------- -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 255] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 255] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 255] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 255] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 255] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 255] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 255] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 255] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 255] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 255] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 255] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 255] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 255] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 255] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 255] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 255] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 255] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 255] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 255] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 255] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 255] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 255] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 255] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 255] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 255] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 255] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 255] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 255] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 255] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 255] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 255] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 255] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 255] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 255] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 255] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 255] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 255] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 255] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 255] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 255] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 255] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 255] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 255] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 255] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 255] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 255] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 255] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 255] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 255] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 255] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 255] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 255] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 255] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 255] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 255] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 255] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 255] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 255] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 255] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 255] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 255] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 255] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 255] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 255] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 255] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 255] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 255] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 255] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 255] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 255] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 255] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 255] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 255] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 255] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 255] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 255] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 255] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 255] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 255] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 255] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 255] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 255] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 255] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 255] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 255] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 255] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 255] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 255] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 255] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 255] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 255] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 255] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 255] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 255] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 255] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 255] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 255] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 255] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 255] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 255] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 255] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 255] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 255] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 255] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 255] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 255] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 255] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 255] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 255] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 255] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 255] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 255] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 255] Brq: ---------------- -[DEBUG][time= 255] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 255] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 255] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 255] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 255] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 255] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 256] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 256] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 256] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 256] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 256] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 256] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 256] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 256] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 256] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 256] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 256] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 256] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 256] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 256] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 256] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 256] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 256] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 256] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 256] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 256] BPUStage3: flushS3=0 -[DEBUG][time= 256] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 256] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 256] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 256] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 256] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 256] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 256] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 256] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 256] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 256] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 256] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 256] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 256] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 256] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 256] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 256] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 256] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 256] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 256] Dispatch2: regfile 0 from 3 -[DEBUG][time= 256] Dispatch2: regfile 1 from 3 -[DEBUG][time= 256] Dispatch2: regfile 2 from 0 -[DEBUG][time= 256] Dispatch2: regfile 3 from 0 -[DEBUG][time= 256] Dispatch2: regfile 4 from 0 -[DEBUG][time= 256] Dispatch2: regfile 5 from 0 -[DEBUG][time= 256] Dispatch2: regfile 6 from 0 -[DEBUG][time= 256] Dispatch2: regfile 7 from 0 -[DEBUG][time= 256] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 256] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 256] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 256] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 256] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 256] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 256] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 256] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 256] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 256] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 256] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 256] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 256] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 256] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 256] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 256] Roq: CSR block should only happen in s_idle -[DEBUG][time= 256] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 256] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 256] Roq: -------------------------------- -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 256] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 256] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 256] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 256] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 256] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 256] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 256] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 256] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 256] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 256] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 256] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 256] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 256] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 256] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 256] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 256] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 256] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 256] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 256] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 256] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 256] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 256] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 256] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 256] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 256] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 256] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 256] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 256] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 256] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 256] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 256] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 256] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 256] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 256] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 256] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 256] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 256] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 256] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 256] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 256] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 256] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 256] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 256] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 256] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 256] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 256] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 256] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 256] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 256] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 256] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 256] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 256] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 256] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 256] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 256] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 256] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 256] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 256] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 256] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 256] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 256] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 256] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 256] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 256] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 256] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 256] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 256] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 256] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 256] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 256] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 256] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 256] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 256] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 256] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 256] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 256] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 256] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 256] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 256] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 256] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 256] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 256] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 256] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 256] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 256] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 256] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 256] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 256] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 256] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 256] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 256] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 256] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 256] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 256] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 256] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 256] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 256] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 256] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 256] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 256] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 256] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 256] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 256] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 256] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 256] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 256] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 256] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 256] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 256] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 256] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 256] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 256] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 256] Brq: ---------------- -[DEBUG][time= 256] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 256] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 256] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 256] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 256] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 256] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 257] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 257] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 257] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 257] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 257] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 257] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 257] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 257] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 257] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 257] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 257] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 257] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 257] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 257] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 257] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 257] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 257] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 257] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 257] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 257] BPUStage3: flushS3=0 -[DEBUG][time= 257] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 257] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 257] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 257] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 257] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 257] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 257] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 257] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 257] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 257] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 257] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 257] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 257] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 257] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 257] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 257] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 257] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 257] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 257] Dispatch2: regfile 0 from 3 -[DEBUG][time= 257] Dispatch2: regfile 1 from 3 -[DEBUG][time= 257] Dispatch2: regfile 2 from 0 -[DEBUG][time= 257] Dispatch2: regfile 3 from 0 -[DEBUG][time= 257] Dispatch2: regfile 4 from 0 -[DEBUG][time= 257] Dispatch2: regfile 5 from 0 -[DEBUG][time= 257] Dispatch2: regfile 6 from 0 -[DEBUG][time= 257] Dispatch2: regfile 7 from 0 -[DEBUG][time= 257] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 257] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 257] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 257] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 257] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 257] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 257] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 257] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 257] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 257] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 257] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 257] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 257] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 257] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 257] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 257] Roq: CSR block should only happen in s_idle -[DEBUG][time= 257] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 257] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 257] Roq: -------------------------------- -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 257] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 257] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 257] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 257] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 257] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 257] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 257] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 257] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 257] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 257] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 257] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 257] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 257] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 257] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 257] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 257] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 257] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 257] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 257] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 257] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 257] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 257] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 257] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 257] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 257] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 257] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 257] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 257] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 257] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 257] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 257] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 257] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 257] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 257] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 257] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 257] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 257] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 257] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 257] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 257] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 257] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 257] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 257] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 257] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 257] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 257] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 257] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 257] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 257] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 257] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 257] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 257] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 257] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 257] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 257] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 257] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 257] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 257] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 257] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 257] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 257] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 257] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 257] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 257] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 257] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 257] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 257] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 257] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 257] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 257] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 257] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 257] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 257] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 257] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 257] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 257] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 257] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 257] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 257] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 257] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 257] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 257] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 257] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 257] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 257] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 257] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 257] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 257] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 257] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 257] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 257] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 257] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 257] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 257] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 257] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 257] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 257] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 257] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 257] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 257] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 257] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 257] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 257] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 257] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 257] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 257] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 257] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 257] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 257] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 257] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 257] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 257] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 257] Brq: ---------------- -[DEBUG][time= 257] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 257] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 257] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 257] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 257] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 257] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 258] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 258] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 258] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 258] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 258] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 258] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 258] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 258] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 258] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 258] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 258] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 258] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 258] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 258] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 258] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 258] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 258] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 258] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 258] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 258] BPUStage3: flushS3=0 -[DEBUG][time= 258] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 258] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 258] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 258] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 258] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 258] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 258] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 258] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 258] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 258] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 258] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 258] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 258] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 258] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 258] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 258] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 258] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 258] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 258] Dispatch2: regfile 0 from 3 -[DEBUG][time= 258] Dispatch2: regfile 1 from 3 -[DEBUG][time= 258] Dispatch2: regfile 2 from 0 -[DEBUG][time= 258] Dispatch2: regfile 3 from 0 -[DEBUG][time= 258] Dispatch2: regfile 4 from 0 -[DEBUG][time= 258] Dispatch2: regfile 5 from 0 -[DEBUG][time= 258] Dispatch2: regfile 6 from 0 -[DEBUG][time= 258] Dispatch2: regfile 7 from 0 -[DEBUG][time= 258] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 258] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 258] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 258] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 258] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 258] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 258] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 258] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 258] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 258] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 258] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 258] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 258] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 258] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 258] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 258] Roq: CSR block should only happen in s_idle -[DEBUG][time= 258] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 258] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 258] Roq: -------------------------------- -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 258] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 258] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 258] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 258] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 258] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 258] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 258] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 258] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 258] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 258] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 258] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 258] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 258] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 258] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 258] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 258] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 258] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 258] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 258] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 258] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 258] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 258] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 258] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 258] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 258] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 258] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 258] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 258] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 258] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 258] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 258] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 258] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 258] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 258] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 258] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 258] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 258] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 258] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 258] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 258] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 258] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 258] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 258] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 258] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 258] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 258] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 258] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 258] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 258] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 258] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 258] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 258] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 258] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 258] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 258] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 258] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 258] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 258] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 258] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 258] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 258] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 258] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 258] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 258] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 258] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 258] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 258] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 258] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 258] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 258] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 258] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 258] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 258] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 258] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 258] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 258] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 258] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 258] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 258] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 258] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 258] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 258] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 258] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 258] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 258] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 258] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 258] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 258] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 258] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 258] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 258] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 258] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 258] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 258] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 258] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 258] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 258] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 258] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 258] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 258] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 258] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 258] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 258] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 258] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 258] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 258] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 258] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 258] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 258] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 258] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 258] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 258] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 258] Brq: ---------------- -[DEBUG][time= 258] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 258] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 258] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 258] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 258] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 258] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 259] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 259] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 259] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 259] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 259] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 259] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 259] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 259] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 259] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 259] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 259] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 259] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 259] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 259] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 259] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 259] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 259] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 259] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 259] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 259] BPUStage3: flushS3=0 -[DEBUG][time= 259] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 259] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 259] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 259] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 259] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 259] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 259] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 259] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 259] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 259] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 259] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 259] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 259] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 259] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 259] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 259] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 259] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 259] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 259] Dispatch2: regfile 0 from 3 -[DEBUG][time= 259] Dispatch2: regfile 1 from 3 -[DEBUG][time= 259] Dispatch2: regfile 2 from 0 -[DEBUG][time= 259] Dispatch2: regfile 3 from 0 -[DEBUG][time= 259] Dispatch2: regfile 4 from 0 -[DEBUG][time= 259] Dispatch2: regfile 5 from 0 -[DEBUG][time= 259] Dispatch2: regfile 6 from 0 -[DEBUG][time= 259] Dispatch2: regfile 7 from 0 -[DEBUG][time= 259] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 259] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 259] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 259] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 259] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 259] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 259] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 259] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 259] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 259] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 259] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 259] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 259] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 259] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 259] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 259] Roq: CSR block should only happen in s_idle -[DEBUG][time= 259] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 259] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 259] Roq: -------------------------------- -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 259] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 259] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 259] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 259] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 259] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 259] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 259] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 259] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 259] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 259] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 259] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 259] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 259] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 259] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 259] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 259] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 259] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 259] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 259] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 259] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 259] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 259] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 259] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 259] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 259] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 259] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 259] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 259] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 259] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 259] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 259] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 259] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 259] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 259] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 259] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 259] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 259] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 259] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 259] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 259] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 259] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 259] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 259] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 259] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 259] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 259] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 259] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 259] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 259] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 259] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 259] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 259] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 259] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 259] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 259] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 259] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 259] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 259] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 259] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 259] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 259] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 259] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 259] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 259] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 259] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 259] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 259] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 259] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 259] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 259] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 259] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 259] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 259] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 259] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 259] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 259] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 259] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 259] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 259] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 259] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 259] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 259] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 259] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 259] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 259] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 259] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 259] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 259] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 259] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 259] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 259] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 259] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 259] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 259] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 259] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 259] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 259] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 259] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 259] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 259] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 259] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 259] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 259] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 259] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 259] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 259] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 259] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 259] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 259] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 259] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 259] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 259] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 259] Brq: ---------------- -[DEBUG][time= 259] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 259] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 259] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 259] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 259] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 259] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 260] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 260] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 260] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 260] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 260] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 260] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 260] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 260] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 260] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 260] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 260] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 260] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 260] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 260] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 260] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 260] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 260] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 260] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 260] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 260] BPUStage3: flushS3=0 -[DEBUG][time= 260] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 260] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 260] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 260] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 260] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 260] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 260] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 260] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 260] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 260] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 260] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 260] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 260] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 260] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 260] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 260] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 260] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 260] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 260] Dispatch2: regfile 0 from 3 -[DEBUG][time= 260] Dispatch2: regfile 1 from 3 -[DEBUG][time= 260] Dispatch2: regfile 2 from 0 -[DEBUG][time= 260] Dispatch2: regfile 3 from 0 -[DEBUG][time= 260] Dispatch2: regfile 4 from 0 -[DEBUG][time= 260] Dispatch2: regfile 5 from 0 -[DEBUG][time= 260] Dispatch2: regfile 6 from 0 -[DEBUG][time= 260] Dispatch2: regfile 7 from 0 -[DEBUG][time= 260] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 260] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 260] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 260] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 260] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 260] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 260] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 260] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 260] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 260] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 260] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 260] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 260] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 260] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 260] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 260] Roq: CSR block should only happen in s_idle -[DEBUG][time= 260] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 260] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 260] Roq: -------------------------------- -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 260] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 260] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 260] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 260] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 260] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 260] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 260] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 260] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 260] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 260] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 260] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 260] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 260] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 260] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 260] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 260] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 260] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 260] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 260] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 260] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 260] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 260] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 260] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 260] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 260] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 260] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 260] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 260] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 260] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 260] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 260] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 260] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 260] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 260] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 260] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 260] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 260] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 260] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 260] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 260] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 260] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 260] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 260] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 260] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 260] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 260] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 260] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 260] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 260] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 260] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 260] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 260] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 260] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 260] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 260] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 260] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 260] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 260] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 260] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 260] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 260] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 260] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 260] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 260] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 260] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 260] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 260] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 260] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 260] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 260] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 260] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 260] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 260] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 260] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 260] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 260] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 260] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 260] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 260] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 260] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 260] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 260] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 260] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 260] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 260] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 260] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 260] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 260] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 260] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 260] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 260] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 260] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 260] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 260] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 260] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 260] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 260] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 260] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 260] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 260] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 260] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 260] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 260] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 260] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 260] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 260] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 260] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 260] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 260] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 260] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 260] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 260] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 260] Brq: ---------------- -[DEBUG][time= 260] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 260] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 260] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 260] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 260] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 260] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 261] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 261] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 261] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 261] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 261] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 261] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 261] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 261] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 261] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 261] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 261] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 261] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 261] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 261] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 261] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 261] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 261] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 261] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 261] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 261] BPUStage3: flushS3=0 -[DEBUG][time= 261] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 261] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 261] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 261] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 261] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 261] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 261] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 261] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 261] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 261] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 261] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 261] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 261] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 261] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 261] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 261] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 261] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 261] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 261] Dispatch2: regfile 0 from 3 -[DEBUG][time= 261] Dispatch2: regfile 1 from 3 -[DEBUG][time= 261] Dispatch2: regfile 2 from 0 -[DEBUG][time= 261] Dispatch2: regfile 3 from 0 -[DEBUG][time= 261] Dispatch2: regfile 4 from 0 -[DEBUG][time= 261] Dispatch2: regfile 5 from 0 -[DEBUG][time= 261] Dispatch2: regfile 6 from 0 -[DEBUG][time= 261] Dispatch2: regfile 7 from 0 -[DEBUG][time= 261] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 261] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 261] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 261] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 261] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 261] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 261] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 261] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 261] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 261] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 261] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 261] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 261] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 261] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 261] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 261] Roq: CSR block should only happen in s_idle -[DEBUG][time= 261] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 261] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 261] Roq: -------------------------------- -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 261] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 261] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 261] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 261] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 261] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 261] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 261] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 261] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 261] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 261] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 261] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 261] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 261] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 261] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 261] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 261] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 261] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 261] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 261] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 261] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 261] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 261] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 261] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 261] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 261] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 261] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 261] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 261] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 261] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 261] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 261] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 261] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 261] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 261] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 261] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 261] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 261] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 261] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 261] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 261] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 261] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 261] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 261] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 261] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 261] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 261] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 261] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 261] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 261] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 261] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 261] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 261] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 261] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 261] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 261] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 261] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 261] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 261] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 261] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 261] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 261] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 261] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 261] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 261] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 261] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 261] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 261] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 261] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 261] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 261] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 261] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 261] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 261] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 261] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 261] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 261] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 261] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 261] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 261] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 261] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 261] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 261] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 261] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 261] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 261] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 261] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 261] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 261] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 261] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 261] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 261] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 261] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 261] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 261] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 261] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 261] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 261] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 261] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 261] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 261] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 261] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 261] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 261] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 261] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 261] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 261] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 261] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 261] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 261] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 261] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 261] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 261] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 261] Brq: ---------------- -[DEBUG][time= 261] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 261] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 261] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 261] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 261] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 261] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 262] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 262] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 262] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 262] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 262] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 262] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 262] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 262] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 262] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 262] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 262] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 262] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 262] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 262] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 262] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 262] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 262] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 262] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 262] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 262] BPUStage3: flushS3=0 -[DEBUG][time= 262] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 262] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 262] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 262] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 262] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 262] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 262] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 262] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 262] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 262] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 262] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 262] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 262] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 262] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 262] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 262] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 262] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 262] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 262] Dispatch2: regfile 0 from 3 -[DEBUG][time= 262] Dispatch2: regfile 1 from 3 -[DEBUG][time= 262] Dispatch2: regfile 2 from 0 -[DEBUG][time= 262] Dispatch2: regfile 3 from 0 -[DEBUG][time= 262] Dispatch2: regfile 4 from 0 -[DEBUG][time= 262] Dispatch2: regfile 5 from 0 -[DEBUG][time= 262] Dispatch2: regfile 6 from 0 -[DEBUG][time= 262] Dispatch2: regfile 7 from 0 -[DEBUG][time= 262] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 262] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 262] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 262] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 262] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 262] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 262] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 262] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 262] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 262] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 262] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 262] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 262] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 262] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 262] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 262] Roq: CSR block should only happen in s_idle -[DEBUG][time= 262] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 262] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 262] Roq: -------------------------------- -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 262] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 262] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 262] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 262] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 262] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 262] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 262] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 262] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 262] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 262] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 262] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 262] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 262] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 262] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 262] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 262] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 262] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 262] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 262] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 262] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 262] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 262] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 262] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 262] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 262] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 262] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 262] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 262] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 262] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 262] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 262] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 262] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 262] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 262] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 262] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 262] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 262] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 262] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 262] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 262] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 262] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 262] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 262] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 262] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 262] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 262] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 262] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 262] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 262] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 262] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 262] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 262] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 262] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 262] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 262] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 262] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 262] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 262] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 262] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 262] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 262] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 262] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 262] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 262] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 262] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 262] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 262] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 262] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 262] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 262] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 262] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 262] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 262] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 262] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 262] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 262] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 262] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 262] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 262] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 262] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 262] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 262] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 262] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 262] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 262] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 262] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 262] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 262] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 262] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 262] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 262] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 262] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 262] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 262] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 262] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 262] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 262] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 262] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 262] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 262] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 262] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 262] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 262] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 262] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 262] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 262] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 262] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 262] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 262] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 262] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 262] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 262] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 262] Brq: ---------------- -[DEBUG][time= 262] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 262] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 262] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 262] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 262] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 262] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 263] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 263] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 263] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 263] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 263] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 263] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 263] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 263] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 263] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 263] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 263] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 263] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 263] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 263] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 263] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 263] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 263] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 263] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 263] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 263] BPUStage3: flushS3=0 -[DEBUG][time= 263] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 263] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 263] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 263] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 263] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 263] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 263] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 263] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 263] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 263] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 263] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 263] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 263] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 263] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 263] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 263] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 263] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 263] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 263] Dispatch2: regfile 0 from 3 -[DEBUG][time= 263] Dispatch2: regfile 1 from 3 -[DEBUG][time= 263] Dispatch2: regfile 2 from 0 -[DEBUG][time= 263] Dispatch2: regfile 3 from 0 -[DEBUG][time= 263] Dispatch2: regfile 4 from 0 -[DEBUG][time= 263] Dispatch2: regfile 5 from 0 -[DEBUG][time= 263] Dispatch2: regfile 6 from 0 -[DEBUG][time= 263] Dispatch2: regfile 7 from 0 -[DEBUG][time= 263] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 263] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 263] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 263] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 263] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 263] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 263] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 263] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 263] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 263] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 263] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 263] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 263] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 263] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 263] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 263] Roq: CSR block should only happen in s_idle -[DEBUG][time= 263] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 263] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 263] Roq: -------------------------------- -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 263] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 263] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 263] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 263] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 263] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 263] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 263] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 263] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 263] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 263] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 263] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 263] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 263] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 263] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 263] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 263] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 263] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 263] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 263] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 263] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 263] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 263] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 263] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 263] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 263] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 263] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 263] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 263] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 263] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 263] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 263] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 263] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 263] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 263] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 263] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 263] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 263] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 263] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 263] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 263] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 263] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 263] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 263] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 263] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 263] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 263] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 263] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 263] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 263] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 263] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 263] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 263] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 263] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 263] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 263] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 263] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 263] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 263] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 263] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 263] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 263] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 263] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 263] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 263] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 263] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 263] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 263] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 263] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 263] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 263] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 263] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 263] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 263] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 263] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 263] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 263] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 263] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 263] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 263] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 263] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 263] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 263] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 263] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 263] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 263] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 263] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 263] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 263] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 263] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 263] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 263] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 263] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 263] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 263] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 263] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 263] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 263] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 263] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 263] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 263] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 263] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 263] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 263] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 263] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 263] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 263] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 263] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 263] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 263] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 263] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 263] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 263] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 263] Brq: ---------------- -[DEBUG][time= 263] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 263] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 263] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 263] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 263] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 263] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 264] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 264] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 264] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 264] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 264] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 264] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 264] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 264] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 264] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 264] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 264] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 264] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 264] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 264] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 264] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 264] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 264] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 264] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 264] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 264] BPUStage3: flushS3=0 -[DEBUG][time= 264] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 264] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 264] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 264] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 264] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 264] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 264] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 264] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 264] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 264] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 264] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 264] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 264] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 264] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 264] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 264] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 264] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 264] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 264] Dispatch2: regfile 0 from 3 -[DEBUG][time= 264] Dispatch2: regfile 1 from 3 -[DEBUG][time= 264] Dispatch2: regfile 2 from 0 -[DEBUG][time= 264] Dispatch2: regfile 3 from 0 -[DEBUG][time= 264] Dispatch2: regfile 4 from 0 -[DEBUG][time= 264] Dispatch2: regfile 5 from 0 -[DEBUG][time= 264] Dispatch2: regfile 6 from 0 -[DEBUG][time= 264] Dispatch2: regfile 7 from 0 -[DEBUG][time= 264] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 264] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 264] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 264] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 264] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 264] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 264] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 264] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 264] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 264] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 264] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 264] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 264] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 264] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 264] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 264] Roq: CSR block should only happen in s_idle -[DEBUG][time= 264] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 264] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 264] Roq: -------------------------------- -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 264] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 264] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 264] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 264] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 264] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 264] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 264] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 264] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 264] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 264] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 264] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 264] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 264] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 264] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 264] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 264] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 264] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 264] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 264] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 264] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 264] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 264] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 264] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 264] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 264] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 264] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 264] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 264] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 264] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 264] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 264] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 264] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 264] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 264] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 264] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 264] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 264] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 264] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 264] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 264] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 264] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 264] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 264] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 264] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 264] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 264] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 264] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 264] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 264] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 264] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 264] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 264] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 264] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 264] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 264] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 264] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 264] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 264] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 264] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 264] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 264] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 264] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 264] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 264] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 264] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 264] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 264] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 264] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 264] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 264] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 264] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 264] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 264] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 264] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 264] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 264] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 264] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 264] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 264] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 264] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 264] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 264] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 264] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 264] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 264] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 264] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 264] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 264] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 264] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 264] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 264] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 264] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 264] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 264] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 264] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 264] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 264] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 264] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 264] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 264] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 264] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 264] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 264] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 264] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 264] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 264] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 264] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 264] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 264] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 264] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 264] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 264] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 264] Brq: ---------------- -[DEBUG][time= 264] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 264] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 264] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 264] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 264] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 264] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 265] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 265] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 265] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 265] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 265] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 265] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 265] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 265] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 265] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 265] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 265] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 265] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 265] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 265] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 265] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 265] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 265] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 265] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 265] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 265] BPUStage3: flushS3=0 -[DEBUG][time= 265] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 265] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 265] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 265] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 265] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 265] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 265] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 265] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 265] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 265] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 265] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 265] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 265] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 265] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 265] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 265] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 265] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 265] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 265] Dispatch2: regfile 0 from 3 -[DEBUG][time= 265] Dispatch2: regfile 1 from 3 -[DEBUG][time= 265] Dispatch2: regfile 2 from 0 -[DEBUG][time= 265] Dispatch2: regfile 3 from 0 -[DEBUG][time= 265] Dispatch2: regfile 4 from 0 -[DEBUG][time= 265] Dispatch2: regfile 5 from 0 -[DEBUG][time= 265] Dispatch2: regfile 6 from 0 -[DEBUG][time= 265] Dispatch2: regfile 7 from 0 -[DEBUG][time= 265] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 265] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 265] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 265] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 265] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 265] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 265] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 265] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 265] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 265] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 265] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 265] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 265] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 265] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 265] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 265] Roq: CSR block should only happen in s_idle -[DEBUG][time= 265] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 265] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 265] Roq: -------------------------------- -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 265] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 265] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 265] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 265] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 265] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 265] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 265] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 265] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 265] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 265] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 265] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 265] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 265] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 265] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 265] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 265] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 265] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 265] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 265] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 265] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 265] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 265] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 265] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 265] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 265] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 265] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 265] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 265] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 265] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 265] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 265] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 265] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 265] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 265] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 265] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 265] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 265] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 265] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 265] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 265] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 265] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 265] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 265] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 265] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 265] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 265] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 265] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 265] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 265] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 265] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 265] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 265] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 265] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 265] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 265] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 265] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 265] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 265] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 265] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 265] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 265] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 265] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 265] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 265] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 265] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 265] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 265] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 265] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 265] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 265] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 265] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 265] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 265] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 265] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 265] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 265] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 265] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 265] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 265] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 265] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 265] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 265] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 265] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 265] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 265] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 265] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 265] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 265] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 265] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 265] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 265] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 265] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 265] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 265] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 265] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 265] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 265] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 265] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 265] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 265] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 265] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 265] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 265] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 265] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 265] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 265] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 265] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 265] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 265] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 265] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 265] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 265] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 265] Brq: ---------------- -[DEBUG][time= 265] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 265] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 265] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 265] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 265] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 265] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 266] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 266] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 266] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 266] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 266] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 266] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 266] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 266] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 266] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 266] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 266] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 266] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 266] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 266] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 266] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 266] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 266] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 266] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 266] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 266] BPUStage3: flushS3=0 -[DEBUG][time= 266] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 266] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 266] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 266] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 266] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 266] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 266] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 266] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 266] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 266] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 266] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 266] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 266] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 266] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 266] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 266] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 266] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 266] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 266] Dispatch2: regfile 0 from 3 -[DEBUG][time= 266] Dispatch2: regfile 1 from 3 -[DEBUG][time= 266] Dispatch2: regfile 2 from 0 -[DEBUG][time= 266] Dispatch2: regfile 3 from 0 -[DEBUG][time= 266] Dispatch2: regfile 4 from 0 -[DEBUG][time= 266] Dispatch2: regfile 5 from 0 -[DEBUG][time= 266] Dispatch2: regfile 6 from 0 -[DEBUG][time= 266] Dispatch2: regfile 7 from 0 -[DEBUG][time= 266] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 266] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 266] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 266] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 266] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 266] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 266] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 266] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 266] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 266] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 266] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 266] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 266] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 266] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 266] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 266] Roq: CSR block should only happen in s_idle -[DEBUG][time= 266] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 266] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 266] Roq: -------------------------------- -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 266] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 266] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 266] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 266] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 266] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 266] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 266] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 266] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 266] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 266] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 266] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 266] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 266] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 266] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 266] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 266] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 266] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 266] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 266] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 266] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 266] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 266] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 266] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 266] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 266] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 266] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 266] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 266] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 266] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 266] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 266] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 266] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 266] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 266] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 266] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 266] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 266] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 266] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 266] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 266] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 266] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 266] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 266] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 266] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 266] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 266] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 266] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 266] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 266] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 266] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 266] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 266] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 266] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 266] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 266] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 266] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 266] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 266] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 266] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 266] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 266] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 266] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 266] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 266] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 266] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 266] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 266] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 266] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 266] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 266] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 266] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 266] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 266] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 266] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 266] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 266] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 266] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 266] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 266] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 266] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 266] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 266] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 266] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 266] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 266] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 266] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 266] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 266] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 266] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 266] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 266] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 266] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 266] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 266] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 266] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 266] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 266] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 266] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 266] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 266] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 266] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 266] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 266] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 266] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 266] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 266] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 266] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 266] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 266] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 266] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 266] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 266] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 266] Brq: ---------------- -[DEBUG][time= 266] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 266] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 266] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 266] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 266] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 266] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 267] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 267] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 267] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 267] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 267] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 267] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 267] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 267] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 267] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 267] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 267] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 267] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 267] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 267] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 267] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 267] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 267] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 267] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 267] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 267] BPUStage3: flushS3=0 -[DEBUG][time= 267] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 267] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 267] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 267] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 267] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 267] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 267] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 267] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 267] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 267] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 267] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 267] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 267] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 267] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 267] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 267] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 267] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 267] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 267] Dispatch2: regfile 0 from 3 -[DEBUG][time= 267] Dispatch2: regfile 1 from 3 -[DEBUG][time= 267] Dispatch2: regfile 2 from 0 -[DEBUG][time= 267] Dispatch2: regfile 3 from 0 -[DEBUG][time= 267] Dispatch2: regfile 4 from 0 -[DEBUG][time= 267] Dispatch2: regfile 5 from 0 -[DEBUG][time= 267] Dispatch2: regfile 6 from 0 -[DEBUG][time= 267] Dispatch2: regfile 7 from 0 -[DEBUG][time= 267] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 267] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 267] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 267] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 267] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 267] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 267] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 267] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 267] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 267] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 267] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 267] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 267] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 267] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 267] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 267] Roq: CSR block should only happen in s_idle -[DEBUG][time= 267] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 267] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 267] Roq: -------------------------------- -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 267] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 267] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 267] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 267] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 267] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 267] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 267] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 267] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 267] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 267] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 267] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 267] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 267] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 267] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 267] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 267] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 267] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 267] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 267] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 267] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 267] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 267] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 267] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 267] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 267] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 267] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 267] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 267] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 267] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 267] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 267] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 267] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 267] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 267] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 267] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 267] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 267] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 267] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 267] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 267] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 267] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 267] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 267] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 267] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 267] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 267] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 267] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 267] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 267] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 267] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 267] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 267] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 267] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 267] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 267] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 267] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 267] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 267] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 267] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 267] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 267] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 267] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 267] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 267] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 267] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 267] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 267] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 267] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 267] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 267] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 267] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 267] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 267] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 267] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 267] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 267] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 267] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 267] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 267] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 267] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 267] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 267] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 267] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 267] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 267] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 267] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 267] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 267] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 267] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 267] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 267] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 267] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 267] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 267] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 267] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 267] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 267] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 267] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 267] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 267] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 267] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 267] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 267] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 267] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 267] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 267] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 267] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 267] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 267] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 267] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 267] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 267] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 267] Brq: ---------------- -[DEBUG][time= 267] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 267] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 267] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 267] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 267] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 267] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 268] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 268] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 268] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 268] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 268] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 268] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 268] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 268] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 268] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 268] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 268] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 268] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 268] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 268] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 268] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 268] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 268] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 268] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 268] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 268] BPUStage3: flushS3=0 -[DEBUG][time= 268] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 268] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 268] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 268] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 268] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 268] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 268] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 268] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 268] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 268] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 268] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 268] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 268] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 268] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 268] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 268] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 268] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 268] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 268] Dispatch2: regfile 0 from 3 -[DEBUG][time= 268] Dispatch2: regfile 1 from 3 -[DEBUG][time= 268] Dispatch2: regfile 2 from 0 -[DEBUG][time= 268] Dispatch2: regfile 3 from 0 -[DEBUG][time= 268] Dispatch2: regfile 4 from 0 -[DEBUG][time= 268] Dispatch2: regfile 5 from 0 -[DEBUG][time= 268] Dispatch2: regfile 6 from 0 -[DEBUG][time= 268] Dispatch2: regfile 7 from 0 -[DEBUG][time= 268] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 268] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 268] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 268] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 268] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 268] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 268] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 268] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 268] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 268] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 268] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 268] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 268] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 268] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 268] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 268] Roq: CSR block should only happen in s_idle -[DEBUG][time= 268] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 268] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 268] Roq: -------------------------------- -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 268] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 268] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 268] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 268] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 268] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 268] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 268] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 268] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 268] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 268] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 268] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 268] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 268] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 268] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 268] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 268] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 268] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 268] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 268] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 268] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 268] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 268] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 268] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 268] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 268] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 268] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 268] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 268] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 268] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 268] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 268] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 268] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 268] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 268] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 268] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 268] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 268] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 268] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 268] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 268] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 268] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 268] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 268] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 268] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 268] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 268] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 268] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 268] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 268] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 268] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 268] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 268] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 268] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 268] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 268] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 268] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 268] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 268] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 268] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 268] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 268] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 268] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 268] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 268] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 268] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 268] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 268] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 268] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 268] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 268] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 268] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 268] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 268] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 268] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 268] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 268] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 268] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 268] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 268] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 268] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 268] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 268] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 268] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 268] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 268] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 268] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 268] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 268] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 268] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 268] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 268] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 268] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 268] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 268] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 268] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 268] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 268] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 268] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 268] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 268] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 268] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 268] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 268] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 268] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 268] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 268] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 268] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 268] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 268] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 268] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 268] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 268] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 268] Brq: ---------------- -[DEBUG][time= 268] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 268] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 268] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 268] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 268] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 268] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 269] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 269] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 269] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 269] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 269] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 269] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 269] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 269] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 269] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 269] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 269] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 269] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 269] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 269] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 269] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 269] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 269] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 269] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 269] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 269] BPUStage3: flushS3=0 -[DEBUG][time= 269] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 269] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 269] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 269] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 269] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 269] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 269] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 269] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 269] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 269] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 269] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 269] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 269] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 269] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 269] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 269] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 269] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 269] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 269] Dispatch2: regfile 0 from 3 -[DEBUG][time= 269] Dispatch2: regfile 1 from 3 -[DEBUG][time= 269] Dispatch2: regfile 2 from 0 -[DEBUG][time= 269] Dispatch2: regfile 3 from 0 -[DEBUG][time= 269] Dispatch2: regfile 4 from 0 -[DEBUG][time= 269] Dispatch2: regfile 5 from 0 -[DEBUG][time= 269] Dispatch2: regfile 6 from 0 -[DEBUG][time= 269] Dispatch2: regfile 7 from 0 -[DEBUG][time= 269] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 269] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 269] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 269] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 269] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 269] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 269] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 269] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 269] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 269] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 269] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 269] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 269] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 269] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 269] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 269] Roq: CSR block should only happen in s_idle -[DEBUG][time= 269] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 269] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 269] Roq: -------------------------------- -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 269] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 269] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 269] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 269] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 269] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 269] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 269] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 269] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 269] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 269] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 269] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 269] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 269] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 269] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 269] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 269] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 269] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 269] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 269] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 269] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 269] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 269] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 269] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 269] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 269] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 269] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 269] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 269] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 269] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 269] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 269] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 269] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 269] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 269] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 269] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 269] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 269] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 269] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 269] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 269] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 269] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 269] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 269] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 269] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 269] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 269] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 269] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 269] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 269] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 269] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 269] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 269] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 269] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 269] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 269] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 269] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 269] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 269] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 269] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 269] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 269] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 269] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 269] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 269] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 269] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 269] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 269] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 269] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 269] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 269] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 269] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 269] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 269] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 269] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 269] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 269] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 269] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 269] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 269] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 269] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 269] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 269] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 269] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 269] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 269] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 269] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 269] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 269] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 269] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 269] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 269] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 269] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 269] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 269] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 269] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 269] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 269] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 269] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 269] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 269] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 269] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 269] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 269] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 269] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 269] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 269] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 269] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 269] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 269] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 269] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 269] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 269] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 269] Brq: ---------------- -[DEBUG][time= 269] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 269] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 269] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 269] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 269] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 269] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 270] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 270] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 270] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 270] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 270] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 270] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 270] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 270] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 270] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 270] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 270] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 270] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 270] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 270] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 270] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 270] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 270] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 270] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 270] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 270] BPUStage3: flushS3=0 -[DEBUG][time= 270] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 270] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 270] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 270] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 270] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 270] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 270] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 270] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 270] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 270] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 270] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 270] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 270] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 270] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 270] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 270] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 270] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 270] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 270] Dispatch2: regfile 0 from 3 -[DEBUG][time= 270] Dispatch2: regfile 1 from 3 -[DEBUG][time= 270] Dispatch2: regfile 2 from 0 -[DEBUG][time= 270] Dispatch2: regfile 3 from 0 -[DEBUG][time= 270] Dispatch2: regfile 4 from 0 -[DEBUG][time= 270] Dispatch2: regfile 5 from 0 -[DEBUG][time= 270] Dispatch2: regfile 6 from 0 -[DEBUG][time= 270] Dispatch2: regfile 7 from 0 -[DEBUG][time= 270] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 270] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 270] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 270] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 270] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 270] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 270] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 270] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 270] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 270] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 270] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 270] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 270] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 270] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 270] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 270] Roq: CSR block should only happen in s_idle -[DEBUG][time= 270] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 270] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 270] Roq: -------------------------------- -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 270] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 270] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 270] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 270] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 270] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 270] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 270] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 270] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 270] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 270] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 270] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 270] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 270] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 270] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 270] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 270] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 270] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 270] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 270] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 270] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 270] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 270] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 270] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 270] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 270] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 270] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 270] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 270] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 270] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 270] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 270] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 270] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 270] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 270] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 270] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 270] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 270] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 270] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 270] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 270] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 270] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 270] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 270] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 270] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 270] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 270] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 270] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 270] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 270] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 270] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 270] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 270] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 270] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 270] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 270] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 270] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 270] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 270] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 270] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 270] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 270] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 270] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 270] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 270] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 270] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 270] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 270] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 270] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 270] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 270] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 270] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 270] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 270] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 270] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 270] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 270] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 270] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 270] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 270] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 270] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 270] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 270] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 270] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 270] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 270] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 270] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 270] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 270] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 270] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 270] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 270] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 270] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 270] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 270] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 270] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 270] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 270] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 270] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 270] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 270] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 270] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 270] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 270] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 270] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 270] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 270] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 270] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 270] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 270] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 270] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 270] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 270] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 270] Brq: ---------------- -[DEBUG][time= 270] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 270] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 270] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 270] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 270] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 270] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 271] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 271] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 271] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 271] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 271] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 271] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 271] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 271] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 271] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 271] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 271] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 271] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 271] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 271] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 271] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 271] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 271] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 271] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 271] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 271] BPUStage3: flushS3=0 -[DEBUG][time= 271] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 271] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 271] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 271] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 271] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 271] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 271] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 271] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 271] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 271] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 271] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 271] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 271] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 271] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 271] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 271] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 271] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 271] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 271] Dispatch2: regfile 0 from 3 -[DEBUG][time= 271] Dispatch2: regfile 1 from 3 -[DEBUG][time= 271] Dispatch2: regfile 2 from 0 -[DEBUG][time= 271] Dispatch2: regfile 3 from 0 -[DEBUG][time= 271] Dispatch2: regfile 4 from 0 -[DEBUG][time= 271] Dispatch2: regfile 5 from 0 -[DEBUG][time= 271] Dispatch2: regfile 6 from 0 -[DEBUG][time= 271] Dispatch2: regfile 7 from 0 -[DEBUG][time= 271] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 271] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 271] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 271] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 271] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 271] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 271] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 271] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 271] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 271] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 271] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 271] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 271] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 271] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 271] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 271] Roq: CSR block should only happen in s_idle -[DEBUG][time= 271] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 271] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 271] Roq: -------------------------------- -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 271] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 271] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 271] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 271] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 271] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 271] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 271] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 271] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 271] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 271] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 271] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 271] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 271] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 271] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 271] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 271] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 271] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 271] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 271] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 271] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 271] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 271] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 271] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 271] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 271] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 271] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 271] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 271] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 271] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 271] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 271] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 271] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 271] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 271] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 271] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 271] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 271] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 271] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 271] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 271] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 271] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 271] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 271] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 271] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 271] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 271] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 271] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 271] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 271] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 271] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 271] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 271] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 271] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 271] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 271] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 271] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 271] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 271] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 271] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 271] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 271] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 271] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 271] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 271] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 271] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 271] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 271] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 271] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 271] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 271] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 271] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 271] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 271] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 271] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 271] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 271] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 271] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 271] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 271] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 271] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 271] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 271] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 271] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 271] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 271] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 271] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 271] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 271] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 271] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 271] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 271] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 271] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 271] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 271] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 271] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 271] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 271] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 271] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 271] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 271] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 271] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 271] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 271] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 271] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 271] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 271] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 271] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 271] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 271] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 271] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 271] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 271] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 271] Brq: ---------------- -[DEBUG][time= 271] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 271] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 271] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 271] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 271] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 271] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 272] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 272] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 272] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 272] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 272] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 272] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 272] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 272] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 272] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 272] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 272] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 272] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 272] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 272] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 272] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 272] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 272] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 272] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 272] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 272] BPUStage3: flushS3=0 -[DEBUG][time= 272] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 272] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 272] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 272] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 272] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 272] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 272] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 272] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 272] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 272] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 272] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 272] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 272] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 272] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 272] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 272] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 272] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 272] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 272] Dispatch2: regfile 0 from 3 -[DEBUG][time= 272] Dispatch2: regfile 1 from 3 -[DEBUG][time= 272] Dispatch2: regfile 2 from 0 -[DEBUG][time= 272] Dispatch2: regfile 3 from 0 -[DEBUG][time= 272] Dispatch2: regfile 4 from 0 -[DEBUG][time= 272] Dispatch2: regfile 5 from 0 -[DEBUG][time= 272] Dispatch2: regfile 6 from 0 -[DEBUG][time= 272] Dispatch2: regfile 7 from 0 -[DEBUG][time= 272] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 272] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 272] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 272] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 272] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 272] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 272] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 272] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 272] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 272] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 272] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 272] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 272] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 272] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 272] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 272] Roq: CSR block should only happen in s_idle -[DEBUG][time= 272] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 272] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 272] Roq: -------------------------------- -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 272] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 272] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 272] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 272] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 272] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 272] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 272] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 272] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 272] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 272] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 272] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 272] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 272] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 272] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 272] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 272] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 272] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 272] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 272] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 272] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 272] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 272] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 272] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 272] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 272] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 272] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 272] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 272] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 272] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 272] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 272] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 272] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 272] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 272] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 272] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 272] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 272] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 272] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 272] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 272] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 272] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 272] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 272] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 272] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 272] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 272] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 272] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 272] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 272] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 272] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 272] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 272] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 272] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 272] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 272] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 272] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 272] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 272] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 272] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 272] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 272] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 272] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 272] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 272] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 272] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 272] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 272] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 272] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 272] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 272] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 272] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 272] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 272] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 272] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 272] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 272] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 272] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 272] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 272] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 272] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 272] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 272] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 272] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 272] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 272] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 272] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 272] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 272] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 272] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 272] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 272] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 272] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 272] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 272] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 272] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 272] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 272] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 272] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 272] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 272] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 272] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 272] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 272] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 272] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 272] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 272] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 272] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 272] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 272] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 272] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 272] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 272] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 272] Brq: ---------------- -[DEBUG][time= 272] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 272] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 272] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 272] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 272] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 272] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 273] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 273] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 273] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 273] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 273] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 273] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 273] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 273] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 273] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 273] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 273] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 273] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 273] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 273] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 273] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 273] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 273] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 273] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 273] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 273] BPUStage3: flushS3=0 -[DEBUG][time= 273] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 273] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 273] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 273] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 273] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 273] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 273] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 273] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 273] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 273] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 273] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 273] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 273] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 273] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 273] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 273] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 273] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 273] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 273] Dispatch2: regfile 0 from 3 -[DEBUG][time= 273] Dispatch2: regfile 1 from 3 -[DEBUG][time= 273] Dispatch2: regfile 2 from 0 -[DEBUG][time= 273] Dispatch2: regfile 3 from 0 -[DEBUG][time= 273] Dispatch2: regfile 4 from 0 -[DEBUG][time= 273] Dispatch2: regfile 5 from 0 -[DEBUG][time= 273] Dispatch2: regfile 6 from 0 -[DEBUG][time= 273] Dispatch2: regfile 7 from 0 -[DEBUG][time= 273] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 273] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 273] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 273] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 273] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 273] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 273] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 273] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 273] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 273] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 273] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 273] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 273] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 273] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 273] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 273] Roq: CSR block should only happen in s_idle -[DEBUG][time= 273] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 273] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 273] Roq: -------------------------------- -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 273] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 273] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 273] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 273] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 273] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 273] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 273] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 273] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 273] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 273] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 273] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 273] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 273] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 273] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 273] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 273] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 273] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 273] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 273] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 273] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 273] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 273] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 273] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 273] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 273] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 273] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 273] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 273] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 273] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 273] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 273] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 273] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 273] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 273] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 273] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 273] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 273] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 273] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 273] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 273] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 273] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 273] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 273] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 273] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 273] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 273] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 273] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 273] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 273] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 273] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 273] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 273] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 273] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 273] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 273] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 273] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 273] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 273] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 273] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 273] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 273] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 273] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 273] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 273] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 273] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 273] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 273] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 273] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 273] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 273] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 273] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 273] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 273] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 273] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 273] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 273] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 273] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 273] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 273] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 273] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 273] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 273] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 273] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 273] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 273] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 273] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 273] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 273] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 273] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 273] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 273] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 273] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 273] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 273] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 273] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 273] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 273] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 273] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 273] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 273] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 273] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 273] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 273] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 273] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 273] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 273] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 273] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 273] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 273] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 273] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 273] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 273] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 273] Brq: ---------------- -[DEBUG][time= 273] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 273] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 273] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 273] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 273] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 273] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 274] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 274] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 274] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 274] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 274] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 274] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 274] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 274] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 274] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 274] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 274] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 274] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 274] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 274] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 274] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 274] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 274] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 274] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 274] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 274] BPUStage3: flushS3=0 -[DEBUG][time= 274] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 274] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 274] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 274] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 274] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 274] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 274] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 274] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 274] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 274] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 274] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 274] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 274] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 274] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 274] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 274] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 274] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 274] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 274] Dispatch2: regfile 0 from 3 -[DEBUG][time= 274] Dispatch2: regfile 1 from 3 -[DEBUG][time= 274] Dispatch2: regfile 2 from 0 -[DEBUG][time= 274] Dispatch2: regfile 3 from 0 -[DEBUG][time= 274] Dispatch2: regfile 4 from 0 -[DEBUG][time= 274] Dispatch2: regfile 5 from 0 -[DEBUG][time= 274] Dispatch2: regfile 6 from 0 -[DEBUG][time= 274] Dispatch2: regfile 7 from 0 -[DEBUG][time= 274] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 274] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 274] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 274] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 274] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 274] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 274] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 274] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 274] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 274] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 274] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 274] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 274] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 274] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 274] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 274] Roq: CSR block should only happen in s_idle -[DEBUG][time= 274] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 274] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 274] Roq: -------------------------------- -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 274] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 274] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 274] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 274] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 274] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 274] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 274] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 274] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 274] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 274] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 274] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 274] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 274] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 274] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 274] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 274] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 274] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 274] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 274] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 274] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 274] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 274] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 274] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 274] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 274] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 274] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 274] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 274] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 274] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 274] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 274] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 274] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 274] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 274] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 274] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 274] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 274] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 274] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 274] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 274] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 274] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 274] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 274] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 274] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 274] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 274] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 274] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 274] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 274] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 274] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 274] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 274] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 274] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 274] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 274] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 274] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 274] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 274] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 274] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 274] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 274] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 274] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 274] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 274] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 274] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 274] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 274] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 274] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 274] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 274] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 274] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 274] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 274] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 274] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 274] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 274] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 274] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 274] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 274] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 274] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 274] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 274] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 274] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 274] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 274] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 274] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 274] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 274] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 274] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 274] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 274] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 274] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 274] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 274] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 274] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 274] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 274] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 274] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 274] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 274] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 274] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 274] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 274] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 274] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 274] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 274] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 274] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 274] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 274] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 274] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 274] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 274] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 274] Brq: ---------------- -[DEBUG][time= 274] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 274] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 274] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 274] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 274] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 274] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 275] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 275] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 275] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 275] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 275] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 275] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 275] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 275] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 275] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 275] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 275] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 275] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 275] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 275] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 275] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 275] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 275] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 275] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 275] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 275] BPUStage3: flushS3=0 -[DEBUG][time= 275] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 275] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 275] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 275] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 275] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 275] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 275] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 275] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 275] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 275] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 275] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 275] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 275] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 275] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 275] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 275] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 275] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 275] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 275] Dispatch2: regfile 0 from 3 -[DEBUG][time= 275] Dispatch2: regfile 1 from 3 -[DEBUG][time= 275] Dispatch2: regfile 2 from 0 -[DEBUG][time= 275] Dispatch2: regfile 3 from 0 -[DEBUG][time= 275] Dispatch2: regfile 4 from 0 -[DEBUG][time= 275] Dispatch2: regfile 5 from 0 -[DEBUG][time= 275] Dispatch2: regfile 6 from 0 -[DEBUG][time= 275] Dispatch2: regfile 7 from 0 -[DEBUG][time= 275] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 275] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 275] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 275] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 275] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 275] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 275] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 275] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 275] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 275] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 275] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 275] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 275] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 275] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 275] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 275] Roq: CSR block should only happen in s_idle -[DEBUG][time= 275] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 275] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 275] Roq: -------------------------------- -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 275] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 275] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 275] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 275] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 275] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 275] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 275] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 275] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 275] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 275] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 275] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 275] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 275] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 275] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 275] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 275] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 275] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 275] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 275] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 275] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 275] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 275] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 275] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 275] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 275] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 275] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 275] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 275] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 275] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 275] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 275] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 275] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 275] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 275] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 275] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 275] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 275] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 275] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 275] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 275] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 275] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 275] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 275] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 275] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 275] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 275] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 275] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 275] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 275] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 275] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 275] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 275] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 275] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 275] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 275] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 275] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 275] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 275] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 275] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 275] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 275] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 275] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 275] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 275] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 275] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 275] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 275] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 275] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 275] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 275] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 275] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 275] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 275] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 275] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 275] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 275] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 275] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 275] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 275] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 275] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 275] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 275] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 275] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 275] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 275] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 275] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 275] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 275] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 275] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 275] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 275] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 275] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 275] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 275] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 275] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 275] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 275] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 275] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 275] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 275] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 275] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 275] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 275] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 275] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 275] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 275] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 275] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 275] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 275] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 275] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 275] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 275] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 275] Brq: ---------------- -[DEBUG][time= 275] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 275] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 275] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 275] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 275] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 275] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 276] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 276] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 276] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 276] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 276] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 276] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 276] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 276] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 276] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 276] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 276] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 276] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 276] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 276] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 276] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 276] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 276] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 276] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 276] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 276] BPUStage3: flushS3=0 -[DEBUG][time= 276] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 276] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 276] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 276] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 276] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 276] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 276] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 276] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 276] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 276] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 276] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 276] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 276] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 276] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 276] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 276] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 276] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 276] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 276] Dispatch2: regfile 0 from 3 -[DEBUG][time= 276] Dispatch2: regfile 1 from 3 -[DEBUG][time= 276] Dispatch2: regfile 2 from 0 -[DEBUG][time= 276] Dispatch2: regfile 3 from 0 -[DEBUG][time= 276] Dispatch2: regfile 4 from 0 -[DEBUG][time= 276] Dispatch2: regfile 5 from 0 -[DEBUG][time= 276] Dispatch2: regfile 6 from 0 -[DEBUG][time= 276] Dispatch2: regfile 7 from 0 -[DEBUG][time= 276] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 276] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 276] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 276] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 276] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 276] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 276] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 276] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 276] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 276] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 276] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 276] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 276] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 276] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 276] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 276] Roq: CSR block should only happen in s_idle -[DEBUG][time= 276] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 276] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 276] Roq: -------------------------------- -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 276] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 276] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 276] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 276] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 276] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 276] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 276] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 276] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 276] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 276] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 276] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 276] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 276] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 276] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 276] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 276] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 276] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 276] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 276] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 276] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 276] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 276] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 276] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 276] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 276] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 276] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 276] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 276] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 276] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 276] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 276] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 276] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 276] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 276] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 276] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 276] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 276] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 276] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 276] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 276] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 276] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 276] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 276] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 276] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 276] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 276] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 276] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 276] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 276] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 276] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 276] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 276] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 276] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 276] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 276] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 276] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 276] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 276] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 276] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 276] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 276] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 276] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 276] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 276] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 276] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 276] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 276] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 276] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 276] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 276] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 276] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 276] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 276] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 276] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 276] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 276] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 276] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 276] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 276] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 276] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 276] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 276] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 276] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 276] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 276] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 276] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 276] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 276] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 276] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 276] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 276] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 276] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 276] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 276] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 276] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 276] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 276] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 276] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 276] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 276] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 276] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 276] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 276] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 276] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 276] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 276] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 276] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 276] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 276] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 276] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 276] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 276] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 276] Brq: ---------------- -[DEBUG][time= 276] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 276] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 276] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 276] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 276] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 276] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 277] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 277] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 277] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 277] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 277] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 277] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 277] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 277] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 277] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 277] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 277] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 277] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 277] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 277] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 277] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 277] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 277] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 277] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 277] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 277] BPUStage3: flushS3=0 -[DEBUG][time= 277] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 277] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 277] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 277] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 277] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 277] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 277] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 277] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 277] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 277] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 277] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 277] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 277] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 277] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 277] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 277] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 277] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 277] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 277] Dispatch2: regfile 0 from 3 -[DEBUG][time= 277] Dispatch2: regfile 1 from 3 -[DEBUG][time= 277] Dispatch2: regfile 2 from 0 -[DEBUG][time= 277] Dispatch2: regfile 3 from 0 -[DEBUG][time= 277] Dispatch2: regfile 4 from 0 -[DEBUG][time= 277] Dispatch2: regfile 5 from 0 -[DEBUG][time= 277] Dispatch2: regfile 6 from 0 -[DEBUG][time= 277] Dispatch2: regfile 7 from 0 -[DEBUG][time= 277] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 277] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 277] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 277] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 277] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 277] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 277] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 277] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 277] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 277] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 277] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 277] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 277] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 277] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 277] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 277] Roq: CSR block should only happen in s_idle -[DEBUG][time= 277] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 277] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 277] Roq: -------------------------------- -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 277] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 277] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 277] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 277] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 277] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 277] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 277] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 277] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 277] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 277] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 277] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 277] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 277] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 277] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 277] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 277] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 277] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 277] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 277] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 277] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 277] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 277] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 277] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 277] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 277] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 277] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 277] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 277] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 277] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 277] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 277] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 277] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 277] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 277] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 277] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 277] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 277] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 277] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 277] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 277] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 277] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 277] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 277] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 277] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 277] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 277] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 277] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 277] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 277] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 277] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 277] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 277] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 277] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 277] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 277] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 277] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 277] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 277] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 277] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 277] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 277] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 277] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 277] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 277] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 277] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 277] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 277] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 277] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 277] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 277] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 277] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 277] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 277] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 277] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 277] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 277] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 277] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 277] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 277] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 277] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 277] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 277] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 277] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 277] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 277] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 277] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 277] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 277] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 277] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 277] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 277] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 277] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 277] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 277] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 277] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 277] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 277] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 277] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 277] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 277] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 277] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 277] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 277] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 277] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 277] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 277] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 277] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 277] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 277] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 277] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 277] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 277] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 277] Brq: ---------------- -[DEBUG][time= 277] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 277] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 277] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 277] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 277] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 277] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 278] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 278] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 278] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 278] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 278] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 278] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 278] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 278] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 278] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 278] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 278] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 278] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 278] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 278] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 278] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 278] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 278] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 278] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 278] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 278] BPUStage3: flushS3=0 -[DEBUG][time= 278] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 278] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 278] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 278] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 278] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 278] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 278] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 278] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 278] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 278] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 278] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 278] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 278] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 278] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 278] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 278] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 278] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 278] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 278] Dispatch2: regfile 0 from 3 -[DEBUG][time= 278] Dispatch2: regfile 1 from 3 -[DEBUG][time= 278] Dispatch2: regfile 2 from 0 -[DEBUG][time= 278] Dispatch2: regfile 3 from 0 -[DEBUG][time= 278] Dispatch2: regfile 4 from 0 -[DEBUG][time= 278] Dispatch2: regfile 5 from 0 -[DEBUG][time= 278] Dispatch2: regfile 6 from 0 -[DEBUG][time= 278] Dispatch2: regfile 7 from 0 -[DEBUG][time= 278] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 278] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 278] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 278] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 278] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 278] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 278] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 278] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 278] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 278] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 278] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 278] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 278] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 278] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 278] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 278] Roq: CSR block should only happen in s_idle -[DEBUG][time= 278] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 278] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 278] Roq: -------------------------------- -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 278] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 278] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 278] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 278] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 278] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 278] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 278] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 278] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 278] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 278] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 278] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 278] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 278] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 278] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 278] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 278] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 278] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 278] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 278] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 278] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 278] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 278] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 278] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 278] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 278] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 278] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 278] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 278] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 278] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 278] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 278] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 278] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 278] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 278] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 278] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 278] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 278] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 278] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 278] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 278] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 278] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 278] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 278] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 278] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 278] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 278] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 278] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 278] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 278] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 278] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 278] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 278] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 278] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 278] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 278] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 278] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 278] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 278] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 278] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 278] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 278] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 278] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 278] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 278] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 278] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 278] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 278] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 278] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 278] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 278] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 278] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 278] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 278] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 278] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 278] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 278] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 278] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 278] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 278] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 278] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 278] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 278] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 278] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 278] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 278] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 278] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 278] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 278] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 278] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 278] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 278] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 278] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 278] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 278] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 278] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 278] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 278] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 278] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 278] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 278] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 278] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 278] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 278] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 278] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 278] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 278] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 278] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 278] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 278] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 278] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 278] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 278] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 278] Brq: ---------------- -[DEBUG][time= 278] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 278] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 278] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 278] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 278] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 278] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 279] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 279] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 279] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 279] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 279] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 279] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 279] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 279] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 279] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 279] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 279] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 279] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 279] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 279] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 279] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 279] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 279] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 279] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 279] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 279] BPUStage3: flushS3=0 -[DEBUG][time= 279] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 279] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 279] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 279] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 279] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 279] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 279] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 279] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 279] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 279] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 279] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 279] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 279] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 279] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 279] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 279] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 279] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 279] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 279] Dispatch2: regfile 0 from 3 -[DEBUG][time= 279] Dispatch2: regfile 1 from 3 -[DEBUG][time= 279] Dispatch2: regfile 2 from 0 -[DEBUG][time= 279] Dispatch2: regfile 3 from 0 -[DEBUG][time= 279] Dispatch2: regfile 4 from 0 -[DEBUG][time= 279] Dispatch2: regfile 5 from 0 -[DEBUG][time= 279] Dispatch2: regfile 6 from 0 -[DEBUG][time= 279] Dispatch2: regfile 7 from 0 -[DEBUG][time= 279] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 279] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 279] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 279] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 279] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 279] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 279] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 279] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 279] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 279] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 279] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 279] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 279] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 279] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 279] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 279] Roq: CSR block should only happen in s_idle -[DEBUG][time= 279] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 279] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 279] Roq: -------------------------------- -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 279] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 279] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 279] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 279] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 279] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 279] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 279] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 279] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 279] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 279] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 279] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 279] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 279] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 279] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 279] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 279] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 279] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 279] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 279] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 279] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 279] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 279] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 279] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 279] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 279] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 279] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 279] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 279] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 279] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 279] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 279] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 279] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 279] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 279] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 279] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 279] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 279] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 279] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 279] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 279] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 279] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 279] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 279] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 279] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 279] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 279] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 279] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 279] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 279] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 279] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 279] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 279] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 279] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 279] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 279] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 279] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 279] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 279] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 279] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 279] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 279] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 279] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 279] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 279] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 279] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 279] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 279] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 279] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 279] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 279] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 279] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 279] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 279] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 279] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 279] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 279] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 279] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 279] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 279] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 279] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 279] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 279] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 279] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 279] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 279] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 279] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 279] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 279] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 279] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 279] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 279] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 279] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 279] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 279] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 279] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 279] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 279] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 279] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 279] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 279] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 279] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 279] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 279] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 279] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 279] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 279] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 279] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 279] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 279] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 279] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 279] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 279] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 279] Brq: ---------------- -[DEBUG][time= 279] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 279] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 279] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 279] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 279] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 279] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 280] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 280] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 280] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 280] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 280] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 280] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 280] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 280] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 280] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 280] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 280] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 280] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 280] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 280] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 280] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 280] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 280] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 280] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 280] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 280] BPUStage3: flushS3=0 -[DEBUG][time= 280] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 280] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 280] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 280] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 280] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 280] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 280] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 280] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 280] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 280] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 280] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 280] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 280] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 280] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 280] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 280] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 280] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 280] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 280] Dispatch2: regfile 0 from 3 -[DEBUG][time= 280] Dispatch2: regfile 1 from 3 -[DEBUG][time= 280] Dispatch2: regfile 2 from 0 -[DEBUG][time= 280] Dispatch2: regfile 3 from 0 -[DEBUG][time= 280] Dispatch2: regfile 4 from 0 -[DEBUG][time= 280] Dispatch2: regfile 5 from 0 -[DEBUG][time= 280] Dispatch2: regfile 6 from 0 -[DEBUG][time= 280] Dispatch2: regfile 7 from 0 -[DEBUG][time= 280] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 280] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 280] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 280] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 280] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 280] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 280] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 280] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 280] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 280] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 280] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 280] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 280] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 280] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 280] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 280] Roq: CSR block should only happen in s_idle -[DEBUG][time= 280] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 280] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 280] Roq: -------------------------------- -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 280] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 280] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 280] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 280] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 280] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 280] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 280] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 280] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 280] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 280] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 280] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 280] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 280] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 280] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 280] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 280] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 280] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 280] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 280] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 280] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 280] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 280] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 280] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 280] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 280] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 280] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 280] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 280] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 280] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 280] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 280] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 280] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 280] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 280] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 280] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 280] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 280] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 280] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 280] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 280] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 280] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 280] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 280] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 280] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 280] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 280] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 280] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 280] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 280] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 280] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 280] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 280] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 280] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 280] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 280] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 280] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 280] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 280] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 280] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 280] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 280] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 280] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 280] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 280] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 280] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 280] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 280] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 280] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 280] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 280] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 280] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 280] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 280] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 280] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 280] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 280] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 280] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 280] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 280] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 280] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 280] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 280] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 280] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 280] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 280] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 280] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 280] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 280] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 280] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 280] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 280] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 280] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 280] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 280] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 280] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 280] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 280] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 280] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 280] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 280] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 280] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 280] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 280] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 280] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 280] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 280] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 280] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 280] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 280] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 280] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 280] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 280] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 280] Brq: ---------------- -[DEBUG][time= 280] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 280] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 280] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 280] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 280] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 280] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 281] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 281] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 281] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 281] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 281] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 281] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 281] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 281] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 281] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 281] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 281] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 281] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 281] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 281] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 281] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 281] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 281] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 281] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 281] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 281] BPUStage3: flushS3=0 -[DEBUG][time= 281] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 281] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 281] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 281] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 281] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 281] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 281] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 281] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 281] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 281] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 281] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 281] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 281] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 281] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 281] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 281] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 281] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 281] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 281] Dispatch2: regfile 0 from 3 -[DEBUG][time= 281] Dispatch2: regfile 1 from 3 -[DEBUG][time= 281] Dispatch2: regfile 2 from 0 -[DEBUG][time= 281] Dispatch2: regfile 3 from 0 -[DEBUG][time= 281] Dispatch2: regfile 4 from 0 -[DEBUG][time= 281] Dispatch2: regfile 5 from 0 -[DEBUG][time= 281] Dispatch2: regfile 6 from 0 -[DEBUG][time= 281] Dispatch2: regfile 7 from 0 -[DEBUG][time= 281] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 281] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 281] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 281] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 281] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 281] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 281] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 281] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 281] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 281] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 281] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 281] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 281] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 281] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 281] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 281] Roq: CSR block should only happen in s_idle -[DEBUG][time= 281] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 281] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 281] Roq: -------------------------------- -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 281] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 281] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 281] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 281] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 281] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 281] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 281] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 281] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 281] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 281] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 281] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 281] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 281] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 281] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 281] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 281] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 281] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 281] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 281] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 281] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 281] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 281] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 281] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 281] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 281] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 281] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 281] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 281] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 281] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 281] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 281] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 281] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 281] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 281] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 281] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 281] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 281] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 281] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 281] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 281] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 281] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 281] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 281] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 281] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 281] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 281] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 281] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 281] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 281] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 281] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 281] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 281] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 281] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 281] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 281] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 281] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 281] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 281] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 281] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 281] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 281] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 281] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 281] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 281] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 281] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 281] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 281] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 281] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 281] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 281] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 281] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 281] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 281] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 281] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 281] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 281] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 281] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 281] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 281] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 281] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 281] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 281] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 281] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 281] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 281] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 281] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 281] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 281] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 281] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 281] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 281] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 281] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 281] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 281] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 281] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 281] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 281] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 281] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 281] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 281] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 281] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 281] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 281] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 281] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 281] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 281] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 281] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 281] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 281] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 281] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 281] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 281] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 281] Brq: ---------------- -[DEBUG][time= 281] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 281] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 281] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 281] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 281] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 281] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 282] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 282] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 282] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 282] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 282] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 282] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 282] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 282] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 282] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 282] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 282] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 282] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 282] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 282] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 282] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 282] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 282] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 282] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 282] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 282] BPUStage3: flushS3=0 -[DEBUG][time= 282] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 282] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 282] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 282] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 282] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 282] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 282] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 282] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 282] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 282] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 282] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 282] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 282] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 282] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 282] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 282] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 282] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 282] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 282] Dispatch2: regfile 0 from 3 -[DEBUG][time= 282] Dispatch2: regfile 1 from 3 -[DEBUG][time= 282] Dispatch2: regfile 2 from 0 -[DEBUG][time= 282] Dispatch2: regfile 3 from 0 -[DEBUG][time= 282] Dispatch2: regfile 4 from 0 -[DEBUG][time= 282] Dispatch2: regfile 5 from 0 -[DEBUG][time= 282] Dispatch2: regfile 6 from 0 -[DEBUG][time= 282] Dispatch2: regfile 7 from 0 -[DEBUG][time= 282] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 282] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 282] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 282] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 282] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 282] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 282] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 282] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 282] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 282] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 282] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 282] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 282] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 282] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 282] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 282] Roq: CSR block should only happen in s_idle -[DEBUG][time= 282] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 282] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 282] Roq: -------------------------------- -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 282] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 282] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 282] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 282] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 282] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 282] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 282] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 282] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 282] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 282] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 282] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 282] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 282] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 282] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 282] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 282] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 282] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 282] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 282] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 282] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 282] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 282] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 282] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 282] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 282] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 282] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 282] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 282] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 282] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 282] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 282] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 282] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 282] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 282] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 282] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 282] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 282] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 282] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 282] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 282] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 282] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 282] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 282] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 282] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 282] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 282] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 282] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 282] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 282] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 282] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 282] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 282] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 282] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 282] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 282] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 282] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 282] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 282] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 282] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 282] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 282] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 282] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 282] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 282] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 282] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 282] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 282] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 282] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 282] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 282] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 282] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 282] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 282] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 282] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 282] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 282] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 282] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 282] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 282] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 282] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 282] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 282] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 282] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 282] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 282] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 282] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 282] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 282] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 282] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 282] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 282] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 282] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 282] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 282] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 282] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 282] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 282] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 282] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 282] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 282] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 282] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 282] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 282] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 282] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 282] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 282] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 282] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 282] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 282] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 282] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 282] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 282] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 282] Brq: ---------------- -[DEBUG][time= 282] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 282] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 282] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 282] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 282] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 282] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 283] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 283] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 283] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 283] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 283] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 283] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 283] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 283] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 283] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 283] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 283] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 283] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 283] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 283] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 283] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 283] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 283] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 283] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 283] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 283] BPUStage3: flushS3=0 -[DEBUG][time= 283] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 283] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 283] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 283] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 283] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 283] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 283] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 283] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 283] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 283] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 283] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 283] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 283] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 283] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 283] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 283] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 283] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 283] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 283] Dispatch2: regfile 0 from 3 -[DEBUG][time= 283] Dispatch2: regfile 1 from 3 -[DEBUG][time= 283] Dispatch2: regfile 2 from 0 -[DEBUG][time= 283] Dispatch2: regfile 3 from 0 -[DEBUG][time= 283] Dispatch2: regfile 4 from 0 -[DEBUG][time= 283] Dispatch2: regfile 5 from 0 -[DEBUG][time= 283] Dispatch2: regfile 6 from 0 -[DEBUG][time= 283] Dispatch2: regfile 7 from 0 -[DEBUG][time= 283] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 283] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 283] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 283] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 283] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 283] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 283] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 283] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 283] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 283] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 283] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 283] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 283] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 283] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 283] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 283] Roq: CSR block should only happen in s_idle -[DEBUG][time= 283] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 283] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 283] Roq: -------------------------------- -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 283] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 283] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 283] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 283] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 283] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 283] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 283] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 283] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 283] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 283] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 283] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 283] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 283] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 283] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 283] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 283] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 283] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 283] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 283] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 283] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 283] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 283] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 283] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 283] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 283] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 283] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 283] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 283] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 283] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 283] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 283] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 283] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 283] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 283] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 283] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 283] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 283] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 283] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 283] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 283] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 283] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 283] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 283] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 283] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 283] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 283] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 283] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 283] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 283] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 283] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 283] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 283] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 283] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 283] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 283] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 283] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 283] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 283] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 283] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 283] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 283] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 283] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 283] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 283] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 283] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 283] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 283] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 283] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 283] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 283] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 283] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 283] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 283] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 283] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 283] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 283] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 283] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 283] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 283] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 283] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 283] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 283] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 283] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 283] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 283] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 283] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 283] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 283] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 283] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 283] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 283] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 283] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 283] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 283] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 283] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 283] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 283] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 283] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 283] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 283] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 283] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 283] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 283] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 283] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 283] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 283] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 283] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 283] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 283] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 283] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 283] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 283] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 283] Brq: ---------------- -[DEBUG][time= 283] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 283] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 283] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 283] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 283] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 283] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 284] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 284] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 284] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 284] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 284] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 284] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 284] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 284] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 284] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 284] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 284] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 284] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 284] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 284] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 284] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 284] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 284] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 284] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 284] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 284] BPUStage3: flushS3=0 -[DEBUG][time= 284] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 284] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 284] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 284] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 284] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 284] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 284] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 284] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 284] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 284] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 284] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 284] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 284] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 284] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 284] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 284] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 284] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 284] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 284] Dispatch2: regfile 0 from 3 -[DEBUG][time= 284] Dispatch2: regfile 1 from 3 -[DEBUG][time= 284] Dispatch2: regfile 2 from 0 -[DEBUG][time= 284] Dispatch2: regfile 3 from 0 -[DEBUG][time= 284] Dispatch2: regfile 4 from 0 -[DEBUG][time= 284] Dispatch2: regfile 5 from 0 -[DEBUG][time= 284] Dispatch2: regfile 6 from 0 -[DEBUG][time= 284] Dispatch2: regfile 7 from 0 -[DEBUG][time= 284] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 284] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 284] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 284] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 284] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 284] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 284] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 284] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 284] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 284] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 284] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 284] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 284] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 284] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 284] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 284] Roq: CSR block should only happen in s_idle -[DEBUG][time= 284] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 284] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 284] Roq: -------------------------------- -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 284] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 284] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 284] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 284] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 284] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 284] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 284] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 284] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 284] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 284] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 284] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 284] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 284] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 284] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 284] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 284] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 284] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 284] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 284] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 284] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 284] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 284] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 284] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 284] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 284] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 284] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 284] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 284] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 284] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 284] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 284] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 284] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 284] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 284] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 284] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 284] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 284] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 284] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 284] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 284] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 284] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 284] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 284] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 284] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 284] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 284] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 284] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 284] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 284] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 284] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 284] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 284] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 284] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 284] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 284] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 284] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 284] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 284] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 284] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 284] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 284] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 284] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 284] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 284] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 284] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 284] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 284] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 284] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 284] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 284] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 284] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 284] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 284] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 284] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 284] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 284] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 284] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 284] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 284] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 284] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 284] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 284] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 284] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 284] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 284] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 284] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 284] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 284] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 284] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 284] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 284] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 284] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 284] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 284] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 284] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 284] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 284] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 284] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 284] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 284] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 284] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 284] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 284] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 284] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 284] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 284] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 284] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 284] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 284] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 284] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 284] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 284] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 284] Brq: ---------------- -[DEBUG][time= 284] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 284] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 284] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 284] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 284] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 284] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 285] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 285] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 285] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 285] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 285] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 285] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 285] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 285] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 285] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 285] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 285] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 285] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 285] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 285] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 285] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 285] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 285] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 285] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 285] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 285] BPUStage3: flushS3=0 -[DEBUG][time= 285] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 285] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 285] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 285] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 285] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 285] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 285] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 285] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 285] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 285] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 285] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 285] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 285] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 285] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 285] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 285] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 285] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 285] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 285] Dispatch2: regfile 0 from 3 -[DEBUG][time= 285] Dispatch2: regfile 1 from 3 -[DEBUG][time= 285] Dispatch2: regfile 2 from 0 -[DEBUG][time= 285] Dispatch2: regfile 3 from 0 -[DEBUG][time= 285] Dispatch2: regfile 4 from 0 -[DEBUG][time= 285] Dispatch2: regfile 5 from 0 -[DEBUG][time= 285] Dispatch2: regfile 6 from 0 -[DEBUG][time= 285] Dispatch2: regfile 7 from 0 -[DEBUG][time= 285] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 285] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 285] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 285] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 285] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 285] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 285] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 285] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 285] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 285] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 285] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 285] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 285] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 285] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 285] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 285] Roq: CSR block should only happen in s_idle -[DEBUG][time= 285] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 285] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 285] Roq: -------------------------------- -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 285] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 285] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 285] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 285] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 285] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 285] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 285] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 285] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 285] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 285] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 285] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 285] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 285] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 285] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 285] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 285] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 285] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 285] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 285] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 285] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 285] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 285] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 285] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 285] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 285] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 285] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 285] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 285] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 285] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 285] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 285] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 285] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 285] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 285] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 285] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 285] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 285] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 285] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 285] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 285] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 285] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 285] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 285] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 285] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 285] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 285] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 285] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 285] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 285] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 285] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 285] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 285] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 285] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 285] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 285] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 285] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 285] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 285] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 285] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 285] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 285] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 285] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 285] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 285] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 285] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 285] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 285] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 285] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 285] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 285] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 285] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 285] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 285] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 285] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 285] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 285] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 285] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 285] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 285] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 285] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 285] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 285] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 285] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 285] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 285] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 285] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 285] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 285] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 285] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 285] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 285] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 285] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 285] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 285] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 285] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 285] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 285] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 285] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 285] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 285] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 285] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 285] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 285] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 285] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 285] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 285] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 285] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 285] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 285] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 285] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 285] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 285] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 285] Brq: ---------------- -[DEBUG][time= 285] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 285] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 285] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 285] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 285] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 285] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 286] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 286] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 286] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 286] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 286] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 286] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 286] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 286] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 286] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 286] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 286] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 286] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 286] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 286] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 286] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 286] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 286] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 286] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 286] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 286] BPUStage3: flushS3=0 -[DEBUG][time= 286] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 286] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 286] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 286] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 286] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 286] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 286] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 286] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 286] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 286] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 286] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 286] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 286] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 286] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 286] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 286] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 286] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 286] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 286] Dispatch2: regfile 0 from 3 -[DEBUG][time= 286] Dispatch2: regfile 1 from 3 -[DEBUG][time= 286] Dispatch2: regfile 2 from 0 -[DEBUG][time= 286] Dispatch2: regfile 3 from 0 -[DEBUG][time= 286] Dispatch2: regfile 4 from 0 -[DEBUG][time= 286] Dispatch2: regfile 5 from 0 -[DEBUG][time= 286] Dispatch2: regfile 6 from 0 -[DEBUG][time= 286] Dispatch2: regfile 7 from 0 -[DEBUG][time= 286] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 286] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 286] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 286] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 286] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 286] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 286] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 286] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 286] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 286] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 286] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 286] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 286] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 286] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 286] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 286] Roq: CSR block should only happen in s_idle -[DEBUG][time= 286] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 286] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 286] Roq: -------------------------------- -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 286] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 286] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 286] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 286] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 286] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 286] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 286] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 286] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 286] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 286] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 286] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 286] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 286] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 286] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 286] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 286] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 286] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 286] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 286] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 286] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 286] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 286] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 286] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 286] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 286] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 286] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 286] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 286] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 286] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 286] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 286] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 286] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 286] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 286] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 286] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 286] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 286] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 286] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 286] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 286] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 286] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 286] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 286] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 286] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 286] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 286] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 286] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 286] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 286] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 286] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 286] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 286] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 286] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 286] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 286] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 286] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 286] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 286] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 286] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 286] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 286] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 286] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 286] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 286] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 286] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 286] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 286] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 286] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 286] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 286] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 286] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 286] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 286] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 286] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 286] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 286] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 286] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 286] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 286] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 286] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 286] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 286] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 286] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 286] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 286] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 286] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 286] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 286] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 286] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 286] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 286] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 286] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 286] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 286] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 286] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 286] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 286] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 286] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 286] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 286] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 286] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 286] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 286] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 286] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 286] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 286] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 286] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 286] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 286] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 286] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 286] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 286] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 286] Brq: ---------------- -[DEBUG][time= 286] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 286] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 286] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 286] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 286] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 286] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 287] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 287] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 287] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 287] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 287] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 287] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 287] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 287] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 287] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 287] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 287] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 287] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 287] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 287] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 287] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 287] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 287] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 287] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 287] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 287] BPUStage3: flushS3=0 -[DEBUG][time= 287] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 287] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 287] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 287] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 287] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 287] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 287] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 287] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 287] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 287] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 287] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 287] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 287] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 287] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 287] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 287] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 287] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 287] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 287] Dispatch2: regfile 0 from 3 -[DEBUG][time= 287] Dispatch2: regfile 1 from 3 -[DEBUG][time= 287] Dispatch2: regfile 2 from 0 -[DEBUG][time= 287] Dispatch2: regfile 3 from 0 -[DEBUG][time= 287] Dispatch2: regfile 4 from 0 -[DEBUG][time= 287] Dispatch2: regfile 5 from 0 -[DEBUG][time= 287] Dispatch2: regfile 6 from 0 -[DEBUG][time= 287] Dispatch2: regfile 7 from 0 -[DEBUG][time= 287] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 287] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 287] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 287] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 287] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 287] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 287] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 287] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 287] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 287] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 287] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 287] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 287] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 287] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 287] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 287] Roq: CSR block should only happen in s_idle -[DEBUG][time= 287] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 287] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 287] Roq: -------------------------------- -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 287] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 287] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 287] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 287] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 287] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 287] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 287] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 287] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 287] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 287] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 287] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 287] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 287] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 287] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 287] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 287] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 287] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 287] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 287] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 287] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 287] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 287] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 287] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 287] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 287] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 287] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 287] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 287] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 287] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 287] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 287] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 287] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 287] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 287] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 287] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 287] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 287] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 287] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 287] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 287] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 287] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 287] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 287] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 287] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 287] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 287] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 287] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 287] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 287] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 287] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 287] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 287] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 287] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 287] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 287] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 287] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 287] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 287] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 287] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 287] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 287] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 287] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 287] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 287] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 287] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 287] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 287] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 287] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 287] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 287] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 287] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 287] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 287] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 287] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 287] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 287] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 287] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 287] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 287] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 287] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 287] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 287] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 287] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 287] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 287] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 287] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 287] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 287] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 287] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 287] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 287] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 287] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 287] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 287] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 287] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 287] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 287] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 287] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 287] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 287] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 287] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 287] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 287] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 287] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 287] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 287] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 287] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 287] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 287] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 287] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 287] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 287] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 287] Brq: ---------------- -[DEBUG][time= 287] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 287] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 287] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 287] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 287] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 287] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 288] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 288] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 288] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 288] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 288] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 288] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 288] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 288] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 288] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 288] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 288] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 288] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 288] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 288] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 288] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 288] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 288] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 288] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 288] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 288] BPUStage3: flushS3=0 -[DEBUG][time= 288] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 288] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 288] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 288] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 288] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 288] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 288] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 288] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 288] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 288] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 288] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 288] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 288] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 288] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 288] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 288] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 288] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 288] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 288] Dispatch2: regfile 0 from 3 -[DEBUG][time= 288] Dispatch2: regfile 1 from 3 -[DEBUG][time= 288] Dispatch2: regfile 2 from 0 -[DEBUG][time= 288] Dispatch2: regfile 3 from 0 -[DEBUG][time= 288] Dispatch2: regfile 4 from 0 -[DEBUG][time= 288] Dispatch2: regfile 5 from 0 -[DEBUG][time= 288] Dispatch2: regfile 6 from 0 -[DEBUG][time= 288] Dispatch2: regfile 7 from 0 -[DEBUG][time= 288] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 288] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 288] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 288] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 288] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 288] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 288] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 288] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 288] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 288] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 288] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 288] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 288] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 288] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 288] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 288] Roq: CSR block should only happen in s_idle -[DEBUG][time= 288] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 288] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 288] Roq: -------------------------------- -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 288] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 288] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 288] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 288] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 288] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 288] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 288] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 288] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 288] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 288] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 288] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 288] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 288] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 288] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 288] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 288] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 288] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 288] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 288] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 288] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 288] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 288] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 288] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 288] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 288] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 288] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 288] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 288] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 288] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 288] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 288] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 288] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 288] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 288] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 288] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 288] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 288] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 288] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 288] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 288] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 288] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 288] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 288] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 288] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 288] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 288] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 288] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 288] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 288] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 288] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 288] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 288] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 288] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 288] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 288] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 288] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 288] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 288] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 288] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 288] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 288] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 288] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 288] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 288] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 288] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 288] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 288] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 288] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 288] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 288] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 288] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 288] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 288] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 288] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 288] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 288] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 288] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 288] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 288] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 288] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 288] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 288] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 288] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 288] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 288] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 288] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 288] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 288] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 288] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 288] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 288] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 288] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 288] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 288] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 288] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 288] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 288] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 288] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 288] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 288] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 288] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 288] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 288] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 288] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 288] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 288] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 288] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 288] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 288] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 288] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 288] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 288] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 288] Brq: ---------------- -[DEBUG][time= 288] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 288] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 288] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 288] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 288] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 288] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 289] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 289] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 289] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 289] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 289] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 289] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 289] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 289] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 289] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 289] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 289] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 289] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 289] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 289] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 289] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 289] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 289] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 289] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 289] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 289] BPUStage3: flushS3=0 -[DEBUG][time= 289] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 289] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 289] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 289] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 289] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 289] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 289] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 289] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 289] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 289] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 289] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 289] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 289] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 289] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 289] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 289] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 289] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 289] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 289] Dispatch2: regfile 0 from 3 -[DEBUG][time= 289] Dispatch2: regfile 1 from 3 -[DEBUG][time= 289] Dispatch2: regfile 2 from 0 -[DEBUG][time= 289] Dispatch2: regfile 3 from 0 -[DEBUG][time= 289] Dispatch2: regfile 4 from 0 -[DEBUG][time= 289] Dispatch2: regfile 5 from 0 -[DEBUG][time= 289] Dispatch2: regfile 6 from 0 -[DEBUG][time= 289] Dispatch2: regfile 7 from 0 -[DEBUG][time= 289] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 289] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 289] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 289] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 289] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 289] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 289] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 289] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 289] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 289] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 289] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 289] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 289] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 289] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 289] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 289] Roq: CSR block should only happen in s_idle -[DEBUG][time= 289] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 289] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 289] Roq: -------------------------------- -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 289] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 289] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 289] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 289] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 289] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 289] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 289] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 289] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 289] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 289] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 289] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 289] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 289] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 289] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 289] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 289] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 289] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 289] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 289] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 289] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 289] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 289] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 289] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 289] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 289] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 289] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 289] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 289] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 289] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 289] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 289] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 289] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 289] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 289] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 289] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 289] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 289] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 289] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 289] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 289] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 289] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 289] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 289] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 289] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 289] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 289] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 289] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 289] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 289] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 289] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 289] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 289] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 289] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 289] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 289] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 289] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 289] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 289] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 289] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 289] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 289] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 289] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 289] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 289] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 289] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 289] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 289] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 289] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 289] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 289] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 289] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 289] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 289] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 289] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 289] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 289] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 289] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 289] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 289] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 289] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 289] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 289] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 289] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 289] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 289] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 289] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 289] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 289] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 289] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 289] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 289] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 289] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 289] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 289] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 289] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 289] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 289] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 289] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 289] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 289] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 289] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 289] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 289] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 289] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 289] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 289] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 289] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 289] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 289] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 289] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 289] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 289] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 289] Brq: ---------------- -[DEBUG][time= 289] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 289] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 289] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 289] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 289] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 289] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 290] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 290] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 290] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 290] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 290] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 290] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 290] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 290] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 290] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 290] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 290] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 290] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 290] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 290] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 290] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 290] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 290] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 290] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 290] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 290] BPUStage3: flushS3=0 -[DEBUG][time= 290] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 290] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 290] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 290] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 290] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 290] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 290] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 290] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 290] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 290] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 290] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 290] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 290] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 290] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 290] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 290] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 290] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 290] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 290] Dispatch2: regfile 0 from 3 -[DEBUG][time= 290] Dispatch2: regfile 1 from 3 -[DEBUG][time= 290] Dispatch2: regfile 2 from 0 -[DEBUG][time= 290] Dispatch2: regfile 3 from 0 -[DEBUG][time= 290] Dispatch2: regfile 4 from 0 -[DEBUG][time= 290] Dispatch2: regfile 5 from 0 -[DEBUG][time= 290] Dispatch2: regfile 6 from 0 -[DEBUG][time= 290] Dispatch2: regfile 7 from 0 -[DEBUG][time= 290] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 290] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 290] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 290] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 290] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 290] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 290] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 290] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 290] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 290] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 290] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 290] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 290] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 290] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 290] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 290] Roq: CSR block should only happen in s_idle -[DEBUG][time= 290] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 290] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 290] Roq: -------------------------------- -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 290] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 290] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 290] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 290] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 290] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 290] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 290] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 290] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 290] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 290] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 290] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 290] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 290] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 290] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 290] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 290] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 290] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 290] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 290] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 290] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 290] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 290] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 290] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 290] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 290] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 290] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 290] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 290] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 290] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 290] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 290] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 290] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 290] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 290] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 290] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 290] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 290] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 290] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 290] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 290] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 290] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 290] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 290] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 290] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 290] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 290] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 290] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 290] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 290] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 290] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 290] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 290] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 290] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 290] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 290] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 290] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 290] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 290] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 290] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 290] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 290] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 290] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 290] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 290] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 290] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 290] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 290] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 290] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 290] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 290] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 290] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 290] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 290] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 290] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 290] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 290] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 290] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 290] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 290] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 290] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 290] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 290] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 290] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 290] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 290] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 290] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 290] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 290] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 290] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 290] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 290] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 290] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 290] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 290] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 290] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 290] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 290] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 290] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 290] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 290] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 290] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 290] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 290] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 290] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 290] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 290] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 290] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 290] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 290] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 290] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 290] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 290] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 290] Brq: ---------------- -[DEBUG][time= 290] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 290] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 290] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 290] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 290] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 290] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 291] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 291] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 291] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 291] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 291] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 291] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 291] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 291] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 291] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 291] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 291] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 291] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 291] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 291] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 291] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 291] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 291] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 291] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 291] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 291] BPUStage3: flushS3=0 -[DEBUG][time= 291] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 291] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 291] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 291] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 291] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 291] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 291] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 291] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 291] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 291] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 291] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 291] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 291] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 291] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 291] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 291] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 291] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 291] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 291] Dispatch2: regfile 0 from 3 -[DEBUG][time= 291] Dispatch2: regfile 1 from 3 -[DEBUG][time= 291] Dispatch2: regfile 2 from 0 -[DEBUG][time= 291] Dispatch2: regfile 3 from 0 -[DEBUG][time= 291] Dispatch2: regfile 4 from 0 -[DEBUG][time= 291] Dispatch2: regfile 5 from 0 -[DEBUG][time= 291] Dispatch2: regfile 6 from 0 -[DEBUG][time= 291] Dispatch2: regfile 7 from 0 -[DEBUG][time= 291] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 291] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 291] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 291] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 291] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 291] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 291] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 291] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 291] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 291] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 291] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 291] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 291] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 291] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 291] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 291] Roq: CSR block should only happen in s_idle -[DEBUG][time= 291] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 291] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 291] Roq: -------------------------------- -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 291] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 291] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 291] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 291] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 291] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 291] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 291] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 291] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 291] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 291] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 291] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 291] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 291] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 291] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 291] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 291] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 291] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 291] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 291] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 291] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 291] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 291] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 291] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 291] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 291] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 291] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 291] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 291] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 291] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 291] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 291] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 291] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 291] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 291] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 291] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 291] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 291] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 291] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 291] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 291] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 291] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 291] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 291] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 291] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 291] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 291] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 291] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 291] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 291] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 291] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 291] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 291] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 291] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 291] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 291] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 291] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 291] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 291] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 291] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 291] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 291] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 291] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 291] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 291] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 291] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 291] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 291] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 291] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 291] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 291] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 291] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 291] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 291] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 291] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 291] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 291] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 291] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 291] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 291] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 291] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 291] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 291] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 291] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 291] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 291] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 291] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 291] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 291] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 291] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 291] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 291] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 291] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 291] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 291] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 291] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 291] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 291] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 291] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 291] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 291] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 291] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 291] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 291] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 291] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 291] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 291] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 291] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 291] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 291] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 291] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 291] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 291] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 291] Brq: ---------------- -[DEBUG][time= 291] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 291] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 291] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 291] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 291] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 291] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 292] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 292] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 292] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 292] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 292] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 292] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 292] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 292] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 292] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 292] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 292] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 292] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 292] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 292] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 292] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 292] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 292] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 292] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 292] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 292] BPUStage3: flushS3=0 -[DEBUG][time= 292] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 292] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 292] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 292] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 292] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 292] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 292] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 292] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 292] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 292] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 292] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 292] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 292] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 292] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 292] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 292] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 292] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 292] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 292] Dispatch2: regfile 0 from 3 -[DEBUG][time= 292] Dispatch2: regfile 1 from 3 -[DEBUG][time= 292] Dispatch2: regfile 2 from 0 -[DEBUG][time= 292] Dispatch2: regfile 3 from 0 -[DEBUG][time= 292] Dispatch2: regfile 4 from 0 -[DEBUG][time= 292] Dispatch2: regfile 5 from 0 -[DEBUG][time= 292] Dispatch2: regfile 6 from 0 -[DEBUG][time= 292] Dispatch2: regfile 7 from 0 -[DEBUG][time= 292] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 292] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 292] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 292] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 292] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 292] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 292] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 292] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 292] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 292] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 292] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 292] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 292] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 292] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 292] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 292] Roq: CSR block should only happen in s_idle -[DEBUG][time= 292] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 292] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 292] Roq: -------------------------------- -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 292] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 292] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 292] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 292] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 292] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 292] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 292] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 292] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 292] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 292] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 292] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 292] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 292] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 292] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 292] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 292] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 292] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 292] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 292] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 292] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 292] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 292] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 292] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 292] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 292] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 292] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 292] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 292] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 292] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 292] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 292] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 292] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 292] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 292] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 292] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 292] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 292] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 292] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 292] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 292] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 292] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 292] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 292] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 292] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 292] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 292] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 292] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 292] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 292] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 292] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 292] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 292] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 292] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 292] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 292] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 292] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 292] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 292] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 292] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 292] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 292] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 292] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 292] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 292] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 292] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 292] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 292] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 292] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 292] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 292] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 292] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 292] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 292] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 292] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 292] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 292] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 292] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 292] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 292] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 292] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 292] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 292] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 292] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 292] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 292] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 292] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 292] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 292] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 292] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 292] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 292] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 292] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 292] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 292] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 292] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 292] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 292] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 292] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 292] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 292] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 292] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 292] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 292] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 292] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 292] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 292] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 292] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 292] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 292] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 292] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 292] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 292] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 292] Brq: ---------------- -[DEBUG][time= 292] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 292] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 292] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 292] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 292] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 292] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 293] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 293] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 293] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 293] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 293] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 293] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 293] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 293] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 293] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 293] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 293] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 293] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 293] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 293] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 293] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 293] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 293] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 293] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 293] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 293] BPUStage3: flushS3=0 -[DEBUG][time= 293] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 293] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 293] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 293] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 293] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 293] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 293] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 293] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 293] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 293] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 293] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 293] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 293] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 293] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 293] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 293] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 293] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 293] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 293] Dispatch2: regfile 0 from 3 -[DEBUG][time= 293] Dispatch2: regfile 1 from 3 -[DEBUG][time= 293] Dispatch2: regfile 2 from 0 -[DEBUG][time= 293] Dispatch2: regfile 3 from 0 -[DEBUG][time= 293] Dispatch2: regfile 4 from 0 -[DEBUG][time= 293] Dispatch2: regfile 5 from 0 -[DEBUG][time= 293] Dispatch2: regfile 6 from 0 -[DEBUG][time= 293] Dispatch2: regfile 7 from 0 -[DEBUG][time= 293] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 293] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 293] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 293] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 293] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 293] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 293] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 293] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 293] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 293] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 293] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 293] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 293] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 293] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 293] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 293] Roq: CSR block should only happen in s_idle -[DEBUG][time= 293] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 293] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 293] Roq: -------------------------------- -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 293] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 293] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 293] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 293] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 293] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 293] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 293] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 293] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 293] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 293] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 293] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 293] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 293] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 293] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 293] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 293] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 293] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 293] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 293] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 293] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 293] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 293] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 293] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 293] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 293] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 293] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 293] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 293] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 293] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 293] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 293] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 293] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 293] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 293] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 293] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 293] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 293] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 293] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 293] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 293] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 293] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 293] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 293] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 293] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 293] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 293] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 293] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 293] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 293] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 293] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 293] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 293] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 293] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 293] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 293] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 293] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 293] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 293] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 293] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 293] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 293] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 293] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 293] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 293] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 293] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 293] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 293] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 293] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 293] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 293] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 293] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 293] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 293] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 293] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 293] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 293] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 293] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 293] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 293] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 293] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 293] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 293] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 293] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 293] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 293] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 293] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 293] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 293] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 293] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 293] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 293] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 293] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 293] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 293] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 293] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 293] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 293] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 293] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 293] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 293] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 293] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 293] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 293] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 293] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 293] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 293] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 293] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 293] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 293] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 293] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 293] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 293] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 293] Brq: ---------------- -[DEBUG][time= 293] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 293] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 293] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 293] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 293] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 293] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 294] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 294] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 294] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 294] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 294] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 294] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 294] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 294] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 294] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 294] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 294] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 294] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 294] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 294] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 294] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 294] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 294] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 294] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 294] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 294] BPUStage3: flushS3=0 -[DEBUG][time= 294] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 294] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 294] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 294] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 294] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 294] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 294] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 294] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 294] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 294] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 294] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 294] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 294] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 294] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 294] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 294] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 294] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 294] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 294] Dispatch2: regfile 0 from 3 -[DEBUG][time= 294] Dispatch2: regfile 1 from 3 -[DEBUG][time= 294] Dispatch2: regfile 2 from 0 -[DEBUG][time= 294] Dispatch2: regfile 3 from 0 -[DEBUG][time= 294] Dispatch2: regfile 4 from 0 -[DEBUG][time= 294] Dispatch2: regfile 5 from 0 -[DEBUG][time= 294] Dispatch2: regfile 6 from 0 -[DEBUG][time= 294] Dispatch2: regfile 7 from 0 -[DEBUG][time= 294] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 294] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 294] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 294] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 294] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 294] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 294] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 294] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 294] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 294] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 294] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 294] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 294] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 294] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 294] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 294] Roq: CSR block should only happen in s_idle -[DEBUG][time= 294] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 294] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 294] Roq: -------------------------------- -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 294] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 294] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 294] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 294] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 294] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 294] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 294] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 294] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 294] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 294] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 294] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 294] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 294] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 294] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 294] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 294] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 294] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 294] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 294] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 294] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 294] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 294] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 294] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 294] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 294] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 294] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 294] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 294] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 294] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 294] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 294] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 294] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 294] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 294] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 294] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 294] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 294] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 294] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 294] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 294] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 294] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 294] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 294] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 294] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 294] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 294] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 294] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 294] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 294] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 294] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 294] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 294] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 294] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 294] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 294] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 294] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 294] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 294] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 294] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 294] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 294] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 294] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 294] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 294] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 294] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 294] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 294] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 294] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 294] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 294] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 294] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 294] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 294] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 294] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 294] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 294] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 294] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 294] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 294] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 294] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 294] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 294] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 294] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 294] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 294] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 294] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 294] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 294] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 294] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 294] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 294] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 294] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 294] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 294] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 294] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 294] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 294] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 294] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 294] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 294] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 294] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 294] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 294] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 294] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 294] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 294] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 294] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 294] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 294] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 294] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 294] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 294] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 294] Brq: ---------------- -[DEBUG][time= 294] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 294] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 294] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 294] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 294] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 294] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 295] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 295] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 295] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 295] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 295] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 295] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 295] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 295] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 295] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 295] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 295] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 295] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 295] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 295] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 295] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 295] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 295] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 295] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 295] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 295] BPUStage3: flushS3=0 -[DEBUG][time= 295] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 295] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 295] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 295] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 295] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 295] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 295] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 295] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 295] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 295] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 295] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 295] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 295] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 295] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 295] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 295] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 295] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 295] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 295] Dispatch2: regfile 0 from 3 -[DEBUG][time= 295] Dispatch2: regfile 1 from 3 -[DEBUG][time= 295] Dispatch2: regfile 2 from 0 -[DEBUG][time= 295] Dispatch2: regfile 3 from 0 -[DEBUG][time= 295] Dispatch2: regfile 4 from 0 -[DEBUG][time= 295] Dispatch2: regfile 5 from 0 -[DEBUG][time= 295] Dispatch2: regfile 6 from 0 -[DEBUG][time= 295] Dispatch2: regfile 7 from 0 -[DEBUG][time= 295] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 295] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 295] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 295] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 295] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 295] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 295] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 295] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 295] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 295] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 295] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 295] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 295] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 295] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 295] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 295] Roq: CSR block should only happen in s_idle -[DEBUG][time= 295] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 295] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 295] Roq: -------------------------------- -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 295] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 295] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 295] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 295] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 295] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 295] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 295] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 295] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 295] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 295] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 295] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 295] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 295] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 295] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 295] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 295] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 295] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 295] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 295] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 295] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 295] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 295] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 295] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 295] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 295] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 295] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 295] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 295] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 295] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 295] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 295] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 295] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 295] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 295] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 295] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 295] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 295] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 295] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 295] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 295] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 295] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 295] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 295] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 295] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 295] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 295] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 295] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 295] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 295] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 295] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 295] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 295] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 295] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 295] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 295] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 295] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 295] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 295] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 295] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 295] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 295] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 295] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 295] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 295] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 295] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 295] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 295] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 295] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 295] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 295] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 295] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 295] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 295] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 295] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 295] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 295] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 295] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 295] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 295] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 295] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 295] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 295] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 295] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 295] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 295] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 295] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 295] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 295] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 295] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 295] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 295] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 295] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 295] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 295] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 295] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 295] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 295] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 295] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 295] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 295] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 295] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 295] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 295] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 295] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 295] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 295] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 295] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 295] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 295] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 295] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 295] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 295] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 295] Brq: ---------------- -[DEBUG][time= 295] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 295] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 295] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 295] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 295] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 295] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 296] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 296] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 296] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 296] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 296] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 296] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 296] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 296] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 296] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 296] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 296] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 296] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 296] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 296] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 296] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 296] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 296] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 296] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 296] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 296] BPUStage3: flushS3=0 -[DEBUG][time= 296] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 296] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 296] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 296] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 296] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 296] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 296] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 296] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 296] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 296] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 296] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 296] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 296] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 296] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 296] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 296] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 296] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 296] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 296] Dispatch2: regfile 0 from 3 -[DEBUG][time= 296] Dispatch2: regfile 1 from 3 -[DEBUG][time= 296] Dispatch2: regfile 2 from 0 -[DEBUG][time= 296] Dispatch2: regfile 3 from 0 -[DEBUG][time= 296] Dispatch2: regfile 4 from 0 -[DEBUG][time= 296] Dispatch2: regfile 5 from 0 -[DEBUG][time= 296] Dispatch2: regfile 6 from 0 -[DEBUG][time= 296] Dispatch2: regfile 7 from 0 -[DEBUG][time= 296] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 296] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 296] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 296] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 296] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 296] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 296] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 296] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 296] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 296] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 296] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 296] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 296] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 296] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 296] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 296] Roq: CSR block should only happen in s_idle -[DEBUG][time= 296] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 296] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 296] Roq: -------------------------------- -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 296] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 296] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 296] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 296] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 296] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 296] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 296] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 296] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 296] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 296] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 296] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 296] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 296] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 296] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 296] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 296] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 296] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 296] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 296] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 296] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 296] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 296] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 296] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 296] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 296] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 296] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 296] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 296] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 296] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 296] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 296] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 296] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 296] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 296] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 296] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 296] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 296] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 296] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 296] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 296] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 296] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 296] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 296] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 296] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 296] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 296] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 296] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 296] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 296] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 296] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 296] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 296] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 296] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 296] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 296] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 296] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 296] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 296] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 296] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 296] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 296] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 296] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 296] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 296] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 296] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 296] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 296] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 296] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 296] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 296] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 296] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 296] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 296] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 296] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 296] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 296] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 296] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 296] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 296] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 296] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 296] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 296] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 296] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 296] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 296] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 296] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 296] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 296] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 296] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 296] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 296] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 296] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 296] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 296] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 296] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 296] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 296] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 296] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 296] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 296] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 296] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 296] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 296] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 296] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 296] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 296] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 296] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 296] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 296] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 296] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 296] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 296] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 296] Brq: ---------------- -[DEBUG][time= 296] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 296] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 296] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 296] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 296] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 296] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 297] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 297] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 297] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 297] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 297] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 297] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 297] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 297] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 297] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 297] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 297] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 297] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 297] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 297] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 297] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 297] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 297] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 297] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 297] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 297] BPUStage3: flushS3=0 -[DEBUG][time= 297] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 297] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 297] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 297] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 297] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 297] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 297] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 297] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 297] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 297] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 297] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 297] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 297] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 297] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 297] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 297] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 297] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 297] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 297] Dispatch2: regfile 0 from 3 -[DEBUG][time= 297] Dispatch2: regfile 1 from 3 -[DEBUG][time= 297] Dispatch2: regfile 2 from 0 -[DEBUG][time= 297] Dispatch2: regfile 3 from 0 -[DEBUG][time= 297] Dispatch2: regfile 4 from 0 -[DEBUG][time= 297] Dispatch2: regfile 5 from 0 -[DEBUG][time= 297] Dispatch2: regfile 6 from 0 -[DEBUG][time= 297] Dispatch2: regfile 7 from 0 -[DEBUG][time= 297] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 297] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 297] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 297] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 297] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 297] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 297] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 297] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 297] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 297] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 297] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 297] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 297] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 297] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 297] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 297] Roq: CSR block should only happen in s_idle -[DEBUG][time= 297] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 297] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 297] Roq: -------------------------------- -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 297] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 297] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 297] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 297] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 297] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 297] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 297] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 297] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 297] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 297] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 297] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 297] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 297] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 297] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 297] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 297] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 297] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 297] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 297] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 297] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 297] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 297] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 297] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 297] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 297] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 297] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 297] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 297] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 297] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 297] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 297] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 297] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 297] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 297] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 297] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 297] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 297] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 297] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 297] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 297] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 297] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 297] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 297] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 297] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 297] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 297] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 297] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 297] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 297] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 297] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 297] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 297] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 297] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 297] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 297] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 297] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 297] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 297] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 297] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 297] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 297] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 297] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 297] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 297] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 297] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 297] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 297] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 297] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 297] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 297] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 297] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 297] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 297] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 297] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 297] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 297] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 297] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 297] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 297] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 297] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 297] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 297] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 297] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 297] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 297] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 297] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 297] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 297] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 297] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 297] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 297] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 297] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 297] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 297] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 297] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 297] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 297] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 297] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 297] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 297] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 297] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 297] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 297] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 297] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 297] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 297] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 297] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 297] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 297] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 297] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 297] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 297] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 297] Brq: ---------------- -[DEBUG][time= 297] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 297] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 297] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 297] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 297] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 297] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 298] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 298] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 298] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 298] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 298] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 298] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 298] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 298] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 298] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 298] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 298] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 298] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 298] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 298] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 298] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 298] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 298] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 298] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 298] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 298] BPUStage3: flushS3=0 -[DEBUG][time= 298] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 298] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 298] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 298] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 298] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 298] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 298] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 298] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 298] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 298] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 298] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 298] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 298] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 298] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 298] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 298] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 298] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 298] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 298] Dispatch2: regfile 0 from 3 -[DEBUG][time= 298] Dispatch2: regfile 1 from 3 -[DEBUG][time= 298] Dispatch2: regfile 2 from 0 -[DEBUG][time= 298] Dispatch2: regfile 3 from 0 -[DEBUG][time= 298] Dispatch2: regfile 4 from 0 -[DEBUG][time= 298] Dispatch2: regfile 5 from 0 -[DEBUG][time= 298] Dispatch2: regfile 6 from 0 -[DEBUG][time= 298] Dispatch2: regfile 7 from 0 -[DEBUG][time= 298] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 298] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 298] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 298] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 298] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 298] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 298] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 298] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 298] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 298] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 298] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 298] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 298] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 298] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 298] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 298] Roq: CSR block should only happen in s_idle -[DEBUG][time= 298] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 298] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 298] Roq: -------------------------------- -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 298] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 298] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 298] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 298] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 298] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 298] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 298] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 298] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 298] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 298] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 298] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 298] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 298] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 298] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 298] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 298] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 298] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 298] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 298] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 298] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 298] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 298] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 298] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 298] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 298] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 298] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 298] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 298] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 298] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 298] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 298] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 298] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 298] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 298] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 298] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 298] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 298] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 298] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 298] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 298] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 298] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 298] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 298] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 298] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 298] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 298] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 298] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 298] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 298] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 298] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 298] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 298] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 298] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 298] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 298] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 298] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 298] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 298] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 298] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 298] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 298] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 298] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 298] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 298] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 298] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 298] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 298] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 298] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 298] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 298] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 298] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 298] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 298] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 298] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 298] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 298] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 298] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 298] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 298] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 298] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 298] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 298] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 298] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 298] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 298] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 298] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 298] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 298] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 298] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 298] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 298] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 298] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 298] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 298] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 298] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 298] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 298] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 298] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 298] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 298] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 298] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 298] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 298] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 298] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 298] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 298] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 298] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 298] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 298] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 298] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 298] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 298] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 298] Brq: ---------------- -[DEBUG][time= 298] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 298] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 298] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 298] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 298] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 298] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 299] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 299] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 299] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 299] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 299] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 299] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 299] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 299] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 299] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 299] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 299] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 299] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 299] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 299] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 299] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 299] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 299] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 299] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 299] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 299] BPUStage3: flushS3=0 -[DEBUG][time= 299] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 299] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 299] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 299] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 299] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 299] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 299] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 299] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 299] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 299] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 299] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 299] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 299] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 299] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 299] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 299] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 299] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 299] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 299] Dispatch2: regfile 0 from 3 -[DEBUG][time= 299] Dispatch2: regfile 1 from 3 -[DEBUG][time= 299] Dispatch2: regfile 2 from 0 -[DEBUG][time= 299] Dispatch2: regfile 3 from 0 -[DEBUG][time= 299] Dispatch2: regfile 4 from 0 -[DEBUG][time= 299] Dispatch2: regfile 5 from 0 -[DEBUG][time= 299] Dispatch2: regfile 6 from 0 -[DEBUG][time= 299] Dispatch2: regfile 7 from 0 -[DEBUG][time= 299] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 299] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 299] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 299] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 299] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 299] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 299] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 299] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 299] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 299] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 299] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 299] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 299] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 299] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 299] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 299] Roq: CSR block should only happen in s_idle -[DEBUG][time= 299] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 299] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 299] Roq: -------------------------------- -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 299] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 299] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 299] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 299] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 299] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 299] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 299] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 299] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 299] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 299] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 299] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 299] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 299] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 299] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 299] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 299] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 299] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 299] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 299] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 299] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 299] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 299] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 299] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 299] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 299] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 299] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 299] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 299] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 299] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 299] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 299] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 299] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 299] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 299] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 299] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 299] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 299] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 299] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 299] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 299] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 299] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 299] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 299] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 299] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 299] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 299] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 299] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 299] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 299] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 299] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 299] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 299] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 299] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 299] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 299] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 299] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 299] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 299] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 299] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 299] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 299] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 299] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 299] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 299] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 299] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 299] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 299] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 299] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 299] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 299] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 299] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 299] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 299] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 299] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 299] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 299] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 299] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 299] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 299] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 299] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 299] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 299] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 299] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 299] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 299] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 299] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 299] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 299] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 299] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 299] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 299] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 299] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 299] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 299] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 299] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 299] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 299] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 299] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 299] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 299] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 299] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 299] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 299] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 299] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 299] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 299] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 299] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 299] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 299] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 299] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 299] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 299] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 299] Brq: ---------------- -[DEBUG][time= 299] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 299] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 299] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 299] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 299] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 299] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 300] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 300] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 300] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 300] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 300] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 300] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 300] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 300] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 300] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 300] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 300] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 300] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 300] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 300] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 300] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 300] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 300] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 300] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 300] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 300] BPUStage3: flushS3=0 -[DEBUG][time= 300] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 300] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 300] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 300] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 300] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 300] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 300] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 300] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 300] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 300] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 300] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 300] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 300] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 300] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 300] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 300] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 300] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 300] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 300] Dispatch2: regfile 0 from 3 -[DEBUG][time= 300] Dispatch2: regfile 1 from 3 -[DEBUG][time= 300] Dispatch2: regfile 2 from 0 -[DEBUG][time= 300] Dispatch2: regfile 3 from 0 -[DEBUG][time= 300] Dispatch2: regfile 4 from 0 -[DEBUG][time= 300] Dispatch2: regfile 5 from 0 -[DEBUG][time= 300] Dispatch2: regfile 6 from 0 -[DEBUG][time= 300] Dispatch2: regfile 7 from 0 -[DEBUG][time= 300] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 300] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 300] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 300] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 300] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 300] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 300] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 300] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 300] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 300] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 300] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 300] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 300] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 300] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 300] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 300] Roq: CSR block should only happen in s_idle -[DEBUG][time= 300] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 300] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 300] Roq: -------------------------------- -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 300] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 300] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 300] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 300] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 300] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 300] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 300] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 300] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 300] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 300] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 300] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 300] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 300] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 300] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 300] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 300] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 300] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 300] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 300] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 300] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 300] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 300] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 300] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 300] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 300] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 300] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 300] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 300] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 300] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 300] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 300] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 300] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 300] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 300] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 300] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 300] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 300] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 300] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 300] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 300] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 300] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 300] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 300] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 300] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 300] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 300] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 300] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 300] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 300] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 300] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 300] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 300] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 300] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 300] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 300] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 300] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 300] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 300] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 300] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 300] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 300] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 300] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 300] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 300] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 300] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 300] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 300] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 300] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 300] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 300] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 300] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 300] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 300] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 300] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 300] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 300] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 300] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 300] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 300] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 300] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 300] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 300] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 300] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 300] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 300] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 300] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 300] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 300] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 300] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 300] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 300] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 300] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 300] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 300] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 300] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 300] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 300] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 300] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 300] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 300] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 300] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 300] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 300] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 300] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 300] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 300] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 300] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 300] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 300] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 300] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 300] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 300] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 300] Brq: ---------------- -[DEBUG][time= 300] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 300] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 300] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 300] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 300] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 300] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 301] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 301] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 301] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 301] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 301] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 301] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 301] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 301] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 301] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 301] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 301] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 301] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 301] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 301] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 301] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 301] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 301] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 301] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 301] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 301] BPUStage3: flushS3=0 -[DEBUG][time= 301] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 301] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 301] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 301] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 301] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 301] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 301] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 301] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 301] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 301] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 301] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 301] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 301] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 301] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 301] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 301] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 301] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 301] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 301] Dispatch2: regfile 0 from 3 -[DEBUG][time= 301] Dispatch2: regfile 1 from 3 -[DEBUG][time= 301] Dispatch2: regfile 2 from 0 -[DEBUG][time= 301] Dispatch2: regfile 3 from 0 -[DEBUG][time= 301] Dispatch2: regfile 4 from 0 -[DEBUG][time= 301] Dispatch2: regfile 5 from 0 -[DEBUG][time= 301] Dispatch2: regfile 6 from 0 -[DEBUG][time= 301] Dispatch2: regfile 7 from 0 -[DEBUG][time= 301] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 301] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 301] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 301] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 301] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 301] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 301] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 301] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 301] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 301] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 301] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 301] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 301] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 301] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 301] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 301] Roq: CSR block should only happen in s_idle -[DEBUG][time= 301] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 301] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 301] Roq: -------------------------------- -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 301] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 301] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 301] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 301] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 301] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 301] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 301] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 301] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 301] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 301] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 301] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 301] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 301] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 301] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 301] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 301] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 301] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 301] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 301] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 301] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 301] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 301] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 301] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 301] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 301] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 301] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 301] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 301] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 301] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 301] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 301] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 301] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 301] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 301] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 301] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 301] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 301] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 301] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 301] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 301] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 301] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 301] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 301] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 301] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 301] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 301] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 301] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 301] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 301] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 301] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 301] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 301] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 301] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 301] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 301] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 301] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 301] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 301] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 301] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 301] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 301] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 301] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 301] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 301] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 301] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 301] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 301] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 301] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 301] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 301] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 301] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 301] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 301] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 301] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 301] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 301] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 301] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 301] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 301] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 301] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 301] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 301] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 301] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 301] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 301] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 301] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 301] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 301] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 301] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 301] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 301] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 301] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 301] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 301] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 301] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 301] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 301] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 301] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 301] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 301] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 301] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 301] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 301] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 301] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 301] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 301] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 301] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 301] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 301] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 301] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 301] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 301] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 301] Brq: ---------------- -[DEBUG][time= 301] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 301] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 301] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 301] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 301] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 301] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 302] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 302] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 302] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 302] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 302] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 302] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 302] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 302] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 302] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 302] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 302] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 302] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 302] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 302] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 302] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 302] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 302] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 302] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 302] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 302] BPUStage3: flushS3=0 -[DEBUG][time= 302] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 302] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 302] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 302] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 302] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 302] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 302] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 302] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 302] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 302] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 302] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 302] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 302] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 302] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 302] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 302] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 302] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 302] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 302] Dispatch2: regfile 0 from 3 -[DEBUG][time= 302] Dispatch2: regfile 1 from 3 -[DEBUG][time= 302] Dispatch2: regfile 2 from 0 -[DEBUG][time= 302] Dispatch2: regfile 3 from 0 -[DEBUG][time= 302] Dispatch2: regfile 4 from 0 -[DEBUG][time= 302] Dispatch2: regfile 5 from 0 -[DEBUG][time= 302] Dispatch2: regfile 6 from 0 -[DEBUG][time= 302] Dispatch2: regfile 7 from 0 -[DEBUG][time= 302] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 302] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 302] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 302] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 302] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 302] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 302] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 302] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 302] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 302] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 302] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 302] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 302] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 302] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 302] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 302] Roq: CSR block should only happen in s_idle -[DEBUG][time= 302] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 302] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 302] Roq: -------------------------------- -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 302] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 302] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 302] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 302] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 302] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 302] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 302] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 302] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 302] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 302] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 302] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 302] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 302] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 302] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 302] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 302] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 302] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 302] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 302] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 302] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 302] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 302] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 302] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 302] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 302] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 302] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 302] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 302] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 302] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 302] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 302] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 302] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 302] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 302] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 302] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 302] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 302] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 302] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 302] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 302] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 302] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 302] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 302] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 302] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 302] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 302] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 302] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 302] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 302] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 302] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 302] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 302] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 302] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 302] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 302] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 302] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 302] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 302] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 302] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 302] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 302] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 302] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 302] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 302] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 302] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 302] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 302] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 302] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 302] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 302] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 302] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 302] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 302] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 302] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 302] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 302] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 302] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 302] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 302] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 302] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 302] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 302] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 302] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 302] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 302] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 302] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 302] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 302] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 302] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 302] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 302] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 302] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 302] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 302] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 302] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 302] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 302] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 302] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 302] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 302] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 302] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 302] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 302] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 302] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 302] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 302] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 302] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 302] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 302] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 302] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 302] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 302] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 302] Brq: ---------------- -[DEBUG][time= 302] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 302] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 302] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 302] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 302] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 302] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 303] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 303] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 303] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 303] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 303] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 303] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 303] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 303] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 303] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 303] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 303] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 303] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 303] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 303] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 303] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 303] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 303] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 303] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 303] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 303] BPUStage3: flushS3=0 -[DEBUG][time= 303] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 303] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 303] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 303] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 303] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 303] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 303] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 303] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 303] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 303] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 303] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 303] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 303] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 303] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 303] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 303] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 303] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 303] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 303] Dispatch2: regfile 0 from 3 -[DEBUG][time= 303] Dispatch2: regfile 1 from 3 -[DEBUG][time= 303] Dispatch2: regfile 2 from 0 -[DEBUG][time= 303] Dispatch2: regfile 3 from 0 -[DEBUG][time= 303] Dispatch2: regfile 4 from 0 -[DEBUG][time= 303] Dispatch2: regfile 5 from 0 -[DEBUG][time= 303] Dispatch2: regfile 6 from 0 -[DEBUG][time= 303] Dispatch2: regfile 7 from 0 -[DEBUG][time= 303] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 303] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 303] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 303] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 303] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 303] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 303] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 303] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 303] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 303] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 303] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 303] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 303] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 303] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 303] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 303] Roq: CSR block should only happen in s_idle -[DEBUG][time= 303] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 303] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 303] Roq: -------------------------------- -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 303] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 303] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 303] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 303] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 303] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 303] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 303] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 303] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 303] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 303] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 303] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 303] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 303] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 303] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 303] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 303] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 303] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 303] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 303] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 303] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 303] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 303] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 303] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 303] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 303] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 303] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 303] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 303] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 303] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 303] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 303] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 303] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 303] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 303] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 303] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 303] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 303] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 303] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 303] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 303] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 303] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 303] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 303] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 303] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 303] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 303] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 303] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 303] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 303] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 303] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 303] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 303] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 303] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 303] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 303] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 303] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 303] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 303] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 303] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 303] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 303] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 303] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 303] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 303] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 303] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 303] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 303] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 303] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 303] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 303] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 303] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 303] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 303] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 303] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 303] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 303] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 303] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 303] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 303] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 303] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 303] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 303] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 303] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 303] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 303] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 303] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 303] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 303] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 303] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 303] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 303] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 303] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 303] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 303] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 303] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 303] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 303] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 303] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 303] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 303] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 303] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 303] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 303] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 303] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 303] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 303] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 303] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 303] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 303] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 303] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 303] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 303] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 303] Brq: ---------------- -[DEBUG][time= 303] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 303] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 303] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 303] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 303] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 303] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 304] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 304] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 304] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 304] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 304] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 304] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 304] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 304] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 304] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 304] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 304] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 304] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 304] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 304] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 304] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 304] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 304] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 304] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 304] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 304] BPUStage3: flushS3=0 -[DEBUG][time= 304] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 304] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 304] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 304] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 304] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 304] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 304] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 304] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 304] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 304] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 304] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 304] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 304] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 304] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 304] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 304] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 304] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 304] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 304] Dispatch2: regfile 0 from 3 -[DEBUG][time= 304] Dispatch2: regfile 1 from 3 -[DEBUG][time= 304] Dispatch2: regfile 2 from 0 -[DEBUG][time= 304] Dispatch2: regfile 3 from 0 -[DEBUG][time= 304] Dispatch2: regfile 4 from 0 -[DEBUG][time= 304] Dispatch2: regfile 5 from 0 -[DEBUG][time= 304] Dispatch2: regfile 6 from 0 -[DEBUG][time= 304] Dispatch2: regfile 7 from 0 -[DEBUG][time= 304] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 304] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 304] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 304] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 304] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 304] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 304] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 304] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 304] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 304] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 304] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 304] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 304] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 304] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 304] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 304] Roq: CSR block should only happen in s_idle -[DEBUG][time= 304] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 304] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 304] Roq: -------------------------------- -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 304] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 304] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 304] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 304] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 304] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 304] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 304] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 304] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 304] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 304] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 304] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 304] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 304] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 304] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 304] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 304] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 304] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 304] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 304] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 304] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 304] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 304] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 304] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 304] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 304] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 304] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 304] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 304] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 304] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 304] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 304] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 304] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 304] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 304] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 304] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 304] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 304] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 304] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 304] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 304] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 304] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 304] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 304] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 304] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 304] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 304] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 304] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 304] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 304] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 304] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 304] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 304] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 304] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 304] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 304] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 304] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 304] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 304] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 304] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 304] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 304] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 304] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 304] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 304] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 304] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 304] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 304] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 304] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 304] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 304] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 304] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 304] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 304] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 304] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 304] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 304] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 304] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 304] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 304] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 304] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 304] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 304] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 304] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 304] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 304] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 304] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 304] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 304] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 304] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 304] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 304] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 304] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 304] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 304] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 304] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 304] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 304] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 304] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 304] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 304] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 304] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 304] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 304] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 304] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 304] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 304] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 304] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 304] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 304] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 304] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 304] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 304] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 304] Brq: ---------------- -[DEBUG][time= 304] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 304] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 304] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 304] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 304] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 304] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 305] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 305] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 305] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 305] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 305] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 305] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 305] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 305] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 305] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 305] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 305] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 305] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 305] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 305] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 305] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 305] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 305] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 305] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 305] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 305] BPUStage3: flushS3=0 -[DEBUG][time= 305] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 305] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 305] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 305] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 305] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 305] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 305] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 305] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 305] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 305] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 305] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 305] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 305] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 305] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 305] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 305] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 305] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 305] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 305] Dispatch2: regfile 0 from 3 -[DEBUG][time= 305] Dispatch2: regfile 1 from 3 -[DEBUG][time= 305] Dispatch2: regfile 2 from 0 -[DEBUG][time= 305] Dispatch2: regfile 3 from 0 -[DEBUG][time= 305] Dispatch2: regfile 4 from 0 -[DEBUG][time= 305] Dispatch2: regfile 5 from 0 -[DEBUG][time= 305] Dispatch2: regfile 6 from 0 -[DEBUG][time= 305] Dispatch2: regfile 7 from 0 -[DEBUG][time= 305] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 305] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 305] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 305] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 305] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 305] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 305] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 305] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 305] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 305] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 305] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 305] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 305] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 305] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 305] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 305] Roq: CSR block should only happen in s_idle -[DEBUG][time= 305] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 305] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 305] Roq: -------------------------------- -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 305] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 305] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 305] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 305] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 305] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 305] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 305] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 305] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 305] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 305] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 305] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 305] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 305] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 305] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 305] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 305] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 305] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 305] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 305] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 305] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 305] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 305] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 305] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 305] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 305] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 305] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 305] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 305] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 305] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 305] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 305] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 305] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 305] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 305] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 305] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 305] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 305] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 305] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 305] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 305] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 305] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 305] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 305] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 305] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 305] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 305] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 305] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 305] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 305] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 305] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 305] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 305] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 305] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 305] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 305] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 305] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 305] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 305] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 305] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 305] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 305] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 305] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 305] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 305] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 305] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 305] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 305] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 305] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 305] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 305] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 305] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 305] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 305] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 305] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 305] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 305] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 305] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 305] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 305] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 305] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 305] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 305] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 305] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 305] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 305] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 305] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 305] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 305] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 305] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 305] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 305] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 305] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 305] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 305] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 305] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 305] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 305] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 305] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 305] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 305] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 305] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 305] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 305] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 305] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 305] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 305] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 305] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 305] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 305] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 305] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 305] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 305] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 305] Brq: ---------------- -[DEBUG][time= 305] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 305] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 305] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 305] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 305] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 305] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 306] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 306] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 306] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 306] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 306] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 306] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 306] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 306] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 306] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 306] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 306] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 306] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 306] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 306] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 306] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 306] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 306] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 306] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 306] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 306] BPUStage3: flushS3=0 -[DEBUG][time= 306] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 306] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 306] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 306] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 306] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 306] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 306] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 306] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 306] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 306] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 306] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 306] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 306] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 306] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 306] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 306] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 306] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 306] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 306] Dispatch2: regfile 0 from 3 -[DEBUG][time= 306] Dispatch2: regfile 1 from 3 -[DEBUG][time= 306] Dispatch2: regfile 2 from 0 -[DEBUG][time= 306] Dispatch2: regfile 3 from 0 -[DEBUG][time= 306] Dispatch2: regfile 4 from 0 -[DEBUG][time= 306] Dispatch2: regfile 5 from 0 -[DEBUG][time= 306] Dispatch2: regfile 6 from 0 -[DEBUG][time= 306] Dispatch2: regfile 7 from 0 -[DEBUG][time= 306] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 306] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 306] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 306] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 306] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 306] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 306] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 306] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 306] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 306] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 306] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 306] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 306] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 306] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 306] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 306] Roq: CSR block should only happen in s_idle -[DEBUG][time= 306] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 306] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 306] Roq: -------------------------------- -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 306] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 306] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 306] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 306] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 306] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 306] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 306] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 306] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 306] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 306] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 306] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 306] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 306] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 306] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 306] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 306] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 306] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 306] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 306] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 306] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 306] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 306] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 306] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 306] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 306] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 306] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 306] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 306] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 306] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 306] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 306] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 306] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 306] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 306] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 306] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 306] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 306] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 306] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 306] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 306] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 306] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 306] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 306] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 306] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 306] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 306] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 306] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 306] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 306] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 306] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 306] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 306] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 306] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 306] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 306] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 306] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 306] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 306] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 306] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 306] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 306] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 306] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 306] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 306] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 306] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 306] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 306] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 306] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 306] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 306] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 306] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 306] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 306] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 306] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 306] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 306] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 306] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 306] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 306] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 306] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 306] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 306] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 306] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 306] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 306] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 306] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 306] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 306] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 306] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 306] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 306] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 306] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 306] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 306] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 306] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 306] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 306] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 306] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 306] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 306] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 306] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 306] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 306] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 306] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 306] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 306] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 306] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 306] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 306] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 306] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 306] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 306] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 306] Brq: ---------------- -[DEBUG][time= 306] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 306] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 306] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 306] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 306] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 306] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 307] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 307] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 307] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 307] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 307] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 307] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 307] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 307] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 307] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 307] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 307] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 307] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 307] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 307] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 307] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 307] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 307] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 307] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 307] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 307] BPUStage3: flushS3=0 -[DEBUG][time= 307] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 307] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 307] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 307] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 307] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 307] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 307] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 307] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 307] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 307] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 307] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 307] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 307] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 307] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 307] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 307] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 307] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 307] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 307] Dispatch2: regfile 0 from 3 -[DEBUG][time= 307] Dispatch2: regfile 1 from 3 -[DEBUG][time= 307] Dispatch2: regfile 2 from 0 -[DEBUG][time= 307] Dispatch2: regfile 3 from 0 -[DEBUG][time= 307] Dispatch2: regfile 4 from 0 -[DEBUG][time= 307] Dispatch2: regfile 5 from 0 -[DEBUG][time= 307] Dispatch2: regfile 6 from 0 -[DEBUG][time= 307] Dispatch2: regfile 7 from 0 -[DEBUG][time= 307] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 307] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 307] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 307] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 307] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 307] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 307] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 307] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 307] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 307] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 307] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 307] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 307] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 307] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 307] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 307] Roq: CSR block should only happen in s_idle -[DEBUG][time= 307] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 307] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 307] Roq: -------------------------------- -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 307] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 307] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 307] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 307] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 307] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 307] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 307] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 307] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 307] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 307] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 307] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 307] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 307] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 307] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 307] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 307] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 307] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 307] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 307] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 307] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 307] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 307] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 307] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 307] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 307] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 307] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 307] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 307] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 307] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 307] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 307] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 307] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 307] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 307] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 307] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 307] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 307] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 307] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 307] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 307] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 307] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 307] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 307] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 307] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 307] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 307] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 307] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 307] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 307] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 307] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 307] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 307] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 307] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 307] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 307] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 307] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 307] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 307] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 307] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 307] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 307] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 307] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 307] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 307] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 307] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 307] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 307] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 307] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 307] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 307] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 307] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 307] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 307] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 307] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 307] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 307] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 307] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 307] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 307] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 307] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 307] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 307] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 307] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 307] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 307] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 307] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 307] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 307] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 307] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 307] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 307] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 307] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 307] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 307] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 307] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 307] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 307] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 307] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 307] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 307] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 307] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 307] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 307] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 307] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 307] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 307] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 307] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 307] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 307] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 307] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 307] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 307] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 307] Brq: ---------------- -[DEBUG][time= 307] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 307] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 307] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 307] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 307] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 307] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 308] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 308] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 308] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 308] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 308] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 308] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 308] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 308] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 308] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 308] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 308] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 308] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 308] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 308] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 308] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 308] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 308] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 308] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 308] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 308] BPUStage3: flushS3=0 -[DEBUG][time= 308] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 308] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 308] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 308] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 308] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 308] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 308] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 308] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 308] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 308] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 308] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 308] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 308] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 308] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 308] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 308] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 308] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 308] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 308] Dispatch2: regfile 0 from 3 -[DEBUG][time= 308] Dispatch2: regfile 1 from 3 -[DEBUG][time= 308] Dispatch2: regfile 2 from 0 -[DEBUG][time= 308] Dispatch2: regfile 3 from 0 -[DEBUG][time= 308] Dispatch2: regfile 4 from 0 -[DEBUG][time= 308] Dispatch2: regfile 5 from 0 -[DEBUG][time= 308] Dispatch2: regfile 6 from 0 -[DEBUG][time= 308] Dispatch2: regfile 7 from 0 -[DEBUG][time= 308] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 308] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 308] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 308] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 308] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 308] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 308] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 308] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 308] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 308] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 308] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 308] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 308] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 308] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 308] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 308] Roq: CSR block should only happen in s_idle -[DEBUG][time= 308] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 308] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 308] Roq: -------------------------------- -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 308] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 308] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 308] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 308] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 308] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 308] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 308] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 308] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 308] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 308] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 308] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 308] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 308] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 308] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 308] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 308] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 308] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 308] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 308] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 308] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 308] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 308] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 308] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 308] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 308] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 308] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 308] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 308] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 308] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 308] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 308] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 308] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 308] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 308] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 308] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 308] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 308] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 308] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 308] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 308] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 308] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 308] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 308] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 308] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 308] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 308] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 308] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 308] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 308] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 308] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 308] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 308] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 308] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 308] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 308] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 308] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 308] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 308] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 308] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 308] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 308] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 308] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 308] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 308] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 308] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 308] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 308] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 308] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 308] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 308] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 308] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 308] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 308] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 308] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 308] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 308] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 308] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 308] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 308] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 308] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 308] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 308] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 308] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 308] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 308] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 308] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 308] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 308] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 308] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 308] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 308] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 308] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 308] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 308] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 308] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 308] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 308] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 308] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 308] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 308] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 308] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 308] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 308] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 308] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 308] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 308] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 308] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 308] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 308] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 308] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 308] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 308] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 308] Brq: ---------------- -[DEBUG][time= 308] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 308] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 308] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 308] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 308] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 308] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 309] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 309] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 309] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 309] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 309] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 309] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 309] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 309] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 309] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 309] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 309] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 309] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 309] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 309] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 309] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 309] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 309] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 309] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 309] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 309] BPUStage3: flushS3=0 -[DEBUG][time= 309] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 309] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 309] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 309] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 309] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 309] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 309] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 309] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 309] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 309] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 309] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 309] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 309] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 309] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 309] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 309] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 309] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 309] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 309] Dispatch2: regfile 0 from 3 -[DEBUG][time= 309] Dispatch2: regfile 1 from 3 -[DEBUG][time= 309] Dispatch2: regfile 2 from 0 -[DEBUG][time= 309] Dispatch2: regfile 3 from 0 -[DEBUG][time= 309] Dispatch2: regfile 4 from 0 -[DEBUG][time= 309] Dispatch2: regfile 5 from 0 -[DEBUG][time= 309] Dispatch2: regfile 6 from 0 -[DEBUG][time= 309] Dispatch2: regfile 7 from 0 -[DEBUG][time= 309] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 309] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 309] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 309] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 309] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 309] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 309] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 309] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 309] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 309] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 309] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 309] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 309] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 309] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 309] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 309] Roq: CSR block should only happen in s_idle -[DEBUG][time= 309] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 309] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 309] Roq: -------------------------------- -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 309] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 309] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 309] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 309] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 309] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 309] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 309] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 309] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 309] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 309] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 309] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 309] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 309] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 309] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 309] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 309] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 309] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 309] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 309] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 309] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 309] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 309] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 309] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 309] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 309] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 309] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 309] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 309] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 309] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 309] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 309] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 309] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 309] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 309] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 309] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 309] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 309] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 309] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 309] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 309] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 309] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 309] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 309] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 309] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 309] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 309] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 309] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 309] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 309] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 309] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 309] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 309] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 309] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 309] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 309] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 309] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 309] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 309] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 309] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 309] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 309] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 309] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 309] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 309] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 309] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 309] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 309] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 309] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 309] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 309] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 309] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 309] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 309] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 309] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 309] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 309] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 309] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 309] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 309] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 309] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 309] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 309] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 309] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 309] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 309] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 309] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 309] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 309] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 309] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 309] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 309] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 309] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 309] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 309] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 309] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 309] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 309] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 309] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 309] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 309] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 309] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 309] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 309] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 309] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 309] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 309] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 309] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 309] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 309] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 309] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 309] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 309] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 309] Brq: ---------------- -[DEBUG][time= 309] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 309] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 309] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 309] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 309] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 309] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 310] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 310] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 310] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 310] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 310] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 310] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 310] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 310] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 310] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 310] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 310] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 310] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 310] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 310] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 310] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 310] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 310] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 310] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 310] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 310] BPUStage3: flushS3=0 -[DEBUG][time= 310] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 310] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 310] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 310] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 310] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 310] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 310] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 310] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 310] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 310] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 310] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 310] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 310] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 310] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 310] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 310] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 310] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 310] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 310] Dispatch2: regfile 0 from 3 -[DEBUG][time= 310] Dispatch2: regfile 1 from 3 -[DEBUG][time= 310] Dispatch2: regfile 2 from 0 -[DEBUG][time= 310] Dispatch2: regfile 3 from 0 -[DEBUG][time= 310] Dispatch2: regfile 4 from 0 -[DEBUG][time= 310] Dispatch2: regfile 5 from 0 -[DEBUG][time= 310] Dispatch2: regfile 6 from 0 -[DEBUG][time= 310] Dispatch2: regfile 7 from 0 -[DEBUG][time= 310] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 310] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 310] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 310] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 310] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 310] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 310] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 310] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 310] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 310] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 310] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 310] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 310] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 310] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 310] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 310] Roq: CSR block should only happen in s_idle -[DEBUG][time= 310] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 310] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 310] Roq: -------------------------------- -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 310] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 310] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 310] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 310] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 310] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 310] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 310] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 310] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 310] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 310] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 310] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 310] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 310] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 310] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 310] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 310] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 310] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 310] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 310] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 310] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 310] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 310] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 310] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 310] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 310] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 310] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 310] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 310] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 310] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 310] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 310] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 310] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 310] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 310] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 310] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 310] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 310] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 310] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 310] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 310] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 310] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 310] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 310] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 310] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 310] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 310] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 310] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 310] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 310] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 310] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 310] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 310] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 310] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 310] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 310] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 310] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 310] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 310] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 310] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 310] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 310] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 310] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 310] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 310] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 310] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 310] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 310] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 310] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 310] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 310] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 310] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 310] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 310] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 310] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 310] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 310] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 310] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 310] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 310] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 310] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 310] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 310] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 310] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 310] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 310] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 310] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 310] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 310] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 310] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 310] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 310] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 310] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 310] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 310] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 310] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 310] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 310] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 310] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 310] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 310] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 310] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 310] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 310] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 310] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 310] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 310] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 310] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 310] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 310] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 310] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 310] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 310] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 310] Brq: ---------------- -[DEBUG][time= 310] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 310] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 310] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 310] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 310] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 310] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 311] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 311] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 311] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 311] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 311] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 311] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 311] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 311] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 311] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 311] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 311] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 311] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 311] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 311] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 311] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 311] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 311] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 311] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 311] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 311] BPUStage3: flushS3=0 -[DEBUG][time= 311] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 311] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 311] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 311] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 311] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 311] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 311] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 311] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 311] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 311] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 311] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 311] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 311] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 311] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 311] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 311] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 311] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 311] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 311] Dispatch2: regfile 0 from 3 -[DEBUG][time= 311] Dispatch2: regfile 1 from 3 -[DEBUG][time= 311] Dispatch2: regfile 2 from 0 -[DEBUG][time= 311] Dispatch2: regfile 3 from 0 -[DEBUG][time= 311] Dispatch2: regfile 4 from 0 -[DEBUG][time= 311] Dispatch2: regfile 5 from 0 -[DEBUG][time= 311] Dispatch2: regfile 6 from 0 -[DEBUG][time= 311] Dispatch2: regfile 7 from 0 -[DEBUG][time= 311] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 311] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 311] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 311] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 311] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 311] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 311] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 311] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 311] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 311] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 311] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 311] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 311] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 311] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 311] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 311] Roq: CSR block should only happen in s_idle -[DEBUG][time= 311] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 311] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 311] Roq: -------------------------------- -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 311] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 311] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 311] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 311] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 311] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 311] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 311] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 311] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 311] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 311] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 311] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 311] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 311] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 311] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 311] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 311] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 311] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 311] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 311] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 311] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 311] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 311] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 311] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 311] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 311] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 311] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 311] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 311] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 311] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 311] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 311] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 311] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 311] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 311] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 311] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 311] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 311] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 311] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 311] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 311] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 311] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 311] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 311] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 311] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 311] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 311] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 311] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 311] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 311] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 311] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 311] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 311] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 311] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 311] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 311] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 311] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 311] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 311] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 311] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 311] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 311] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 311] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 311] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 311] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 311] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 311] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 311] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 311] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 311] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 311] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 311] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 311] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 311] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 311] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 311] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 311] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 311] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 311] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 311] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 311] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 311] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 311] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 311] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 311] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 311] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 311] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 311] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 311] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 311] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 311] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 311] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 311] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 311] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 311] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 311] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 311] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 311] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 311] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 311] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 311] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 311] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 311] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 311] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 311] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 311] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 311] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 311] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 311] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 311] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 311] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 311] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 311] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 311] Brq: ---------------- -[DEBUG][time= 311] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 311] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 311] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 311] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 311] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 311] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 312] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 312] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 312] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 312] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 312] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 312] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 312] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 312] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 312] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 312] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 312] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 312] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 312] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 312] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 312] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 312] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 312] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 312] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 312] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 312] BPUStage3: flushS3=0 -[DEBUG][time= 312] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 312] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 312] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 312] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 312] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 312] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 312] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 312] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 312] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 312] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 312] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 312] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 312] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 312] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 312] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 312] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 312] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 312] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 312] Dispatch2: regfile 0 from 3 -[DEBUG][time= 312] Dispatch2: regfile 1 from 3 -[DEBUG][time= 312] Dispatch2: regfile 2 from 0 -[DEBUG][time= 312] Dispatch2: regfile 3 from 0 -[DEBUG][time= 312] Dispatch2: regfile 4 from 0 -[DEBUG][time= 312] Dispatch2: regfile 5 from 0 -[DEBUG][time= 312] Dispatch2: regfile 6 from 0 -[DEBUG][time= 312] Dispatch2: regfile 7 from 0 -[DEBUG][time= 312] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 312] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 312] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 312] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 312] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 312] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 312] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 312] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 312] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 312] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 312] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 312] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 312] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 312] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 312] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 312] Roq: CSR block should only happen in s_idle -[DEBUG][time= 312] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 312] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 312] Roq: -------------------------------- -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 312] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 312] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 312] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 312] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 312] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 312] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 312] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 312] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 312] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 312] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 312] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 312] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 312] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 312] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 312] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 312] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 312] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 312] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 312] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 312] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 312] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 312] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 312] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 312] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 312] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 312] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 312] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 312] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 312] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 312] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 312] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 312] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 312] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 312] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 312] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 312] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 312] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 312] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 312] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 312] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 312] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 312] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 312] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 312] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 312] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 312] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 312] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 312] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 312] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 312] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 312] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 312] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 312] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 312] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 312] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 312] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 312] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 312] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 312] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 312] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 312] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 312] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 312] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 312] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 312] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 312] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 312] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 312] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 312] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 312] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 312] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 312] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 312] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 312] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 312] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 312] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 312] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 312] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 312] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 312] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 312] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 312] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 312] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 312] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 312] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 312] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 312] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 312] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 312] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 312] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 312] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 312] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 312] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 312] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 312] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 312] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 312] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 312] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 312] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 312] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 312] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 312] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 312] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 312] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 312] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 312] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 312] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 312] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 312] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 312] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 312] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 312] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 312] Brq: ---------------- -[DEBUG][time= 312] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 312] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 312] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 312] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 312] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 312] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 313] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 313] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 313] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 313] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 313] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 313] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 313] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 313] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 313] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 313] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 313] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 313] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 313] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 313] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 313] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 313] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 313] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 313] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 313] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 313] BPUStage3: flushS3=0 -[DEBUG][time= 313] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 313] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 313] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 313] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 313] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 313] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 313] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 313] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 313] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 313] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 313] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 313] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 313] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 313] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 313] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 313] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 313] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 313] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 313] Dispatch2: regfile 0 from 3 -[DEBUG][time= 313] Dispatch2: regfile 1 from 3 -[DEBUG][time= 313] Dispatch2: regfile 2 from 0 -[DEBUG][time= 313] Dispatch2: regfile 3 from 0 -[DEBUG][time= 313] Dispatch2: regfile 4 from 0 -[DEBUG][time= 313] Dispatch2: regfile 5 from 0 -[DEBUG][time= 313] Dispatch2: regfile 6 from 0 -[DEBUG][time= 313] Dispatch2: regfile 7 from 0 -[DEBUG][time= 313] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 313] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 313] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 313] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 313] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 313] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 313] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 313] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 313] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 313] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 313] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 313] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 313] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 313] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 313] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 313] Roq: CSR block should only happen in s_idle -[DEBUG][time= 313] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 313] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 313] Roq: -------------------------------- -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 313] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 313] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 313] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 313] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 313] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 313] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 313] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 313] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 313] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 313] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 313] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 313] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 313] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 313] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 313] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 313] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 313] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 313] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 313] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 313] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 313] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 313] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 313] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 313] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 313] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 313] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 313] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 313] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 313] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 313] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 313] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 313] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 313] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 313] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 313] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 313] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 313] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 313] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 313] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 313] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 313] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 313] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 313] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 313] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 313] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 313] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 313] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 313] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 313] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 313] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 313] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 313] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 313] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 313] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 313] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 313] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 313] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 313] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 313] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 313] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 313] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 313] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 313] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 313] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 313] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 313] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 313] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 313] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 313] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 313] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 313] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 313] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 313] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 313] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 313] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 313] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 313] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 313] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 313] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 313] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 313] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 313] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 313] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 313] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 313] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 313] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 313] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 313] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 313] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 313] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 313] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 313] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 313] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 313] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 313] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 313] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 313] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 313] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 313] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 313] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 313] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 313] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 313] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 313] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 313] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 313] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 313] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 313] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 313] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 313] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 313] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 313] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 313] Brq: ---------------- -[DEBUG][time= 313] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 313] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 313] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 313] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 313] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 313] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 314] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 314] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 314] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 314] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 314] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 314] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 314] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 314] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 314] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 314] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 314] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 314] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 314] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 314] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 314] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 314] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 314] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 314] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 314] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 314] BPUStage3: flushS3=0 -[DEBUG][time= 314] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 314] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 314] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 314] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 314] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 314] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 314] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 314] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 314] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 314] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 314] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 314] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 314] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 314] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 314] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 314] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 314] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 314] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 314] Dispatch2: regfile 0 from 3 -[DEBUG][time= 314] Dispatch2: regfile 1 from 3 -[DEBUG][time= 314] Dispatch2: regfile 2 from 0 -[DEBUG][time= 314] Dispatch2: regfile 3 from 0 -[DEBUG][time= 314] Dispatch2: regfile 4 from 0 -[DEBUG][time= 314] Dispatch2: regfile 5 from 0 -[DEBUG][time= 314] Dispatch2: regfile 6 from 0 -[DEBUG][time= 314] Dispatch2: regfile 7 from 0 -[DEBUG][time= 314] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 314] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 314] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 314] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 314] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 314] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 314] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 314] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 314] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 314] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 314] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 314] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 314] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 314] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 314] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 314] Roq: CSR block should only happen in s_idle -[DEBUG][time= 314] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 314] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 314] Roq: -------------------------------- -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 314] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 314] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 314] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 314] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 314] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 314] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 314] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 314] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 314] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 314] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 314] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 314] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 314] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 314] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 314] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 314] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 314] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 314] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 314] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 314] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 314] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 314] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 314] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 314] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 314] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 314] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 314] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 314] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 314] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 314] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 314] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 314] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 314] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 314] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 314] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 314] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 314] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 314] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 314] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 314] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 314] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 314] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 314] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 314] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 314] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 314] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 314] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 314] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 314] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 314] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 314] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 314] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 314] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 314] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 314] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 314] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 314] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 314] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 314] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 314] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 314] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 314] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 314] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 314] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 314] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 314] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 314] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 314] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 314] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 314] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 314] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 314] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 314] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 314] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 314] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 314] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 314] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 314] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 314] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 314] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 314] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 314] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 314] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 314] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 314] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 314] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 314] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 314] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 314] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 314] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 314] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 314] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 314] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 314] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 314] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 314] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 314] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 314] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 314] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 314] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 314] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 314] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 314] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 314] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 314] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 314] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 314] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 314] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 314] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 314] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 314] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 314] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 314] Brq: ---------------- -[DEBUG][time= 314] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 314] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 314] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 314] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 314] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 314] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 315] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 315] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 315] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 315] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 315] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 315] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 315] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 315] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 315] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 315] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 315] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 315] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 315] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 315] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 315] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 315] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 315] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 315] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 315] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 315] BPUStage3: flushS3=0 -[DEBUG][time= 315] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 315] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 315] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 315] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 315] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 315] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 315] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 315] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 315] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 315] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 315] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 315] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 315] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 315] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 315] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 315] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 315] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 315] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 315] Dispatch2: regfile 0 from 3 -[DEBUG][time= 315] Dispatch2: regfile 1 from 3 -[DEBUG][time= 315] Dispatch2: regfile 2 from 0 -[DEBUG][time= 315] Dispatch2: regfile 3 from 0 -[DEBUG][time= 315] Dispatch2: regfile 4 from 0 -[DEBUG][time= 315] Dispatch2: regfile 5 from 0 -[DEBUG][time= 315] Dispatch2: regfile 6 from 0 -[DEBUG][time= 315] Dispatch2: regfile 7 from 0 -[DEBUG][time= 315] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 315] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 315] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 315] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 315] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 315] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 315] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 315] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 315] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 315] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 315] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 315] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 315] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 315] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 315] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 315] Roq: CSR block should only happen in s_idle -[DEBUG][time= 315] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 315] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 315] Roq: -------------------------------- -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 315] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 315] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 315] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 315] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 315] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 315] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 315] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 315] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 315] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 315] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 315] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 315] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 315] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 315] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 315] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 315] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 315] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 315] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 315] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 315] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 315] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 315] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 315] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 315] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 315] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 315] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 315] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 315] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 315] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 315] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 315] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 315] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 315] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 315] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 315] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 315] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 315] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 315] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 315] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 315] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 315] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 315] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 315] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 315] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 315] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 315] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 315] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 315] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 315] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 315] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 315] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 315] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 315] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 315] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 315] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 315] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 315] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 315] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 315] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 315] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 315] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 315] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 315] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 315] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 315] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 315] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 315] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 315] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 315] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 315] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 315] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 315] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 315] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 315] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 315] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 315] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 315] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 315] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 315] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 315] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 315] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 315] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 315] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 315] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 315] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 315] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 315] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 315] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 315] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 315] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 315] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 315] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 315] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 315] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 315] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 315] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 315] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 315] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 315] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 315] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 315] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 315] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 315] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 315] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 315] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 315] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 315] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 315] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 315] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 315] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 315] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 315] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 315] Brq: ---------------- -[DEBUG][time= 315] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 315] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 315] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 315] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 315] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 315] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 316] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 316] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 316] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 316] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 316] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 316] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 316] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 316] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 316] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 316] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 316] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 316] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 316] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 316] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 316] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 316] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 316] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 316] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 316] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 316] BPUStage3: flushS3=0 -[DEBUG][time= 316] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 316] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 316] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 316] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 316] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 316] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 316] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 316] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 316] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 316] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 316] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 316] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 316] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 316] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 316] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 316] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 316] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 316] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 316] Dispatch2: regfile 0 from 3 -[DEBUG][time= 316] Dispatch2: regfile 1 from 3 -[DEBUG][time= 316] Dispatch2: regfile 2 from 0 -[DEBUG][time= 316] Dispatch2: regfile 3 from 0 -[DEBUG][time= 316] Dispatch2: regfile 4 from 0 -[DEBUG][time= 316] Dispatch2: regfile 5 from 0 -[DEBUG][time= 316] Dispatch2: regfile 6 from 0 -[DEBUG][time= 316] Dispatch2: regfile 7 from 0 -[DEBUG][time= 316] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 316] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 316] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 316] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 316] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 316] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 316] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 316] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 316] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 316] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 316] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 316] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 316] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 316] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 316] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 316] Roq: CSR block should only happen in s_idle -[DEBUG][time= 316] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 316] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 316] Roq: -------------------------------- -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 316] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 316] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 316] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 316] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 316] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 316] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 316] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 316] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 316] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 316] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 316] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 316] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 316] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 316] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 316] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 316] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 316] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 316] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 316] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 316] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 316] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 316] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 316] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 316] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 316] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 316] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 316] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 316] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 316] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 316] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 316] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 316] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 316] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 316] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 316] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 316] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 316] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 316] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 316] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 316] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 316] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 316] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 316] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 316] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 316] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 316] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 316] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 316] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 316] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 316] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 316] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 316] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 316] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 316] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 316] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 316] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 316] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 316] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 316] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 316] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 316] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 316] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 316] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 316] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 316] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 316] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 316] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 316] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 316] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 316] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 316] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 316] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 316] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 316] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 316] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 316] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 316] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 316] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 316] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 316] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 316] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 316] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 316] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 316] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 316] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 316] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 316] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 316] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 316] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 316] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 316] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 316] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 316] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 316] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 316] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 316] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 316] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 316] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 316] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 316] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 316] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 316] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 316] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 316] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 316] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 316] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 316] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 316] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 316] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 316] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 316] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 316] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 316] Brq: ---------------- -[DEBUG][time= 316] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 316] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 316] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 316] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 316] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 316] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 317] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 317] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 317] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 317] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 317] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 317] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 317] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 317] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 317] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 317] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 317] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 317] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 317] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 317] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 317] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 317] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 317] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 317] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 317] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 317] BPUStage3: flushS3=0 -[DEBUG][time= 317] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 317] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 317] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 317] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 317] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 317] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 317] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 317] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 317] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 317] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 317] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 317] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 317] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 317] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 317] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 317] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 317] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 317] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 317] Dispatch2: regfile 0 from 3 -[DEBUG][time= 317] Dispatch2: regfile 1 from 3 -[DEBUG][time= 317] Dispatch2: regfile 2 from 0 -[DEBUG][time= 317] Dispatch2: regfile 3 from 0 -[DEBUG][time= 317] Dispatch2: regfile 4 from 0 -[DEBUG][time= 317] Dispatch2: regfile 5 from 0 -[DEBUG][time= 317] Dispatch2: regfile 6 from 0 -[DEBUG][time= 317] Dispatch2: regfile 7 from 0 -[DEBUG][time= 317] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 317] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 317] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 317] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 317] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 317] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 317] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 317] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 317] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 317] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 317] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 317] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 317] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 317] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 317] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 317] Roq: CSR block should only happen in s_idle -[DEBUG][time= 317] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 317] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 317] Roq: -------------------------------- -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 317] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 317] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 317] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 317] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 317] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 317] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 317] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 317] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 317] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 317] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 317] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 317] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 317] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 317] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 317] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 317] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 317] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 317] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 317] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 317] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 317] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 317] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 317] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 317] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 317] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 317] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 317] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 317] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 317] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 317] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 317] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 317] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 317] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 317] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 317] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 317] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 317] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 317] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 317] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 317] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 317] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 317] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 317] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 317] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 317] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 317] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 317] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 317] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 317] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 317] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 317] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 317] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 317] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 317] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 317] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 317] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 317] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 317] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 317] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 317] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 317] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 317] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 317] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 317] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 317] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 317] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 317] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 317] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 317] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 317] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 317] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 317] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 317] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 317] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 317] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 317] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 317] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 317] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 317] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 317] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 317] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 317] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 317] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 317] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 317] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 317] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 317] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 317] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 317] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 317] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 317] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 317] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 317] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 317] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 317] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 317] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 317] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 317] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 317] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 317] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 317] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 317] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 317] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 317] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 317] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 317] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 317] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 317] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 317] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 317] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 317] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 317] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 317] Brq: ---------------- -[DEBUG][time= 317] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 317] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 317] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 317] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 317] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 317] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 318] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 318] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 318] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 318] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 318] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 318] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 318] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 318] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 318] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 318] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 318] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 318] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 318] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 318] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 318] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 318] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 318] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 318] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 318] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 318] BPUStage3: flushS3=0 -[DEBUG][time= 318] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 318] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 318] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 318] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 318] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 318] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 318] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 318] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 318] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 318] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 318] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 318] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 318] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 318] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 318] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 318] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 318] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 318] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 318] Dispatch2: regfile 0 from 3 -[DEBUG][time= 318] Dispatch2: regfile 1 from 3 -[DEBUG][time= 318] Dispatch2: regfile 2 from 0 -[DEBUG][time= 318] Dispatch2: regfile 3 from 0 -[DEBUG][time= 318] Dispatch2: regfile 4 from 0 -[DEBUG][time= 318] Dispatch2: regfile 5 from 0 -[DEBUG][time= 318] Dispatch2: regfile 6 from 0 -[DEBUG][time= 318] Dispatch2: regfile 7 from 0 -[DEBUG][time= 318] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 318] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 318] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 318] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 318] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 318] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 318] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 318] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 318] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 318] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 318] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 318] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 318] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 318] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 318] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 318] Roq: CSR block should only happen in s_idle -[DEBUG][time= 318] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 318] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 318] Roq: -------------------------------- -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 318] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 318] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 318] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 318] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 318] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 318] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 318] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 318] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 318] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 318] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 318] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 318] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 318] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 318] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 318] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 318] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 318] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 318] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 318] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 318] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 318] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 318] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 318] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 318] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 318] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 318] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 318] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 318] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 318] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 318] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 318] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 318] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 318] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 318] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 318] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 318] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 318] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 318] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 318] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 318] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 318] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 318] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 318] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 318] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 318] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 318] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 318] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 318] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 318] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 318] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 318] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 318] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 318] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 318] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 318] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 318] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 318] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 318] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 318] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 318] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 318] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 318] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 318] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 318] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 318] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 318] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 318] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 318] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 318] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 318] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 318] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 318] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 318] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 318] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 318] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 318] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 318] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 318] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 318] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 318] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 318] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 318] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 318] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 318] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 318] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 318] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 318] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 318] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 318] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 318] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 318] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 318] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 318] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 318] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 318] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 318] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 318] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 318] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 318] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 318] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 318] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 318] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 318] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 318] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 318] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 318] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 318] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 318] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 318] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 318] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 318] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 318] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 318] Brq: ---------------- -[DEBUG][time= 318] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 318] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 318] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 318] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 318] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 318] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 319] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 319] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 319] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 319] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 319] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 319] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 319] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 319] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 319] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 319] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 319] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 319] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 319] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 319] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 319] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 319] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 319] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 319] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 319] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 319] BPUStage3: flushS3=0 -[DEBUG][time= 319] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 319] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 319] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 319] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 319] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 319] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 319] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 319] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 319] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 319] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 319] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 319] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 319] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 319] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 319] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 319] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 319] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 319] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 319] Dispatch2: regfile 0 from 3 -[DEBUG][time= 319] Dispatch2: regfile 1 from 3 -[DEBUG][time= 319] Dispatch2: regfile 2 from 0 -[DEBUG][time= 319] Dispatch2: regfile 3 from 0 -[DEBUG][time= 319] Dispatch2: regfile 4 from 0 -[DEBUG][time= 319] Dispatch2: regfile 5 from 0 -[DEBUG][time= 319] Dispatch2: regfile 6 from 0 -[DEBUG][time= 319] Dispatch2: regfile 7 from 0 -[DEBUG][time= 319] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 319] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 319] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 319] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 319] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 319] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 319] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 319] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 319] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 319] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 319] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 319] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 319] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 319] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 319] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 319] Roq: CSR block should only happen in s_idle -[DEBUG][time= 319] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 319] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 319] Roq: -------------------------------- -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 319] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 319] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 319] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 319] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 319] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 319] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 319] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 319] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 319] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 319] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 319] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 319] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 319] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 319] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 319] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 319] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 319] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 319] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 319] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 319] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 319] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 319] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 319] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 319] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 319] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 319] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 319] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 319] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 319] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 319] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 319] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 319] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 319] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 319] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 319] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 319] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 319] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 319] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 319] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 319] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 319] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 319] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 319] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 319] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 319] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 319] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 319] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 319] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 319] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 319] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 319] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 319] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 319] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 319] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 319] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 319] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 319] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 319] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 319] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 319] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 319] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 319] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 319] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 319] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 319] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 319] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 319] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 319] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 319] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 319] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 319] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 319] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 319] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 319] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 319] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 319] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 319] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 319] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 319] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 319] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 319] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 319] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 319] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 319] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 319] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 319] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 319] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 319] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 319] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 319] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 319] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 319] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 319] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 319] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 319] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 319] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 319] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 319] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 319] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 319] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 319] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 319] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 319] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 319] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 319] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 319] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 319] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 319] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 319] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 319] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 319] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 319] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 319] Brq: ---------------- -[DEBUG][time= 319] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 319] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 319] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 319] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 319] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 319] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 320] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 320] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 320] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 320] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 320] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 320] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 320] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 320] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 320] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 320] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 320] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 320] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 320] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 320] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 320] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 320] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 320] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 320] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 320] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 320] BPUStage3: flushS3=0 -[DEBUG][time= 320] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 320] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 320] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 320] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 320] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 320] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 320] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 320] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 320] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 320] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 320] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 320] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 320] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 320] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 320] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 320] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 320] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 320] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 320] Dispatch2: regfile 0 from 3 -[DEBUG][time= 320] Dispatch2: regfile 1 from 3 -[DEBUG][time= 320] Dispatch2: regfile 2 from 0 -[DEBUG][time= 320] Dispatch2: regfile 3 from 0 -[DEBUG][time= 320] Dispatch2: regfile 4 from 0 -[DEBUG][time= 320] Dispatch2: regfile 5 from 0 -[DEBUG][time= 320] Dispatch2: regfile 6 from 0 -[DEBUG][time= 320] Dispatch2: regfile 7 from 0 -[DEBUG][time= 320] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 320] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 320] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 320] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 320] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 320] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 320] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 320] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 320] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 320] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 320] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 320] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 320] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 320] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 320] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 320] Roq: CSR block should only happen in s_idle -[DEBUG][time= 320] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 320] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 320] Roq: -------------------------------- -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 320] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 320] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 320] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 320] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 320] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 320] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 320] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 320] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 320] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 320] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 320] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 320] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 320] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 320] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 320] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 320] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 320] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 320] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 320] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 320] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 320] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 320] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 320] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 320] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 320] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 320] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 320] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 320] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 320] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 320] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 320] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 320] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 320] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 320] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 320] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 320] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 320] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 320] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 320] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 320] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 320] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 320] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 320] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 320] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 320] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 320] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 320] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 320] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 320] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 320] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 320] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 320] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 320] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 320] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 320] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 320] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 320] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 320] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 320] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 320] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 320] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 320] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 320] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 320] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 320] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 320] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 320] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 320] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 320] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 320] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 320] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 320] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 320] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 320] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 320] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 320] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 320] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 320] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 320] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 320] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 320] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 320] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 320] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 320] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 320] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 320] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 320] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 320] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 320] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 320] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 320] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 320] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 320] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 320] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 320] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 320] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 320] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 320] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 320] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 320] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 320] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 320] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 320] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 320] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 320] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 320] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 320] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 320] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 320] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 320] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 320] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 320] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 320] Brq: ---------------- -[DEBUG][time= 320] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 320] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 320] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 320] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 320] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 320] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 321] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 321] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 321] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 321] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 321] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 321] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 321] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 321] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 321] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 321] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 321] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 321] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 321] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 321] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 321] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 321] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 321] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 321] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 321] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 321] BPUStage3: flushS3=0 -[DEBUG][time= 321] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 321] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 321] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 321] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 321] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 321] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 321] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 321] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 321] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 321] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 321] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 321] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 321] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 321] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 321] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 321] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 321] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 321] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 321] Dispatch2: regfile 0 from 3 -[DEBUG][time= 321] Dispatch2: regfile 1 from 3 -[DEBUG][time= 321] Dispatch2: regfile 2 from 0 -[DEBUG][time= 321] Dispatch2: regfile 3 from 0 -[DEBUG][time= 321] Dispatch2: regfile 4 from 0 -[DEBUG][time= 321] Dispatch2: regfile 5 from 0 -[DEBUG][time= 321] Dispatch2: regfile 6 from 0 -[DEBUG][time= 321] Dispatch2: regfile 7 from 0 -[DEBUG][time= 321] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 321] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 321] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 321] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 321] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 321] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 321] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 321] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 321] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 321] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 321] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 321] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 321] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 321] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 321] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 321] Roq: CSR block should only happen in s_idle -[DEBUG][time= 321] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 321] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 321] Roq: -------------------------------- -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 321] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 321] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 321] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 321] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 321] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 321] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 321] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 321] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 321] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 321] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 321] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 321] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 321] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 321] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 321] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 321] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 321] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 321] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 321] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 321] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 321] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 321] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 321] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 321] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 321] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 321] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 321] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 321] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 321] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 321] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 321] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 321] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 321] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 321] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 321] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 321] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 321] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 321] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 321] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 321] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 321] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 321] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 321] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 321] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 321] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 321] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 321] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 321] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 321] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 321] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 321] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 321] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 321] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 321] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 321] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 321] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 321] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 321] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 321] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 321] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 321] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 321] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 321] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 321] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 321] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 321] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 321] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 321] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 321] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 321] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 321] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 321] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 321] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 321] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 321] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 321] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 321] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 321] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 321] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 321] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 321] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 321] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 321] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 321] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 321] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 321] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 321] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 321] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 321] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 321] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 321] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 321] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 321] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 321] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 321] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 321] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 321] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 321] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 321] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 321] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 321] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 321] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 321] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 321] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 321] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 321] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 321] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 321] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 321] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 321] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 321] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 321] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 321] Brq: ---------------- -[DEBUG][time= 321] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 321] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 321] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 321] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 321] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 321] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 322] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 322] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 322] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 322] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 322] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 322] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 322] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 322] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 322] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 322] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 322] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 322] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 322] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 322] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 322] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 322] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 322] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 322] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 322] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 322] BPUStage3: flushS3=0 -[DEBUG][time= 322] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 322] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 322] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 322] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 322] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 322] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 322] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 322] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 322] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 322] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 322] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 322] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 322] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 322] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 322] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 322] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 322] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 322] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 322] Dispatch2: regfile 0 from 3 -[DEBUG][time= 322] Dispatch2: regfile 1 from 3 -[DEBUG][time= 322] Dispatch2: regfile 2 from 0 -[DEBUG][time= 322] Dispatch2: regfile 3 from 0 -[DEBUG][time= 322] Dispatch2: regfile 4 from 0 -[DEBUG][time= 322] Dispatch2: regfile 5 from 0 -[DEBUG][time= 322] Dispatch2: regfile 6 from 0 -[DEBUG][time= 322] Dispatch2: regfile 7 from 0 -[DEBUG][time= 322] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 322] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 322] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 322] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 322] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 322] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 322] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 322] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 322] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 322] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 322] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 322] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 322] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 322] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 322] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 322] Roq: CSR block should only happen in s_idle -[DEBUG][time= 322] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 322] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 322] Roq: -------------------------------- -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 322] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 322] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 322] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 322] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 322] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 322] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 322] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 322] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 322] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 322] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 322] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 322] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 322] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 322] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 322] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 322] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 322] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 322] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 322] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 322] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 322] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 322] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 322] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 322] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 322] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 322] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 322] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 322] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 322] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 322] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 322] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 322] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 322] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 322] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 322] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 322] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 322] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 322] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 322] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 322] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 322] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 322] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 322] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 322] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 322] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 322] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 322] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 322] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 322] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 322] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 322] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 322] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 322] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 322] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 322] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 322] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 322] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 322] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 322] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 322] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 322] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 322] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 322] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 322] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 322] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 322] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 322] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 322] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 322] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 322] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 322] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 322] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 322] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 322] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 322] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 322] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 322] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 322] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 322] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 322] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 322] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 322] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 322] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 322] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 322] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 322] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 322] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 322] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 322] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 322] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 322] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 322] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 322] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 322] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 322] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 322] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 322] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 322] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 322] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 322] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 322] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 322] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 322] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 322] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 322] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 322] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 322] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 322] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 322] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 322] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 322] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 322] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 322] Brq: ---------------- -[DEBUG][time= 322] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 322] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 322] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 322] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 322] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 322] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 323] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 323] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 323] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 323] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 323] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 323] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 323] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 323] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 323] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 323] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 323] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 323] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 323] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 323] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 323] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 323] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 323] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 323] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 323] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 323] BPUStage3: flushS3=0 -[DEBUG][time= 323] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 323] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 323] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 323] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 323] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 323] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 323] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 323] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 323] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 323] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 323] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 323] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 323] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 323] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 323] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 323] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 323] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 323] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 323] Dispatch2: regfile 0 from 3 -[DEBUG][time= 323] Dispatch2: regfile 1 from 3 -[DEBUG][time= 323] Dispatch2: regfile 2 from 0 -[DEBUG][time= 323] Dispatch2: regfile 3 from 0 -[DEBUG][time= 323] Dispatch2: regfile 4 from 0 -[DEBUG][time= 323] Dispatch2: regfile 5 from 0 -[DEBUG][time= 323] Dispatch2: regfile 6 from 0 -[DEBUG][time= 323] Dispatch2: regfile 7 from 0 -[DEBUG][time= 323] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 323] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 323] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 323] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 323] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 323] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 323] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 323] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 323] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 323] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 323] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 323] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 323] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 323] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 323] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 323] Roq: CSR block should only happen in s_idle -[DEBUG][time= 323] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 323] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 323] Roq: -------------------------------- -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 323] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 323] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 323] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 323] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 323] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 323] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 323] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 323] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 323] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 323] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 323] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 323] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 323] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 323] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 323] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 323] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 323] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 323] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 323] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 323] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 323] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 323] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 323] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 323] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 323] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 323] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 323] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 323] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 323] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 323] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 323] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 323] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 323] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 323] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 323] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 323] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 323] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 323] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 323] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 323] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 323] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 323] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 323] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 323] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 323] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 323] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 323] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 323] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 323] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 323] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 323] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 323] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 323] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 323] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 323] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 323] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 323] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 323] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 323] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 323] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 323] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 323] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 323] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 323] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 323] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 323] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 323] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 323] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 323] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 323] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 323] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 323] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 323] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 323] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 323] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 323] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 323] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 323] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 323] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 323] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 323] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 323] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 323] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 323] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 323] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 323] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 323] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 323] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 323] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 323] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 323] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 323] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 323] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 323] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 323] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 323] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 323] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 323] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 323] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 323] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 323] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 323] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 323] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 323] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 323] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 323] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 323] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 323] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 323] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 323] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 323] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 323] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 323] Brq: ---------------- -[DEBUG][time= 323] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 323] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 323] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 323] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 323] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 323] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 324] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 324] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 324] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 324] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 324] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 324] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 324] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 324] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 324] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 324] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 324] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 324] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 324] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 324] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 324] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 324] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 324] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 324] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 324] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 324] BPUStage3: flushS3=0 -[DEBUG][time= 324] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 324] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 324] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 324] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 324] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 324] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 324] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 324] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 324] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 324] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 324] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 324] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 324] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 324] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 324] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 324] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 324] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 324] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 324] Dispatch2: regfile 0 from 3 -[DEBUG][time= 324] Dispatch2: regfile 1 from 3 -[DEBUG][time= 324] Dispatch2: regfile 2 from 0 -[DEBUG][time= 324] Dispatch2: regfile 3 from 0 -[DEBUG][time= 324] Dispatch2: regfile 4 from 0 -[DEBUG][time= 324] Dispatch2: regfile 5 from 0 -[DEBUG][time= 324] Dispatch2: regfile 6 from 0 -[DEBUG][time= 324] Dispatch2: regfile 7 from 0 -[DEBUG][time= 324] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 324] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 324] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 324] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 324] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 324] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 324] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 324] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 324] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 324] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 324] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 324] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 324] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 324] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 324] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 324] Roq: CSR block should only happen in s_idle -[DEBUG][time= 324] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 324] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 324] Roq: -------------------------------- -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 324] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 324] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 324] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 324] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 324] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 324] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 324] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 324] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 324] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 324] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 324] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 324] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 324] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 324] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 324] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 324] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 324] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 324] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 324] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 324] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 324] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 324] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 324] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 324] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 324] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 324] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 324] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 324] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 324] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 324] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 324] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 324] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 324] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 324] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 324] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 324] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 324] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 324] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 324] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 324] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 324] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 324] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 324] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 324] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 324] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 324] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 324] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 324] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 324] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 324] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 324] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 324] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 324] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 324] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 324] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 324] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 324] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 324] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 324] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 324] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 324] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 324] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 324] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 324] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 324] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 324] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 324] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 324] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 324] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 324] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 324] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 324] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 324] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 324] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 324] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 324] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 324] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 324] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 324] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 324] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 324] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 324] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 324] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 324] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 324] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 324] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 324] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 324] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 324] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 324] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 324] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 324] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 324] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 324] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 324] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 324] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 324] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 324] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 324] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 324] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 324] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 324] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 324] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 324] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 324] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 324] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 324] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 324] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 324] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 324] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 324] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 324] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 324] Brq: ---------------- -[DEBUG][time= 324] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 324] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 324] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 324] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 324] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 324] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 325] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 325] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 325] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 325] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 325] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 325] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 325] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 325] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 325] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 325] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 325] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 325] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 325] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 325] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 325] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 325] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 325] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 325] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 325] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 325] BPUStage3: flushS3=0 -[DEBUG][time= 325] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 325] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 325] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 325] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 325] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 325] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 325] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 325] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 325] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 325] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 325] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 325] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 325] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 325] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 325] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 325] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 325] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 325] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 325] Dispatch2: regfile 0 from 3 -[DEBUG][time= 325] Dispatch2: regfile 1 from 3 -[DEBUG][time= 325] Dispatch2: regfile 2 from 0 -[DEBUG][time= 325] Dispatch2: regfile 3 from 0 -[DEBUG][time= 325] Dispatch2: regfile 4 from 0 -[DEBUG][time= 325] Dispatch2: regfile 5 from 0 -[DEBUG][time= 325] Dispatch2: regfile 6 from 0 -[DEBUG][time= 325] Dispatch2: regfile 7 from 0 -[DEBUG][time= 325] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 325] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 325] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 325] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 325] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 325] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 325] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 325] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 325] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 325] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 325] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 325] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 325] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 325] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 325] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 325] Roq: CSR block should only happen in s_idle -[DEBUG][time= 325] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 325] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 325] Roq: -------------------------------- -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 325] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 325] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 325] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 325] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 325] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 325] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 325] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 325] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 325] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 325] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 325] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 325] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 325] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 325] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 325] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 325] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 325] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 325] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 325] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 325] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 325] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 325] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 325] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 325] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 325] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 325] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 325] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 325] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 325] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 325] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 325] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 325] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 325] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 325] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 325] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 325] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 325] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 325] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 325] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 325] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 325] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 325] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 325] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 325] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 325] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 325] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 325] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 325] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 325] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 325] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 325] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 325] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 325] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 325] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 325] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 325] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 325] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 325] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 325] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 325] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 325] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 325] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 325] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 325] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 325] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 325] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 325] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 325] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 325] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 325] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 325] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 325] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 325] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 325] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 325] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 325] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 325] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 325] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 325] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 325] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 325] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 325] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 325] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 325] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 325] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 325] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 325] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 325] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 325] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 325] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 325] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 325] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 325] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 325] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 325] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 325] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 325] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 325] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 325] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 325] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 325] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 325] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 325] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 325] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 325] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 325] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 325] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 325] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 325] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 325] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 325] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 325] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 325] Brq: ---------------- -[DEBUG][time= 325] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 325] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 325] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 325] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 325] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 325] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 326] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 326] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 326] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 326] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 326] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 326] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 326] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 326] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 326] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 326] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 326] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 326] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 326] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 326] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 326] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 326] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 326] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 326] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 326] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 326] BPUStage3: flushS3=0 -[DEBUG][time= 326] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 326] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 326] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 326] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 326] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 326] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 326] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 326] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 326] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 326] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 326] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 326] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 326] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 326] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 326] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 326] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 326] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 326] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 326] Dispatch2: regfile 0 from 3 -[DEBUG][time= 326] Dispatch2: regfile 1 from 3 -[DEBUG][time= 326] Dispatch2: regfile 2 from 0 -[DEBUG][time= 326] Dispatch2: regfile 3 from 0 -[DEBUG][time= 326] Dispatch2: regfile 4 from 0 -[DEBUG][time= 326] Dispatch2: regfile 5 from 0 -[DEBUG][time= 326] Dispatch2: regfile 6 from 0 -[DEBUG][time= 326] Dispatch2: regfile 7 from 0 -[DEBUG][time= 326] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 326] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 326] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 326] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 326] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 326] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 326] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 326] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 326] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 326] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 326] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 326] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 326] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 326] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 326] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 326] Roq: CSR block should only happen in s_idle -[DEBUG][time= 326] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 326] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 326] Roq: -------------------------------- -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 326] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 326] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 326] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 326] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 326] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 326] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 326] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 326] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 326] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 326] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 326] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 326] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 326] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 326] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 326] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 326] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 326] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 326] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 326] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 326] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 326] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 326] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 326] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 326] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 326] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 326] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 326] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 326] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 326] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 326] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 326] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 326] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 326] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 326] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 326] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 326] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 326] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 326] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 326] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 326] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 326] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 326] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 326] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 326] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 326] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 326] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 326] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 326] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 326] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 326] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 326] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 326] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 326] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 326] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 326] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 326] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 326] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 326] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 326] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 326] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 326] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 326] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 326] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 326] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 326] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 326] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 326] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 326] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 326] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 326] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 326] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 326] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 326] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 326] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 326] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 326] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 326] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 326] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 326] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 326] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 326] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 326] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 326] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 326] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 326] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 326] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 326] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 326] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 326] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 326] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 326] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 326] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 326] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 326] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 326] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 326] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 326] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 326] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 326] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 326] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 326] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 326] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 326] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 326] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 326] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 326] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 326] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 326] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 326] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 326] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 326] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 326] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 326] Brq: ---------------- -[DEBUG][time= 326] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 326] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 326] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 326] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 326] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 326] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 327] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 327] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 327] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 327] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 327] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 327] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 327] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 327] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 327] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 327] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 327] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 327] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 327] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 327] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 327] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 327] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 327] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 327] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 327] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 327] BPUStage3: flushS3=0 -[DEBUG][time= 327] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 327] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 327] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 327] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 327] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 327] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 327] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 327] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 327] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 327] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 327] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 327] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 327] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 327] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 327] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 327] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 327] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 327] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 327] Dispatch2: regfile 0 from 3 -[DEBUG][time= 327] Dispatch2: regfile 1 from 3 -[DEBUG][time= 327] Dispatch2: regfile 2 from 0 -[DEBUG][time= 327] Dispatch2: regfile 3 from 0 -[DEBUG][time= 327] Dispatch2: regfile 4 from 0 -[DEBUG][time= 327] Dispatch2: regfile 5 from 0 -[DEBUG][time= 327] Dispatch2: regfile 6 from 0 -[DEBUG][time= 327] Dispatch2: regfile 7 from 0 -[DEBUG][time= 327] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 327] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 327] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 327] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 327] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 327] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 327] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 327] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 327] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 327] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 327] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 327] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 327] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 327] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 327] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 327] Roq: CSR block should only happen in s_idle -[DEBUG][time= 327] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 327] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 327] Roq: -------------------------------- -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 327] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 327] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 327] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 327] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 327] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 327] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 327] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 327] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 327] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 327] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 327] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 327] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 327] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 327] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 327] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 327] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 327] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 327] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 327] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 327] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 327] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 327] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 327] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 327] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 327] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 327] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 327] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 327] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 327] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 327] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 327] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 327] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 327] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 327] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 327] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 327] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 327] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 327] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 327] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 327] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 327] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 327] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 327] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 327] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 327] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 327] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 327] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 327] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 327] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 327] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 327] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 327] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 327] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 327] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 327] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 327] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 327] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 327] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 327] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 327] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 327] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 327] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 327] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 327] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 327] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 327] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 327] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 327] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 327] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 327] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 327] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 327] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 327] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 327] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 327] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 327] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 327] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 327] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 327] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 327] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 327] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 327] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 327] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 327] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 327] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 327] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 327] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 327] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 327] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 327] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 327] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 327] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 327] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 327] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 327] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 327] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 327] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 327] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 327] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 327] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 327] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 327] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 327] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 327] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 327] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 327] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 327] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 327] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 327] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 327] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 327] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 327] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 327] Brq: ---------------- -[DEBUG][time= 327] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 327] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 327] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 327] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 327] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 327] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 328] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 328] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 328] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 328] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 328] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 328] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 328] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 328] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 328] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 328] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 328] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 328] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 328] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 328] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 328] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 328] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 328] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 328] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 328] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 328] BPUStage3: flushS3=0 -[DEBUG][time= 328] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 328] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 328] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 328] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 328] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 328] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 328] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 328] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 328] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 328] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 328] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 328] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 328] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 328] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 328] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 328] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 328] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 328] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 328] Dispatch2: regfile 0 from 3 -[DEBUG][time= 328] Dispatch2: regfile 1 from 3 -[DEBUG][time= 328] Dispatch2: regfile 2 from 0 -[DEBUG][time= 328] Dispatch2: regfile 3 from 0 -[DEBUG][time= 328] Dispatch2: regfile 4 from 0 -[DEBUG][time= 328] Dispatch2: regfile 5 from 0 -[DEBUG][time= 328] Dispatch2: regfile 6 from 0 -[DEBUG][time= 328] Dispatch2: regfile 7 from 0 -[DEBUG][time= 328] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 328] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 328] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 328] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 328] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 328] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 328] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 328] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 328] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 328] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 328] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 328] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 328] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 328] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 328] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 328] Roq: CSR block should only happen in s_idle -[DEBUG][time= 328] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 328] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 328] Roq: -------------------------------- -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 328] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 328] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 328] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 328] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 328] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 328] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 328] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 328] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 328] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 328] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 328] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 328] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 328] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 328] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 328] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 328] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 328] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 328] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 328] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 328] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 328] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 328] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 328] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 328] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 328] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 328] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 328] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 328] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 328] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 328] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 328] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 328] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 328] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 328] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 328] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 328] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 328] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 328] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 328] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 328] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 328] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 328] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 328] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 328] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 328] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 328] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 328] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 328] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 328] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 328] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 328] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 328] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 328] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 328] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 328] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 328] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 328] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 328] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 328] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 328] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 328] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 328] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 328] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 328] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 328] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 328] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 328] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 328] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 328] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 328] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 328] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 328] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 328] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 328] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 328] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 328] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 328] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 328] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 328] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 328] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 328] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 328] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 328] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 328] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 328] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 328] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 328] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 328] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 328] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 328] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 328] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 328] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 328] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 328] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 328] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 328] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 328] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 328] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 328] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 328] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 328] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 328] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 328] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 328] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 328] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 328] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 328] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 328] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 328] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 328] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 328] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 328] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 328] Brq: ---------------- -[DEBUG][time= 328] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 328] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 328] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 328] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 328] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 328] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 329] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 329] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 329] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 329] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 329] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 329] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 329] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 329] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 329] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 329] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 329] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 329] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 329] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 329] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 329] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 329] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 329] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 329] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 329] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 329] BPUStage3: flushS3=0 -[DEBUG][time= 329] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 329] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 329] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 329] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 329] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 329] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 329] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 329] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 329] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 329] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 329] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 329] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 329] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 329] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 329] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 329] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 329] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 329] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 329] Dispatch2: regfile 0 from 3 -[DEBUG][time= 329] Dispatch2: regfile 1 from 3 -[DEBUG][time= 329] Dispatch2: regfile 2 from 0 -[DEBUG][time= 329] Dispatch2: regfile 3 from 0 -[DEBUG][time= 329] Dispatch2: regfile 4 from 0 -[DEBUG][time= 329] Dispatch2: regfile 5 from 0 -[DEBUG][time= 329] Dispatch2: regfile 6 from 0 -[DEBUG][time= 329] Dispatch2: regfile 7 from 0 -[DEBUG][time= 329] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 329] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 329] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 329] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 329] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 329] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 329] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 329] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 329] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 329] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 329] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 329] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 329] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 329] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 329] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 329] Roq: CSR block should only happen in s_idle -[DEBUG][time= 329] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 329] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 329] Roq: -------------------------------- -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 329] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 329] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 329] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 329] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 329] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 329] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 329] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 329] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 329] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 329] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 329] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 329] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 329] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 329] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 329] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 329] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 329] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 329] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 329] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 329] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 329] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 329] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 329] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 329] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 329] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 329] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 329] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 329] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 329] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 329] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 329] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 329] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 329] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 329] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 329] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 329] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 329] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 329] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 329] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 329] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 329] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 329] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 329] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 329] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 329] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 329] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 329] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 329] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 329] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 329] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 329] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 329] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 329] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 329] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 329] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 329] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 329] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 329] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 329] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 329] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 329] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 329] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 329] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 329] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 329] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 329] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 329] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 329] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 329] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 329] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 329] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 329] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 329] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 329] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 329] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 329] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 329] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 329] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 329] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 329] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 329] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 329] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 329] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 329] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 329] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 329] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 329] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 329] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 329] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 329] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 329] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 329] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 329] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 329] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 329] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 329] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 329] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 329] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 329] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 329] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 329] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 329] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 329] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 329] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 329] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 329] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 329] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 329] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 329] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 329] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 329] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 329] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 329] Brq: ---------------- -[DEBUG][time= 329] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 329] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 329] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 329] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 329] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 329] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 330] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 330] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 330] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 330] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 330] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 330] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 330] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 330] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 330] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 330] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 330] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 330] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 330] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 330] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 330] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 330] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 330] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 330] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 330] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 330] BPUStage3: flushS3=0 -[DEBUG][time= 330] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 330] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 330] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 330] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 330] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 330] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 330] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 330] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 330] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 330] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 330] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 330] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 330] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 330] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 330] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 330] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 330] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 330] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 330] Dispatch2: regfile 0 from 3 -[DEBUG][time= 330] Dispatch2: regfile 1 from 3 -[DEBUG][time= 330] Dispatch2: regfile 2 from 0 -[DEBUG][time= 330] Dispatch2: regfile 3 from 0 -[DEBUG][time= 330] Dispatch2: regfile 4 from 0 -[DEBUG][time= 330] Dispatch2: regfile 5 from 0 -[DEBUG][time= 330] Dispatch2: regfile 6 from 0 -[DEBUG][time= 330] Dispatch2: regfile 7 from 0 -[DEBUG][time= 330] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 330] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 330] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 330] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 330] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 330] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 330] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 330] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 330] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 330] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 330] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 330] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 330] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 330] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 330] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 330] Roq: CSR block should only happen in s_idle -[DEBUG][time= 330] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 330] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 330] Roq: -------------------------------- -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 330] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 330] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 330] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 330] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 330] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 330] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 330] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 330] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 330] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 330] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 330] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 330] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 330] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 330] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 330] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 330] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 330] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 330] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 330] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 330] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 330] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 330] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 330] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 330] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 330] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 330] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 330] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 330] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 330] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 330] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 330] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 330] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 330] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 330] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 330] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 330] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 330] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 330] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 330] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 330] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 330] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 330] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 330] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 330] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 330] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 330] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 330] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 330] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 330] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 330] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 330] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 330] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 330] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 330] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 330] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 330] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 330] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 330] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 330] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 330] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 330] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 330] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 330] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 330] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 330] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 330] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 330] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 330] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 330] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 330] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 330] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 330] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 330] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 330] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 330] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 330] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 330] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 330] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 330] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 330] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 330] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 330] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 330] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 330] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 330] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 330] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 330] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 330] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 330] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 330] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 330] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 330] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 330] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 330] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 330] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 330] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 330] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 330] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 330] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 330] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 330] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 330] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 330] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 330] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 330] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 330] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 330] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 330] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 330] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 330] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 330] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 330] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 330] Brq: ---------------- -[DEBUG][time= 330] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 330] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 330] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 330] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 330] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 330] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 331] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 331] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 331] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 331] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 331] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 331] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 331] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 331] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 331] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 331] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 331] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 331] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 331] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 331] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 331] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 331] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 331] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 331] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 331] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 331] BPUStage3: flushS3=0 -[DEBUG][time= 331] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 331] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 331] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 331] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 331] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 331] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 331] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 331] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 331] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 331] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 331] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 331] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 331] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 331] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 331] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 331] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 331] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 331] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 331] Dispatch2: regfile 0 from 3 -[DEBUG][time= 331] Dispatch2: regfile 1 from 3 -[DEBUG][time= 331] Dispatch2: regfile 2 from 0 -[DEBUG][time= 331] Dispatch2: regfile 3 from 0 -[DEBUG][time= 331] Dispatch2: regfile 4 from 0 -[DEBUG][time= 331] Dispatch2: regfile 5 from 0 -[DEBUG][time= 331] Dispatch2: regfile 6 from 0 -[DEBUG][time= 331] Dispatch2: regfile 7 from 0 -[DEBUG][time= 331] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 331] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 331] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 331] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 331] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 331] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 331] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 331] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 331] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 331] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 331] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 331] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 331] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 331] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 331] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 331] Roq: CSR block should only happen in s_idle -[DEBUG][time= 331] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 331] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 331] Roq: -------------------------------- -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 331] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 331] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 331] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 331] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 331] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 331] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 331] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 331] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 331] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 331] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 331] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 331] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 331] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 331] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 331] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 331] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 331] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 331] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 331] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 331] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 331] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 331] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 331] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 331] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 331] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 331] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 331] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 331] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 331] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 331] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 331] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 331] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 331] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 331] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 331] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 331] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 331] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 331] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 331] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 331] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 331] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 331] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 331] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 331] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 331] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 331] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 331] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 331] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 331] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 331] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 331] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 331] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 331] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 331] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 331] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 331] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 331] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 331] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 331] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 331] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 331] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 331] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 331] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 331] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 331] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 331] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 331] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 331] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 331] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 331] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 331] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 331] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 331] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 331] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 331] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 331] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 331] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 331] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 331] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 331] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 331] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 331] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 331] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 331] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 331] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 331] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 331] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 331] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 331] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 331] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 331] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 331] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 331] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 331] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 331] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 331] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 331] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 331] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 331] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 331] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 331] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 331] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 331] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 331] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 331] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 331] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 331] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 331] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 331] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 331] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 331] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 331] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 331] Brq: ---------------- -[DEBUG][time= 331] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 331] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 331] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 331] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 331] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 331] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 332] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 332] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 332] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 332] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 332] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 332] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 332] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 332] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 332] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 332] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 332] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 332] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 332] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 332] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 332] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 332] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 332] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 332] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 332] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 332] BPUStage3: flushS3=0 -[DEBUG][time= 332] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 332] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 332] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 332] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 332] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 332] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 332] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 332] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 332] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 332] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 332] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 332] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 332] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 332] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 332] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 332] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 332] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 332] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 332] Dispatch2: regfile 0 from 3 -[DEBUG][time= 332] Dispatch2: regfile 1 from 3 -[DEBUG][time= 332] Dispatch2: regfile 2 from 0 -[DEBUG][time= 332] Dispatch2: regfile 3 from 0 -[DEBUG][time= 332] Dispatch2: regfile 4 from 0 -[DEBUG][time= 332] Dispatch2: regfile 5 from 0 -[DEBUG][time= 332] Dispatch2: regfile 6 from 0 -[DEBUG][time= 332] Dispatch2: regfile 7 from 0 -[DEBUG][time= 332] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 332] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 332] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 332] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 332] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 332] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 332] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 332] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 332] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 332] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 332] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 332] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 332] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 332] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 332] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 332] Roq: CSR block should only happen in s_idle -[DEBUG][time= 332] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 332] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 332] Roq: -------------------------------- -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 332] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 332] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 332] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 332] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 332] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 332] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 332] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 332] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 332] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 332] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 332] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 332] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 332] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 332] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 332] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 332] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 332] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 332] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 332] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 332] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 332] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 332] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 332] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 332] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 332] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 332] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 332] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 332] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 332] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 332] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 332] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 332] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 332] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 332] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 332] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 332] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 332] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 332] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 332] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 332] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 332] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 332] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 332] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 332] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 332] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 332] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 332] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 332] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 332] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 332] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 332] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 332] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 332] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 332] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 332] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 332] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 332] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 332] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 332] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 332] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 332] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 332] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 332] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 332] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 332] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 332] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 332] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 332] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 332] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 332] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 332] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 332] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 332] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 332] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 332] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 332] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 332] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 332] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 332] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 332] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 332] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 332] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 332] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 332] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 332] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 332] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 332] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 332] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 332] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 332] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 332] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 332] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 332] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 332] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 332] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 332] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 332] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 332] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 332] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 332] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 332] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 332] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 332] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 332] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 332] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 332] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 332] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 332] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 332] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 332] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 332] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 332] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 332] Brq: ---------------- -[DEBUG][time= 332] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 332] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 332] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 332] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 332] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 332] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 333] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 333] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 333] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 333] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 333] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 333] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 333] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 333] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 333] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 333] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 333] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 333] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 333] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 333] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 333] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 333] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 333] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 333] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 333] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 333] BPUStage3: flushS3=0 -[DEBUG][time= 333] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 333] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 333] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 333] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 333] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 333] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 333] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 333] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 333] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 333] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 333] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 333] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 333] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 333] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 333] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 333] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 333] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 333] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 333] Dispatch2: regfile 0 from 3 -[DEBUG][time= 333] Dispatch2: regfile 1 from 3 -[DEBUG][time= 333] Dispatch2: regfile 2 from 0 -[DEBUG][time= 333] Dispatch2: regfile 3 from 0 -[DEBUG][time= 333] Dispatch2: regfile 4 from 0 -[DEBUG][time= 333] Dispatch2: regfile 5 from 0 -[DEBUG][time= 333] Dispatch2: regfile 6 from 0 -[DEBUG][time= 333] Dispatch2: regfile 7 from 0 -[DEBUG][time= 333] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 333] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 333] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 333] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 333] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 333] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 333] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 333] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 333] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 333] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 333] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 333] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 333] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 333] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 333] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 333] Roq: CSR block should only happen in s_idle -[DEBUG][time= 333] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 333] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 333] Roq: -------------------------------- -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 333] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 333] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 333] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 333] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 333] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 333] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 333] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 333] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 333] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 333] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 333] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 333] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 333] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 333] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 333] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 333] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 333] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 333] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 333] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 333] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 333] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 333] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 333] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 333] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 333] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 333] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 333] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 333] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 333] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 333] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 333] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 333] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 333] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 333] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 333] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 333] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 333] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 333] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 333] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 333] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 333] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 333] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 333] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 333] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 333] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 333] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 333] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 333] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 333] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 333] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 333] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 333] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 333] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 333] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 333] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 333] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 333] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 333] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 333] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 333] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 333] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 333] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 333] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 333] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 333] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 333] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 333] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 333] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 333] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 333] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 333] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 333] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 333] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 333] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 333] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 333] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 333] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 333] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 333] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 333] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 333] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 333] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 333] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 333] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 333] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 333] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 333] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 333] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 333] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 333] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 333] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 333] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 333] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 333] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 333] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 333] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 333] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 333] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 333] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 333] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 333] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 333] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 333] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 333] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 333] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 333] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 333] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 333] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 333] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 333] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 333] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 333] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 333] Brq: ---------------- -[DEBUG][time= 333] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 333] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 333] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 333] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 333] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 333] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 334] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 334] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 334] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 334] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 334] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 334] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 334] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 334] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 334] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 334] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 334] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 334] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 334] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 334] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 334] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 334] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 334] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 334] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 334] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 334] BPUStage3: flushS3=0 -[DEBUG][time= 334] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 334] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 334] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 334] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 334] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 334] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 334] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 334] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 334] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 334] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 334] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 334] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 334] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 334] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 334] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 334] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 334] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 334] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 334] Dispatch2: regfile 0 from 3 -[DEBUG][time= 334] Dispatch2: regfile 1 from 3 -[DEBUG][time= 334] Dispatch2: regfile 2 from 0 -[DEBUG][time= 334] Dispatch2: regfile 3 from 0 -[DEBUG][time= 334] Dispatch2: regfile 4 from 0 -[DEBUG][time= 334] Dispatch2: regfile 5 from 0 -[DEBUG][time= 334] Dispatch2: regfile 6 from 0 -[DEBUG][time= 334] Dispatch2: regfile 7 from 0 -[DEBUG][time= 334] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 334] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 334] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 334] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 334] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 334] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 334] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 334] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 334] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 334] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 334] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 334] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 334] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 334] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 334] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 334] Roq: CSR block should only happen in s_idle -[DEBUG][time= 334] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 334] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 334] Roq: -------------------------------- -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 334] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 334] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 334] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 334] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 334] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 334] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 334] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 334] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 334] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 334] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 334] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 334] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 334] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 334] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 334] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 334] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 334] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 334] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 334] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 334] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 334] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 334] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 334] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 334] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 334] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 334] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 334] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 334] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 334] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 334] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 334] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 334] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 334] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 334] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 334] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 334] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 334] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 334] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 334] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 334] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 334] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 334] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 334] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 334] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 334] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 334] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 334] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 334] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 334] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 334] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 334] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 334] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 334] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 334] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 334] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 334] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 334] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 334] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 334] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 334] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 334] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 334] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 334] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 334] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 334] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 334] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 334] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 334] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 334] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 334] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 334] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 334] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 334] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 334] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 334] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 334] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 334] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 334] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 334] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 334] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 334] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 334] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 334] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 334] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 334] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 334] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 334] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 334] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 334] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 334] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 334] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 334] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 334] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 334] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 334] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 334] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 334] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 334] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 334] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 334] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 334] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 334] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 334] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 334] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 334] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 334] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 334] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 334] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 334] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 334] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 334] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 334] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 334] Brq: ---------------- -[DEBUG][time= 334] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 334] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 334] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 334] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 334] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 334] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 335] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 335] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 335] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 335] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 335] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 335] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 335] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 335] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 335] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 335] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 335] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 335] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 335] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 335] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 335] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 335] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 335] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 335] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 335] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 335] BPUStage3: flushS3=0 -[DEBUG][time= 335] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 335] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 335] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 335] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 335] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 335] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 335] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 335] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 335] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 335] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 335] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 335] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 335] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 335] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 335] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 335] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 335] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 335] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 335] Dispatch2: regfile 0 from 3 -[DEBUG][time= 335] Dispatch2: regfile 1 from 3 -[DEBUG][time= 335] Dispatch2: regfile 2 from 0 -[DEBUG][time= 335] Dispatch2: regfile 3 from 0 -[DEBUG][time= 335] Dispatch2: regfile 4 from 0 -[DEBUG][time= 335] Dispatch2: regfile 5 from 0 -[DEBUG][time= 335] Dispatch2: regfile 6 from 0 -[DEBUG][time= 335] Dispatch2: regfile 7 from 0 -[DEBUG][time= 335] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 335] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 335] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 335] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 335] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 335] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 335] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 335] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 335] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 335] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 335] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 335] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 335] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 335] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 335] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 335] Roq: CSR block should only happen in s_idle -[DEBUG][time= 335] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 335] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 335] Roq: -------------------------------- -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 335] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 335] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 335] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 335] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 335] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 335] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 335] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 335] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 335] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 335] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 335] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 335] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 335] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 335] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 335] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 335] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 335] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 335] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 335] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 335] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 335] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 335] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 335] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 335] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 335] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 335] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 335] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 335] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 335] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 335] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 335] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 335] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 335] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 335] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 335] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 335] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 335] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 335] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 335] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 335] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 335] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 335] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 335] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 335] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 335] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 335] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 335] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 335] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 335] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 335] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 335] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 335] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 335] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 335] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 335] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 335] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 335] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 335] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 335] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 335] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 335] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 335] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 335] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 335] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 335] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 335] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 335] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 335] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 335] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 335] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 335] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 335] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 335] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 335] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 335] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 335] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 335] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 335] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 335] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 335] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 335] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 335] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 335] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 335] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 335] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 335] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 335] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 335] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 335] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 335] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 335] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 335] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 335] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 335] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 335] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 335] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 335] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 335] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 335] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 335] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 335] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 335] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 335] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 335] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 335] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 335] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 335] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 335] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 335] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 335] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 335] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 335] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 335] Brq: ---------------- -[DEBUG][time= 335] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 335] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 335] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 335] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 335] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 335] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 336] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 336] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 336] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 336] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 336] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 336] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 336] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 336] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 336] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 336] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 336] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 336] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 336] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 336] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 336] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 336] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 336] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 336] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 336] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 336] BPUStage3: flushS3=0 -[DEBUG][time= 336] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 336] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 336] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 336] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 336] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 336] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 336] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 336] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 336] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 336] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 336] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 336] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 336] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 336] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 336] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 336] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 336] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 336] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 336] Dispatch2: regfile 0 from 3 -[DEBUG][time= 336] Dispatch2: regfile 1 from 3 -[DEBUG][time= 336] Dispatch2: regfile 2 from 0 -[DEBUG][time= 336] Dispatch2: regfile 3 from 0 -[DEBUG][time= 336] Dispatch2: regfile 4 from 0 -[DEBUG][time= 336] Dispatch2: regfile 5 from 0 -[DEBUG][time= 336] Dispatch2: regfile 6 from 0 -[DEBUG][time= 336] Dispatch2: regfile 7 from 0 -[DEBUG][time= 336] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 336] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 336] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 336] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 336] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 336] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 336] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 336] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 336] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 336] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 336] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 336] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 336] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 336] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 336] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 336] Roq: CSR block should only happen in s_idle -[DEBUG][time= 336] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 336] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 336] Roq: -------------------------------- -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 336] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 336] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 336] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 336] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 336] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 336] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 336] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 336] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 336] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 336] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 336] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 336] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 336] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 336] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 336] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 336] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 336] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 336] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 336] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 336] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 336] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 336] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 336] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 336] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 336] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 336] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 336] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 336] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 336] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 336] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 336] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 336] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 336] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 336] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 336] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 336] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 336] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 336] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 336] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 336] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 336] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 336] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 336] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 336] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 336] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 336] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 336] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 336] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 336] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 336] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 336] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 336] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 336] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 336] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 336] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 336] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 336] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 336] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 336] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 336] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 336] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 336] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 336] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 336] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 336] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 336] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 336] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 336] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 336] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 336] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 336] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 336] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 336] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 336] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 336] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 336] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 336] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 336] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 336] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 336] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 336] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 336] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 336] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 336] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 336] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 336] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 336] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 336] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 336] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 336] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 336] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 336] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 336] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 336] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 336] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 336] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 336] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 336] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 336] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 336] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 336] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 336] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 336] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 336] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 336] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 336] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 336] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 336] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 336] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 336] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 336] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 336] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 336] Brq: ---------------- -[DEBUG][time= 336] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 336] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 336] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 336] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 336] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 336] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 337] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 337] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 337] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 337] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 337] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 337] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 337] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 337] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 337] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 337] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 337] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 337] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 337] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 337] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 337] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 337] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 337] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 337] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 337] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 337] BPUStage3: flushS3=0 -[DEBUG][time= 337] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 337] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 337] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 337] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 337] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 337] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 337] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 337] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 337] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 337] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 337] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 337] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 337] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 337] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 337] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 337] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 337] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 337] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 337] Dispatch2: regfile 0 from 3 -[DEBUG][time= 337] Dispatch2: regfile 1 from 3 -[DEBUG][time= 337] Dispatch2: regfile 2 from 0 -[DEBUG][time= 337] Dispatch2: regfile 3 from 0 -[DEBUG][time= 337] Dispatch2: regfile 4 from 0 -[DEBUG][time= 337] Dispatch2: regfile 5 from 0 -[DEBUG][time= 337] Dispatch2: regfile 6 from 0 -[DEBUG][time= 337] Dispatch2: regfile 7 from 0 -[DEBUG][time= 337] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 337] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 337] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 337] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 337] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 337] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 337] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 337] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 337] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 337] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 337] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 337] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 337] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 337] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 337] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 337] Roq: CSR block should only happen in s_idle -[DEBUG][time= 337] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 337] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 337] Roq: -------------------------------- -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 337] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 337] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 337] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 337] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 337] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 337] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 337] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 337] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 337] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 337] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 337] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 337] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 337] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 337] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 337] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 337] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 337] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 337] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 337] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 337] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 337] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 337] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 337] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 337] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 337] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 337] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 337] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 337] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 337] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 337] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 337] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 337] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 337] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 337] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 337] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 337] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 337] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 337] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 337] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 337] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 337] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 337] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 337] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 337] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 337] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 337] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 337] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 337] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 337] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 337] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 337] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 337] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 337] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 337] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 337] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 337] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 337] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 337] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 337] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 337] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 337] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 337] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 337] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 337] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 337] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 337] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 337] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 337] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 337] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 337] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 337] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 337] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 337] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 337] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 337] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 337] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 337] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 337] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 337] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 337] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 337] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 337] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 337] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 337] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 337] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 337] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 337] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 337] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 337] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 337] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 337] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 337] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 337] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 337] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 337] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 337] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 337] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 337] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 337] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 337] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 337] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 337] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 337] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 337] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 337] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 337] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 337] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 337] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 337] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 337] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 337] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 337] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 337] Brq: ---------------- -[DEBUG][time= 337] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 337] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 337] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 337] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 337] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 337] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 338] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 338] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 338] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 338] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 338] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 338] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 338] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 338] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 338] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 338] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 338] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 338] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 338] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 338] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 338] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 338] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 338] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 338] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 338] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 338] BPUStage3: flushS3=0 -[DEBUG][time= 338] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 338] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 338] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 338] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 338] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 338] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 338] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 338] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 338] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 338] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 338] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 338] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 338] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 338] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 338] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 338] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 338] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 338] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 338] Dispatch2: regfile 0 from 3 -[DEBUG][time= 338] Dispatch2: regfile 1 from 3 -[DEBUG][time= 338] Dispatch2: regfile 2 from 0 -[DEBUG][time= 338] Dispatch2: regfile 3 from 0 -[DEBUG][time= 338] Dispatch2: regfile 4 from 0 -[DEBUG][time= 338] Dispatch2: regfile 5 from 0 -[DEBUG][time= 338] Dispatch2: regfile 6 from 0 -[DEBUG][time= 338] Dispatch2: regfile 7 from 0 -[DEBUG][time= 338] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 338] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 338] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 338] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 338] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 338] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 338] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 338] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 338] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 338] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 338] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 338] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 338] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 338] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 338] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 338] Roq: CSR block should only happen in s_idle -[DEBUG][time= 338] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 338] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 338] Roq: -------------------------------- -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 338] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 338] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 338] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 338] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 338] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 338] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 338] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 338] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 338] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 338] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 338] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 338] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 338] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 338] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 338] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 338] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 338] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 338] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 338] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 338] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 338] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 338] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 338] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 338] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 338] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 338] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 338] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 338] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 338] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 338] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 338] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 338] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 338] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 338] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 338] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 338] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 338] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 338] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 338] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 338] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 338] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 338] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 338] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 338] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 338] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 338] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 338] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 338] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 338] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 338] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 338] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 338] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 338] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 338] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 338] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 338] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 338] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 338] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 338] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 338] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 338] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 338] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 338] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 338] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 338] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 338] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 338] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 338] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 338] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 338] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 338] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 338] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 338] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 338] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 338] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 338] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 338] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 338] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 338] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 338] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 338] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 338] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 338] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 338] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 338] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 338] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 338] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 338] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 338] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 338] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 338] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 338] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 338] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 338] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 338] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 338] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 338] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 338] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 338] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 338] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 338] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 338] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 338] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 338] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 338] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 338] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 338] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 338] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 338] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 338] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 338] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 338] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 338] Brq: ---------------- -[DEBUG][time= 338] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 338] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 338] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 338] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 338] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 338] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 339] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 339] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 339] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 339] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 339] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 339] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 339] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 339] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 339] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 339] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 339] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 339] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 339] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 339] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 339] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 339] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 339] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 339] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 339] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 339] BPUStage3: flushS3=0 -[DEBUG][time= 339] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 339] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 339] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 339] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 339] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 339] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 339] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 339] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 339] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 339] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 339] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 339] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 339] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 339] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 339] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 339] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 339] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 339] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 339] Dispatch2: regfile 0 from 3 -[DEBUG][time= 339] Dispatch2: regfile 1 from 3 -[DEBUG][time= 339] Dispatch2: regfile 2 from 0 -[DEBUG][time= 339] Dispatch2: regfile 3 from 0 -[DEBUG][time= 339] Dispatch2: regfile 4 from 0 -[DEBUG][time= 339] Dispatch2: regfile 5 from 0 -[DEBUG][time= 339] Dispatch2: regfile 6 from 0 -[DEBUG][time= 339] Dispatch2: regfile 7 from 0 -[DEBUG][time= 339] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 339] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 339] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 339] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 339] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 339] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 339] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 339] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 339] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 339] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 339] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 339] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 339] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 339] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 339] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 339] Roq: CSR block should only happen in s_idle -[DEBUG][time= 339] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 339] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 339] Roq: -------------------------------- -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 339] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 339] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 339] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 339] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 339] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 339] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 339] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 339] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 339] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 339] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 339] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 339] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 339] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 339] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 339] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 339] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 339] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 339] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 339] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 339] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 339] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 339] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 339] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 339] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 339] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 339] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 339] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 339] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 339] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 339] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 339] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 339] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 339] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 339] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 339] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 339] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 339] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 339] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 339] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 339] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 339] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 339] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 339] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 339] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 339] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 339] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 339] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 339] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 339] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 339] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 339] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 339] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 339] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 339] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 339] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 339] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 339] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 339] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 339] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 339] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 339] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 339] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 339] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 339] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 339] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 339] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 339] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 339] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 339] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 339] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 339] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 339] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 339] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 339] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 339] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 339] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 339] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 339] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 339] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 339] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 339] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 339] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 339] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 339] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 339] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 339] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 339] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 339] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 339] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 339] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 339] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 339] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 339] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 339] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 339] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 339] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 339] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 339] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 339] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 339] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 339] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 339] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 339] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 339] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 339] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 339] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 339] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 339] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 339] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 339] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 339] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 339] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 339] Brq: ---------------- -[DEBUG][time= 339] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 339] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 339] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 339] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 339] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 339] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 340] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 340] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 340] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 340] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 340] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 340] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 340] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 340] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 340] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 340] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 340] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 340] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 340] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 340] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 340] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 340] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 340] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 340] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 340] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 340] BPUStage3: flushS3=0 -[DEBUG][time= 340] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 340] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 340] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 340] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 340] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 340] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 340] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 340] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 340] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 340] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 340] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 340] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 340] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 340] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 340] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 340] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 340] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 340] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 340] Dispatch2: regfile 0 from 3 -[DEBUG][time= 340] Dispatch2: regfile 1 from 3 -[DEBUG][time= 340] Dispatch2: regfile 2 from 0 -[DEBUG][time= 340] Dispatch2: regfile 3 from 0 -[DEBUG][time= 340] Dispatch2: regfile 4 from 0 -[DEBUG][time= 340] Dispatch2: regfile 5 from 0 -[DEBUG][time= 340] Dispatch2: regfile 6 from 0 -[DEBUG][time= 340] Dispatch2: regfile 7 from 0 -[DEBUG][time= 340] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 340] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 340] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 340] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 340] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 340] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 340] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 340] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 340] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 340] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 340] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 340] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 340] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 340] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 340] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 340] Roq: CSR block should only happen in s_idle -[DEBUG][time= 340] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 340] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 340] Roq: -------------------------------- -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 340] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 340] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 340] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 340] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 340] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 340] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 340] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 340] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 340] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 340] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 340] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 340] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 340] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 340] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 340] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 340] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 340] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 340] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 340] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 340] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 340] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 340] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 340] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 340] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 340] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 340] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 340] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 340] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 340] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 340] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 340] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 340] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 340] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 340] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 340] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 340] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 340] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 340] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 340] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 340] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 340] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 340] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 340] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 340] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 340] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 340] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 340] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 340] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 340] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 340] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 340] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 340] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 340] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 340] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 340] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 340] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 340] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 340] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 340] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 340] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 340] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 340] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 340] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 340] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 340] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 340] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 340] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 340] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 340] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 340] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 340] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 340] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 340] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 340] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 340] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 340] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 340] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 340] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 340] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 340] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 340] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 340] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 340] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 340] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 340] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 340] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 340] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 340] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 340] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 340] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 340] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 340] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 340] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 340] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 340] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 340] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 340] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 340] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 340] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 340] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 340] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 340] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 340] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 340] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 340] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 340] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 340] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 340] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 340] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 340] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 340] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 340] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 340] Brq: ---------------- -[DEBUG][time= 340] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 340] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 340] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 340] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 340] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 340] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 341] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 341] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 341] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 341] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 341] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 341] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 341] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 341] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 341] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 341] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 341] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 341] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 341] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 341] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 341] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 341] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 341] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 341] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 341] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 341] BPUStage3: flushS3=0 -[DEBUG][time= 341] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 341] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 341] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 341] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 341] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 341] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 341] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 341] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 341] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 341] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 341] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 341] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 341] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 341] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 341] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 341] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 341] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 341] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 341] Dispatch2: regfile 0 from 3 -[DEBUG][time= 341] Dispatch2: regfile 1 from 3 -[DEBUG][time= 341] Dispatch2: regfile 2 from 0 -[DEBUG][time= 341] Dispatch2: regfile 3 from 0 -[DEBUG][time= 341] Dispatch2: regfile 4 from 0 -[DEBUG][time= 341] Dispatch2: regfile 5 from 0 -[DEBUG][time= 341] Dispatch2: regfile 6 from 0 -[DEBUG][time= 341] Dispatch2: regfile 7 from 0 -[DEBUG][time= 341] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 341] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 341] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 341] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 341] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 341] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 341] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 341] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 341] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 341] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 341] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 341] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 341] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 341] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 341] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 341] Roq: CSR block should only happen in s_idle -[DEBUG][time= 341] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 341] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 341] Roq: -------------------------------- -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 341] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 341] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 341] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 341] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 341] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 341] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 341] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 341] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 341] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 341] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 341] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 341] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 341] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 341] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 341] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 341] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 341] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 341] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 341] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 341] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 341] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 341] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 341] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 341] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 341] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 341] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 341] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 341] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 341] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 341] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 341] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 341] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 341] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 341] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 341] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 341] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 341] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 341] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 341] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 341] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 341] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 341] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 341] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 341] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 341] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 341] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 341] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 341] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 341] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 341] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 341] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 341] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 341] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 341] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 341] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 341] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 341] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 341] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 341] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 341] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 341] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 341] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 341] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 341] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 341] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 341] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 341] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 341] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 341] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 341] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 341] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 341] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 341] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 341] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 341] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 341] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 341] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 341] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 341] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 341] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 341] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 341] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 341] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 341] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 341] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 341] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 341] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 341] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 341] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 341] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 341] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 341] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 341] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 341] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 341] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 341] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 341] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 341] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 341] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 341] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 341] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 341] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 341] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 341] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 341] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 341] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 341] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 341] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 341] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 341] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 341] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 341] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 341] Brq: ---------------- -[DEBUG][time= 341] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 341] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 341] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 341] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 341] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 341] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 342] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 342] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 342] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 342] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 342] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 342] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 342] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 342] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 342] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 342] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 342] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 342] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 342] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 342] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 342] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 342] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 342] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 342] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 342] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 342] BPUStage3: flushS3=0 -[DEBUG][time= 342] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 342] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 342] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 342] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 342] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 342] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 342] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 342] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 342] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 342] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 342] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 342] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 342] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 342] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 342] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 342] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 342] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 342] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 342] Dispatch2: regfile 0 from 3 -[DEBUG][time= 342] Dispatch2: regfile 1 from 3 -[DEBUG][time= 342] Dispatch2: regfile 2 from 0 -[DEBUG][time= 342] Dispatch2: regfile 3 from 0 -[DEBUG][time= 342] Dispatch2: regfile 4 from 0 -[DEBUG][time= 342] Dispatch2: regfile 5 from 0 -[DEBUG][time= 342] Dispatch2: regfile 6 from 0 -[DEBUG][time= 342] Dispatch2: regfile 7 from 0 -[DEBUG][time= 342] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 342] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 342] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 342] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 342] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 342] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 342] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 342] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 342] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 342] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 342] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 342] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 342] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 342] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 342] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 342] Roq: CSR block should only happen in s_idle -[DEBUG][time= 342] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 342] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 342] Roq: -------------------------------- -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 342] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 342] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 342] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 342] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 342] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 342] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 342] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 342] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 342] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 342] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 342] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 342] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 342] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 342] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 342] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 342] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 342] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 342] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 342] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 342] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 342] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 342] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 342] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 342] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 342] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 342] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 342] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 342] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 342] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 342] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 342] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 342] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 342] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 342] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 342] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 342] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 342] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 342] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 342] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 342] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 342] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 342] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 342] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 342] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 342] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 342] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 342] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 342] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 342] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 342] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 342] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 342] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 342] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 342] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 342] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 342] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 342] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 342] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 342] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 342] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 342] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 342] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 342] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 342] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 342] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 342] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 342] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 342] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 342] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 342] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 342] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 342] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 342] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 342] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 342] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 342] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 342] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 342] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 342] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 342] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 342] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 342] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 342] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 342] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 342] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 342] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 342] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 342] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 342] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 342] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 342] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 342] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 342] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 342] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 342] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 342] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 342] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 342] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 342] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 342] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 342] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 342] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 342] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 342] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 342] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 342] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 342] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 342] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 342] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 342] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 342] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 342] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 342] Brq: ---------------- -[DEBUG][time= 342] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 342] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 342] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 342] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 342] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 342] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 343] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 343] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 343] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 343] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 343] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 343] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 343] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 343] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 343] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 343] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 343] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 343] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 343] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 343] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 343] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 343] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 343] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 343] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 343] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 343] BPUStage3: flushS3=0 -[DEBUG][time= 343] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 343] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 343] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 343] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 343] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 343] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 343] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 343] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 343] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 343] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 343] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 343] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 343] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 343] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 343] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 343] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 343] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 343] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 343] Dispatch2: regfile 0 from 3 -[DEBUG][time= 343] Dispatch2: regfile 1 from 3 -[DEBUG][time= 343] Dispatch2: regfile 2 from 0 -[DEBUG][time= 343] Dispatch2: regfile 3 from 0 -[DEBUG][time= 343] Dispatch2: regfile 4 from 0 -[DEBUG][time= 343] Dispatch2: regfile 5 from 0 -[DEBUG][time= 343] Dispatch2: regfile 6 from 0 -[DEBUG][time= 343] Dispatch2: regfile 7 from 0 -[DEBUG][time= 343] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 343] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 343] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 343] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 343] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 343] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 343] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 343] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 343] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 343] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 343] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 343] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 343] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 343] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 343] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 343] Roq: CSR block should only happen in s_idle -[DEBUG][time= 343] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 343] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 343] Roq: -------------------------------- -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 343] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 343] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 343] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 343] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 343] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 343] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 343] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 343] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 343] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 343] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 343] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 343] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 343] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 343] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 343] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 343] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 343] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 343] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 343] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 343] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 343] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 343] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 343] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 343] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 343] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 343] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 343] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 343] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 343] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 343] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 343] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 343] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 343] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 343] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 343] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 343] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 343] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 343] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 343] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 343] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 343] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 343] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 343] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 343] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 343] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 343] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 343] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 343] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 343] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 343] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 343] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 343] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 343] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 343] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 343] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 343] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 343] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 343] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 343] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 343] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 343] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 343] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 343] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 343] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 343] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 343] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 343] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 343] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 343] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 343] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 343] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 343] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 343] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 343] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 343] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 343] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 343] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 343] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 343] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 343] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 343] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 343] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 343] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 343] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 343] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 343] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 343] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 343] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 343] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 343] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 343] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 343] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 343] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 343] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 343] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 343] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 343] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 343] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 343] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 343] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 343] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 343] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 343] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 343] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 343] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 343] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 343] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 343] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 343] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 343] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 343] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 343] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 343] Brq: ---------------- -[DEBUG][time= 343] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 343] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 343] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 343] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 343] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 343] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 344] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 344] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 344] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 344] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 344] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 344] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 344] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 344] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 344] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 344] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 344] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 344] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 344] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 344] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 344] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 344] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 344] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 344] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 344] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 344] BPUStage3: flushS3=0 -[DEBUG][time= 344] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 344] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 344] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 344] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 344] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 344] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 344] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 344] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 344] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 344] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 344] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 344] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 344] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 344] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 344] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 344] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 344] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 344] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 344] Dispatch2: regfile 0 from 3 -[DEBUG][time= 344] Dispatch2: regfile 1 from 3 -[DEBUG][time= 344] Dispatch2: regfile 2 from 0 -[DEBUG][time= 344] Dispatch2: regfile 3 from 0 -[DEBUG][time= 344] Dispatch2: regfile 4 from 0 -[DEBUG][time= 344] Dispatch2: regfile 5 from 0 -[DEBUG][time= 344] Dispatch2: regfile 6 from 0 -[DEBUG][time= 344] Dispatch2: regfile 7 from 0 -[DEBUG][time= 344] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 344] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 344] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 344] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 344] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 344] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 344] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 344] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 344] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 344] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 344] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 344] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 344] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 344] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 344] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 344] Roq: CSR block should only happen in s_idle -[DEBUG][time= 344] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 344] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 344] Roq: -------------------------------- -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 344] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 344] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 344] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 344] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 344] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 344] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 344] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 344] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 344] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 344] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 344] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 344] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 344] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 344] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 344] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 344] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 344] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 344] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 344] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 344] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 344] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 344] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 344] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 344] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 344] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 344] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 344] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 344] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 344] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 344] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 344] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 344] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 344] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 344] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 344] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 344] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 344] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 344] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 344] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 344] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 344] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 344] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 344] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 344] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 344] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 344] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 344] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 344] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 344] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 344] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 344] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 344] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 344] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 344] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 344] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 344] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 344] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 344] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 344] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 344] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 344] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 344] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 344] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 344] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 344] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 344] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 344] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 344] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 344] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 344] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 344] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 344] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 344] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 344] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 344] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 344] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 344] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 344] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 344] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 344] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 344] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 344] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 344] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 344] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 344] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 344] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 344] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 344] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 344] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 344] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 344] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 344] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 344] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 344] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 344] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 344] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 344] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 344] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 344] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 344] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 344] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 344] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 344] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 344] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 344] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 344] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 344] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 344] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 344] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 344] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 344] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 344] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 344] Brq: ---------------- -[DEBUG][time= 344] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 344] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 344] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 344] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 344] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 344] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 345] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 345] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 345] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 345] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 345] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 345] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 345] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 345] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 345] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 345] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 345] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 345] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 345] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 345] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 345] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 345] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 345] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 345] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 345] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 345] BPUStage3: flushS3=0 -[DEBUG][time= 345] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 345] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 345] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 345] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 345] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 345] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 345] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 345] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 345] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 345] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 345] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 345] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 345] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 345] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 345] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 345] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 345] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 345] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 345] Dispatch2: regfile 0 from 3 -[DEBUG][time= 345] Dispatch2: regfile 1 from 3 -[DEBUG][time= 345] Dispatch2: regfile 2 from 0 -[DEBUG][time= 345] Dispatch2: regfile 3 from 0 -[DEBUG][time= 345] Dispatch2: regfile 4 from 0 -[DEBUG][time= 345] Dispatch2: regfile 5 from 0 -[DEBUG][time= 345] Dispatch2: regfile 6 from 0 -[DEBUG][time= 345] Dispatch2: regfile 7 from 0 -[DEBUG][time= 345] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 345] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 345] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 345] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 345] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 345] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 345] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 345] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 345] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 345] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 345] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 345] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 345] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 345] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 345] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 345] Roq: CSR block should only happen in s_idle -[DEBUG][time= 345] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 345] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 345] Roq: -------------------------------- -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 345] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 345] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 345] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 345] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 345] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 345] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 345] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 345] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 345] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 345] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 345] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 345] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 345] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 345] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 345] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 345] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 345] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 345] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 345] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 345] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 345] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 345] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 345] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 345] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 345] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 345] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 345] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 345] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 345] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 345] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 345] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 345] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 345] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 345] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 345] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 345] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 345] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 345] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 345] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 345] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 345] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 345] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 345] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 345] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 345] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 345] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 345] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 345] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 345] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 345] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 345] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 345] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 345] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 345] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 345] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 345] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 345] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 345] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 345] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 345] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 345] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 345] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 345] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 345] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 345] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 345] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 345] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 345] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 345] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 345] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 345] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 345] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 345] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 345] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 345] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 345] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 345] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 345] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 345] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 345] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 345] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 345] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 345] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 345] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 345] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 345] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 345] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 345] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 345] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 345] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 345] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 345] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 345] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 345] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 345] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 345] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 345] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 345] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 345] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 345] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 345] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 345] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 345] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 345] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 345] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 345] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 345] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 345] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 345] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 345] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 345] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 345] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 345] Brq: ---------------- -[DEBUG][time= 345] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 345] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 345] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 345] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 345] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 345] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 346] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 346] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 346] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 346] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 346] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 346] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 346] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 346] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 346] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 346] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 346] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 346] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 346] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 346] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 346] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 346] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 346] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 346] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 346] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 346] BPUStage3: flushS3=0 -[DEBUG][time= 346] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 346] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 346] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 346] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 346] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 346] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 346] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 346] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 346] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 346] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 346] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 346] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 346] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 346] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 346] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 346] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 346] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 346] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 346] Dispatch2: regfile 0 from 3 -[DEBUG][time= 346] Dispatch2: regfile 1 from 3 -[DEBUG][time= 346] Dispatch2: regfile 2 from 0 -[DEBUG][time= 346] Dispatch2: regfile 3 from 0 -[DEBUG][time= 346] Dispatch2: regfile 4 from 0 -[DEBUG][time= 346] Dispatch2: regfile 5 from 0 -[DEBUG][time= 346] Dispatch2: regfile 6 from 0 -[DEBUG][time= 346] Dispatch2: regfile 7 from 0 -[DEBUG][time= 346] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 346] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 346] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 346] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 346] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 346] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 346] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 346] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 346] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 346] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 346] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 346] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 346] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 346] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 346] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 346] Roq: CSR block should only happen in s_idle -[DEBUG][time= 346] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 346] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 346] Roq: -------------------------------- -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 346] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 346] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 346] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 346] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 346] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 346] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 346] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 346] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 346] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 346] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 346] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 346] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 346] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 346] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 346] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 346] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 346] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 346] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 346] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 346] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 346] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 346] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 346] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 346] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 346] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 346] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 346] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 346] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 346] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 346] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 346] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 346] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 346] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 346] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 346] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 346] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 346] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 346] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 346] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 346] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 346] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 346] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 346] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 346] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 346] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 346] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 346] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 346] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 346] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 346] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 346] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 346] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 346] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 346] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 346] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 346] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 346] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 346] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 346] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 346] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 346] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 346] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 346] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 346] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 346] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 346] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 346] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 346] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 346] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 346] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 346] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 346] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 346] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 346] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 346] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 346] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 346] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 346] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 346] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 346] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 346] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 346] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 346] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 346] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 346] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 346] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 346] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 346] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 346] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 346] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 346] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 346] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 346] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 346] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 346] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 346] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 346] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 346] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 346] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 346] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 346] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 346] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 346] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 346] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 346] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 346] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 346] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 346] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 346] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 346] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 346] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 346] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 346] Brq: ---------------- -[DEBUG][time= 346] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 346] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 346] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 346] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 346] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 346] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 347] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 347] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 347] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 347] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 347] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 347] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 347] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 347] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 347] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 347] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 347] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 347] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 347] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 347] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 347] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 347] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 347] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 347] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 347] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 347] BPUStage3: flushS3=0 -[DEBUG][time= 347] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 347] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 347] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 347] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 347] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 347] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 347] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 347] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 347] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 347] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 347] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 347] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 347] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 347] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 347] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 347] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 347] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 347] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 347] Dispatch2: regfile 0 from 3 -[DEBUG][time= 347] Dispatch2: regfile 1 from 3 -[DEBUG][time= 347] Dispatch2: regfile 2 from 0 -[DEBUG][time= 347] Dispatch2: regfile 3 from 0 -[DEBUG][time= 347] Dispatch2: regfile 4 from 0 -[DEBUG][time= 347] Dispatch2: regfile 5 from 0 -[DEBUG][time= 347] Dispatch2: regfile 6 from 0 -[DEBUG][time= 347] Dispatch2: regfile 7 from 0 -[DEBUG][time= 347] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 347] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 347] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 347] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 347] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 347] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 347] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 347] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 347] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 347] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 347] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 347] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 347] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 347] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 347] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 347] Roq: CSR block should only happen in s_idle -[DEBUG][time= 347] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 347] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 347] Roq: -------------------------------- -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 347] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 347] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 347] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 347] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 347] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 347] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 347] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 347] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 347] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 347] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 347] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 347] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 347] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 347] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 347] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 347] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 347] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 347] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 347] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 347] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 347] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 347] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 347] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 347] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 347] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 347] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 347] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 347] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 347] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 347] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 347] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 347] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 347] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 347] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 347] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 347] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 347] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 347] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 347] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 347] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 347] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 347] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 347] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 347] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 347] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 347] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 347] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 347] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 347] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 347] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 347] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 347] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 347] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 347] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 347] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 347] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 347] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 347] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 347] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 347] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 347] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 347] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 347] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 347] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 347] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 347] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 347] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 347] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 347] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 347] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 347] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 347] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 347] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 347] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 347] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 347] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 347] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 347] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 347] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 347] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 347] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 347] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 347] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 347] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 347] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 347] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 347] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 347] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 347] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 347] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 347] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 347] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 347] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 347] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 347] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 347] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 347] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 347] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 347] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 347] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 347] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 347] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 347] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 347] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 347] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 347] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 347] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 347] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 347] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 347] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 347] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 347] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 347] Brq: ---------------- -[DEBUG][time= 347] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 347] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 347] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 347] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 347] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 347] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 348] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 348] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 348] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 348] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 348] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 348] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 348] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 348] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 348] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 348] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 348] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 348] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 348] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 348] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 348] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 348] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 348] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 348] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 348] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 348] BPUStage3: flushS3=0 -[DEBUG][time= 348] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 348] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 348] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 348] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 348] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 348] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 348] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 348] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 348] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 348] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 348] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 348] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 348] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 348] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 348] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 348] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 348] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 348] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 348] Dispatch2: regfile 0 from 3 -[DEBUG][time= 348] Dispatch2: regfile 1 from 3 -[DEBUG][time= 348] Dispatch2: regfile 2 from 0 -[DEBUG][time= 348] Dispatch2: regfile 3 from 0 -[DEBUG][time= 348] Dispatch2: regfile 4 from 0 -[DEBUG][time= 348] Dispatch2: regfile 5 from 0 -[DEBUG][time= 348] Dispatch2: regfile 6 from 0 -[DEBUG][time= 348] Dispatch2: regfile 7 from 0 -[DEBUG][time= 348] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 348] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 348] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 348] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 348] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 348] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 348] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 348] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 348] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 348] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 348] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 348] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 348] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 348] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 348] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 348] Roq: CSR block should only happen in s_idle -[DEBUG][time= 348] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 348] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 348] Roq: -------------------------------- -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 348] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 348] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 348] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 348] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 348] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 348] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 348] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 348] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 348] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 348] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 348] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 348] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 348] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 348] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 348] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 348] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 348] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 348] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 348] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 348] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 348] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 348] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 348] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 348] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 348] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 348] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 348] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 348] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 348] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 348] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 348] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 348] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 348] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 348] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 348] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 348] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 348] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 348] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 348] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 348] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 348] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 348] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 348] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 348] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 348] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 348] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 348] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 348] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 348] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 348] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 348] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 348] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 348] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 348] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 348] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 348] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 348] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 348] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 348] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 348] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 348] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 348] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 348] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 348] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 348] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 348] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 348] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 348] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 348] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 348] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 348] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 348] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 348] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 348] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 348] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 348] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 348] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 348] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 348] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 348] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 348] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 348] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 348] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 348] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 348] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 348] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 348] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 348] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 348] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 348] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 348] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 348] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 348] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 348] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 348] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 348] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 348] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 348] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 348] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 348] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 348] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 348] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 348] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 348] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 348] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 348] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 348] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 348] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 348] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 348] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 348] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 348] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 348] Brq: ---------------- -[DEBUG][time= 348] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 348] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 348] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 348] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 348] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 348] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 349] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 349] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 349] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 349] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 349] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 349] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 349] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 349] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 349] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 349] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 349] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 349] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 349] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 349] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 349] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 349] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 349] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 349] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 349] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 349] BPUStage3: flushS3=0 -[DEBUG][time= 349] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 349] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 349] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 349] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 349] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 349] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 349] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 349] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 349] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 349] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 349] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 349] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 349] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 349] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 349] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 349] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 349] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 349] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 349] Dispatch2: regfile 0 from 3 -[DEBUG][time= 349] Dispatch2: regfile 1 from 3 -[DEBUG][time= 349] Dispatch2: regfile 2 from 0 -[DEBUG][time= 349] Dispatch2: regfile 3 from 0 -[DEBUG][time= 349] Dispatch2: regfile 4 from 0 -[DEBUG][time= 349] Dispatch2: regfile 5 from 0 -[DEBUG][time= 349] Dispatch2: regfile 6 from 0 -[DEBUG][time= 349] Dispatch2: regfile 7 from 0 -[DEBUG][time= 349] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 349] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 349] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 349] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 349] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 349] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 349] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 349] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 349] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 349] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 349] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 349] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 349] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 349] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 349] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 349] Roq: CSR block should only happen in s_idle -[DEBUG][time= 349] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 349] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 349] Roq: -------------------------------- -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 349] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 349] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 349] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 349] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 349] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 349] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 349] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 349] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 349] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 349] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 349] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 349] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 349] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 349] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 349] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 349] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 349] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 349] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 349] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 349] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 349] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 349] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 349] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 349] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 349] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 349] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 349] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 349] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 349] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 349] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 349] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 349] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 349] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 349] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 349] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 349] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 349] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 349] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 349] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 349] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 349] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 349] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 349] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 349] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 349] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 349] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 349] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 349] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 349] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 349] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 349] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 349] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 349] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 349] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 349] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 349] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 349] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 349] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 349] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 349] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 349] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 349] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 349] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 349] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 349] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 349] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 349] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 349] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 349] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 349] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 349] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 349] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 349] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 349] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 349] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 349] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 349] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 349] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 349] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 349] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 349] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 349] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 349] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 349] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 349] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 349] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 349] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 349] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 349] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 349] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 349] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 349] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 349] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 349] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 349] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 349] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 349] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 349] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 349] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 349] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 349] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 349] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 349] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 349] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 349] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 349] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 349] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 349] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 349] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 349] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 349] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 349] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 349] Brq: ---------------- -[DEBUG][time= 349] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 349] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 349] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 349] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 349] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 349] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 350] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 350] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 350] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 350] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 350] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 350] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 350] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 350] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 350] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 350] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 350] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 350] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 350] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 350] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 350] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 350] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 350] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 350] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 350] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 350] BPUStage3: flushS3=0 -[DEBUG][time= 350] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 350] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 350] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 350] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 350] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 350] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 350] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 350] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 350] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 350] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 350] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 350] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 350] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 350] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 350] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 350] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 350] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 350] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 350] Dispatch2: regfile 0 from 3 -[DEBUG][time= 350] Dispatch2: regfile 1 from 3 -[DEBUG][time= 350] Dispatch2: regfile 2 from 0 -[DEBUG][time= 350] Dispatch2: regfile 3 from 0 -[DEBUG][time= 350] Dispatch2: regfile 4 from 0 -[DEBUG][time= 350] Dispatch2: regfile 5 from 0 -[DEBUG][time= 350] Dispatch2: regfile 6 from 0 -[DEBUG][time= 350] Dispatch2: regfile 7 from 0 -[DEBUG][time= 350] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 350] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 350] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 350] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 350] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 350] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 350] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 350] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 350] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 350] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 350] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 350] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 350] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 350] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 350] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 350] Roq: CSR block should only happen in s_idle -[DEBUG][time= 350] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 350] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 350] Roq: -------------------------------- -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 350] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 350] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 350] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 350] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 350] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 350] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 350] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 350] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 350] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 350] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 350] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 350] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 350] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 350] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 350] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 350] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 350] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 350] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 350] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 350] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 350] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 350] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 350] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 350] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 350] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 350] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 350] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 350] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 350] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 350] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 350] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 350] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 350] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 350] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 350] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 350] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 350] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 350] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 350] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 350] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 350] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 350] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 350] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 350] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 350] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 350] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 350] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 350] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 350] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 350] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 350] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 350] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 350] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 350] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 350] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 350] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 350] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 350] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 350] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 350] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 350] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 350] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 350] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 350] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 350] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 350] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 350] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 350] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 350] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 350] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 350] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 350] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 350] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 350] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 350] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 350] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 350] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 350] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 350] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 350] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 350] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 350] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 350] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 350] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 350] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 350] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 350] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 350] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 350] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 350] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 350] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 350] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 350] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 350] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 350] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 350] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 350] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 350] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 350] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 350] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 350] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 350] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 350] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 350] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 350] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 350] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 350] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 350] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 350] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 350] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 350] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 350] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 350] Brq: ---------------- -[DEBUG][time= 350] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 350] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 350] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 350] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 350] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 350] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 351] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 351] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 351] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 351] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 351] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 351] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 351] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 351] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 351] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 351] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 351] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 351] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 351] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 351] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 351] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 351] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 351] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 351] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 351] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 351] BPUStage3: flushS3=0 -[DEBUG][time= 351] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 351] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 351] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 351] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 351] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 351] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 351] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 351] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 351] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 351] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 351] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 351] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 351] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 351] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 351] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 351] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 351] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 351] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 351] Dispatch2: regfile 0 from 3 -[DEBUG][time= 351] Dispatch2: regfile 1 from 3 -[DEBUG][time= 351] Dispatch2: regfile 2 from 0 -[DEBUG][time= 351] Dispatch2: regfile 3 from 0 -[DEBUG][time= 351] Dispatch2: regfile 4 from 0 -[DEBUG][time= 351] Dispatch2: regfile 5 from 0 -[DEBUG][time= 351] Dispatch2: regfile 6 from 0 -[DEBUG][time= 351] Dispatch2: regfile 7 from 0 -[DEBUG][time= 351] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 351] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 351] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 351] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 351] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 351] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 351] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 351] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 351] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 351] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 351] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 351] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 351] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 351] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 351] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 351] Roq: CSR block should only happen in s_idle -[DEBUG][time= 351] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 351] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 351] Roq: -------------------------------- -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 351] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 351] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 351] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 351] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 351] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 351] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 351] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 351] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 351] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 351] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 351] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 351] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 351] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 351] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 351] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 351] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 351] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 351] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 351] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 351] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 351] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 351] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 351] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 351] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 351] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 351] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 351] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 351] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 351] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 351] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 351] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 351] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 351] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 351] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 351] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 351] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 351] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 351] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 351] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 351] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 351] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 351] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 351] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 351] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 351] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 351] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 351] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 351] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 351] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 351] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 351] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 351] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 351] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 351] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 351] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 351] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 351] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 351] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 351] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 351] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 351] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 351] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 351] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 351] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 351] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 351] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 351] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 351] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 351] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 351] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 351] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 351] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 351] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 351] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 351] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 351] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 351] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 351] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 351] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 351] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 351] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 351] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 351] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 351] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 351] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 351] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 351] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 351] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 351] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 351] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 351] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 351] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 351] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 351] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 351] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 351] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 351] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 351] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 351] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 351] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 351] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 351] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 351] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 351] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 351] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 351] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 351] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 351] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 351] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 351] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 351] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 351] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 351] Brq: ---------------- -[DEBUG][time= 351] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 351] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 351] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 351] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 351] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 351] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 352] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 352] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 352] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 352] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 352] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 352] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 352] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 352] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 352] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 352] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 352] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 352] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 352] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 352] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 352] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 352] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 352] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 352] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 352] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 352] BPUStage3: flushS3=0 -[DEBUG][time= 352] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 352] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 352] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 352] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 352] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 352] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 352] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 352] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 352] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 352] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 352] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 352] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 352] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 352] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 352] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 352] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 352] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 352] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 352] Dispatch2: regfile 0 from 3 -[DEBUG][time= 352] Dispatch2: regfile 1 from 3 -[DEBUG][time= 352] Dispatch2: regfile 2 from 0 -[DEBUG][time= 352] Dispatch2: regfile 3 from 0 -[DEBUG][time= 352] Dispatch2: regfile 4 from 0 -[DEBUG][time= 352] Dispatch2: regfile 5 from 0 -[DEBUG][time= 352] Dispatch2: regfile 6 from 0 -[DEBUG][time= 352] Dispatch2: regfile 7 from 0 -[DEBUG][time= 352] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 352] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 352] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 352] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 352] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 352] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 352] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 352] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 352] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 352] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 352] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 352] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 352] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 352] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 352] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 352] Roq: CSR block should only happen in s_idle -[DEBUG][time= 352] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 352] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 352] Roq: -------------------------------- -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 352] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 352] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 352] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 352] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 352] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 352] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 352] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 352] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 352] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 352] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 352] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 352] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 352] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 352] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 352] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 352] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 352] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 352] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 352] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 352] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 352] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 352] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 352] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 352] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 352] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 352] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 352] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 352] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 352] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 352] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 352] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 352] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 352] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 352] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 352] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 352] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 352] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 352] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 352] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 352] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 352] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 352] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 352] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 352] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 352] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 352] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 352] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 352] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 352] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 352] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 352] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 352] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 352] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 352] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 352] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 352] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 352] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 352] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 352] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 352] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 352] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 352] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 352] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 352] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 352] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 352] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 352] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 352] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 352] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 352] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 352] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 352] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 352] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 352] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 352] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 352] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 352] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 352] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 352] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 352] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 352] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 352] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 352] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 352] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 352] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 352] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 352] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 352] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 352] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 352] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 352] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 352] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 352] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 352] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 352] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 352] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 352] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 352] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 352] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 352] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 352] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 352] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 352] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 352] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 352] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 352] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 352] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 352] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 352] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 352] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 352] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 352] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 352] Brq: ---------------- -[DEBUG][time= 352] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 352] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 352] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 352] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 352] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 352] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 353] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 353] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 353] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 353] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 353] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 353] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 353] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 353] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 353] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 353] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 353] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 353] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 353] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 353] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 353] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 353] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 353] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 353] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 353] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 353] BPUStage3: flushS3=0 -[DEBUG][time= 353] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 353] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 353] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 353] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 353] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 353] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 353] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 353] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 353] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 353] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 353] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 353] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 353] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 353] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 353] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 353] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 353] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 353] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 353] Dispatch2: regfile 0 from 3 -[DEBUG][time= 353] Dispatch2: regfile 1 from 3 -[DEBUG][time= 353] Dispatch2: regfile 2 from 0 -[DEBUG][time= 353] Dispatch2: regfile 3 from 0 -[DEBUG][time= 353] Dispatch2: regfile 4 from 0 -[DEBUG][time= 353] Dispatch2: regfile 5 from 0 -[DEBUG][time= 353] Dispatch2: regfile 6 from 0 -[DEBUG][time= 353] Dispatch2: regfile 7 from 0 -[DEBUG][time= 353] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 353] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 353] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 353] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 353] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 353] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 353] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 353] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 353] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 353] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 353] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 353] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 353] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 353] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 353] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 353] Roq: CSR block should only happen in s_idle -[DEBUG][time= 353] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 353] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 353] Roq: -------------------------------- -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 353] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 353] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 353] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 353] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 353] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 353] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 353] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 353] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 353] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 353] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 353] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 353] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 353] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 353] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 353] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 353] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 353] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 353] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 353] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 353] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 353] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 353] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 353] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 353] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 353] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 353] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 353] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 353] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 353] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 353] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 353] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 353] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 353] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 353] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 353] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 353] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 353] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 353] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 353] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 353] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 353] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 353] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 353] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 353] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 353] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 353] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 353] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 353] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 353] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 353] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 353] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 353] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 353] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 353] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 353] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 353] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 353] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 353] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 353] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 353] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 353] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 353] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 353] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 353] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 353] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 353] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 353] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 353] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 353] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 353] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 353] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 353] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 353] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 353] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 353] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 353] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 353] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 353] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 353] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 353] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 353] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 353] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 353] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 353] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 353] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 353] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 353] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 353] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 353] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 353] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 353] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 353] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 353] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 353] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 353] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 353] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 353] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 353] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 353] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 353] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 353] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 353] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 353] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 353] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 353] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 353] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 353] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 353] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 353] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 353] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 353] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 353] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 353] Brq: ---------------- -[DEBUG][time= 353] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 353] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 353] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 353] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 353] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 353] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 354] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 354] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 354] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 354] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 354] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 354] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 354] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 354] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 354] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 354] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 354] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 354] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 354] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 354] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 354] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 354] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 354] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 354] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 354] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 354] BPUStage3: flushS3=0 -[DEBUG][time= 354] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 354] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 354] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 354] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 354] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 354] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 354] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 354] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 354] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 354] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 354] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 354] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 354] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 354] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 354] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 354] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 354] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 354] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 354] Dispatch2: regfile 0 from 3 -[DEBUG][time= 354] Dispatch2: regfile 1 from 3 -[DEBUG][time= 354] Dispatch2: regfile 2 from 0 -[DEBUG][time= 354] Dispatch2: regfile 3 from 0 -[DEBUG][time= 354] Dispatch2: regfile 4 from 0 -[DEBUG][time= 354] Dispatch2: regfile 5 from 0 -[DEBUG][time= 354] Dispatch2: regfile 6 from 0 -[DEBUG][time= 354] Dispatch2: regfile 7 from 0 -[DEBUG][time= 354] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 354] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 354] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 354] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 354] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 354] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 354] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 354] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 354] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 354] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 354] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 354] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 354] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 354] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 354] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 354] Roq: CSR block should only happen in s_idle -[DEBUG][time= 354] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 354] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 354] Roq: -------------------------------- -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 354] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 354] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 354] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 354] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 354] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 354] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 354] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 354] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 354] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 354] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 354] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 354] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 354] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 354] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 354] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 354] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 354] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 354] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 354] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 354] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 354] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 354] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 354] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 354] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 354] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 354] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 354] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 354] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 354] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 354] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 354] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 354] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 354] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 354] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 354] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 354] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 354] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 354] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 354] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 354] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 354] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 354] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 354] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 354] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 354] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 354] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 354] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 354] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 354] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 354] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 354] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 354] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 354] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 354] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 354] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 354] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 354] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 354] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 354] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 354] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 354] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 354] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 354] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 354] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 354] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 354] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 354] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 354] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 354] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 354] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 354] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 354] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 354] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 354] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 354] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 354] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 354] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 354] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 354] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 354] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 354] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 354] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 354] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 354] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 354] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 354] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 354] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 354] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 354] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 354] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 354] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 354] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 354] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 354] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 354] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 354] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 354] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 354] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 354] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 354] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 354] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 354] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 354] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 354] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 354] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 354] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 354] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 354] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 354] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 354] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 354] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 354] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 354] Brq: ---------------- -[DEBUG][time= 354] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 354] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 354] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 354] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 354] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 354] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 355] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 355] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 355] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 355] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 355] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 355] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 355] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 355] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 355] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 355] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 355] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 355] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 355] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 355] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 355] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 355] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 355] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 355] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 355] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 355] BPUStage3: flushS3=0 -[DEBUG][time= 355] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 355] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 355] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 355] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 355] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 355] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 355] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 355] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 355] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 355] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 355] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 355] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 355] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 355] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 355] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 355] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 355] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 355] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 355] Dispatch2: regfile 0 from 3 -[DEBUG][time= 355] Dispatch2: regfile 1 from 3 -[DEBUG][time= 355] Dispatch2: regfile 2 from 0 -[DEBUG][time= 355] Dispatch2: regfile 3 from 0 -[DEBUG][time= 355] Dispatch2: regfile 4 from 0 -[DEBUG][time= 355] Dispatch2: regfile 5 from 0 -[DEBUG][time= 355] Dispatch2: regfile 6 from 0 -[DEBUG][time= 355] Dispatch2: regfile 7 from 0 -[DEBUG][time= 355] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 355] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 355] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 355] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 355] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 355] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 355] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 355] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 355] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 355] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 355] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 355] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 355] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 355] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 355] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 355] Roq: CSR block should only happen in s_idle -[DEBUG][time= 355] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 355] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 355] Roq: -------------------------------- -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 355] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 355] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 355] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 355] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 355] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 355] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 355] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 355] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 355] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 355] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 355] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 355] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 355] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 355] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 355] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 355] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 355] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 355] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 355] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 355] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 355] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 355] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 355] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 355] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 355] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 355] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 355] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 355] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 355] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 355] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 355] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 355] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 355] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 355] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 355] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 355] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 355] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 355] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 355] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 355] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 355] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 355] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 355] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 355] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 355] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 355] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 355] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 355] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 355] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 355] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 355] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 355] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 355] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 355] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 355] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 355] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 355] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 355] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 355] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 355] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 355] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 355] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 355] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 355] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 355] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 355] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 355] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 355] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 355] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 355] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 355] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 355] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 355] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 355] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 355] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 355] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 355] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 355] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 355] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 355] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 355] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 355] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 355] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 355] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 355] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 355] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 355] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 355] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 355] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 355] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 355] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 355] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 355] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 355] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 355] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 355] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 355] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 355] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 355] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 355] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 355] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 355] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 355] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 355] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 355] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 355] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 355] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 355] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 355] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 355] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 355] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 355] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 355] Brq: ---------------- -[DEBUG][time= 355] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 355] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 355] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 355] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 355] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 355] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 356] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 356] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 356] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 356] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 356] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 356] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 356] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 356] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 356] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 356] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 356] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 356] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 356] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 356] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 356] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 356] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 356] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 356] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 356] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 356] BPUStage3: flushS3=0 -[DEBUG][time= 356] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 356] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 356] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 356] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 356] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 356] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 356] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 356] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 356] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 356] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 356] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 356] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 356] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 356] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 356] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 356] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 356] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 356] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 356] Dispatch2: regfile 0 from 3 -[DEBUG][time= 356] Dispatch2: regfile 1 from 3 -[DEBUG][time= 356] Dispatch2: regfile 2 from 0 -[DEBUG][time= 356] Dispatch2: regfile 3 from 0 -[DEBUG][time= 356] Dispatch2: regfile 4 from 0 -[DEBUG][time= 356] Dispatch2: regfile 5 from 0 -[DEBUG][time= 356] Dispatch2: regfile 6 from 0 -[DEBUG][time= 356] Dispatch2: regfile 7 from 0 -[DEBUG][time= 356] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 356] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 356] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 356] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 356] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 356] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 356] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 356] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 356] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 356] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 356] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 356] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 356] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 356] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 356] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 356] Roq: CSR block should only happen in s_idle -[DEBUG][time= 356] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 356] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 356] Roq: -------------------------------- -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 356] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 356] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 356] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 356] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 356] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 356] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 356] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 356] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 356] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 356] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 356] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 356] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 356] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 356] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 356] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 356] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 356] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 356] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 356] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 356] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 356] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 356] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 356] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 356] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 356] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 356] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 356] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 356] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 356] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 356] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 356] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 356] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 356] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 356] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 356] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 356] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 356] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 356] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 356] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 356] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 356] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 356] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 356] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 356] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 356] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 356] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 356] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 356] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 356] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 356] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 356] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 356] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 356] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 356] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 356] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 356] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 356] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 356] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 356] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 356] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 356] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 356] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 356] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 356] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 356] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 356] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 356] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 356] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 356] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 356] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 356] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 356] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 356] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 356] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 356] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 356] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 356] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 356] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 356] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 356] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 356] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 356] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 356] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 356] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 356] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 356] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 356] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 356] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 356] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 356] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 356] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 356] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 356] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 356] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 356] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 356] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 356] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 356] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 356] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 356] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 356] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 356] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 356] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 356] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 356] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 356] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 356] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 356] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 356] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 356] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 356] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 356] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 356] Brq: ---------------- -[DEBUG][time= 356] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 356] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 356] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 356] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 356] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 356] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 357] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 357] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 357] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 357] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 357] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 357] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 357] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 357] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 357] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 357] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 357] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 357] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 357] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 357] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 357] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 357] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 357] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 357] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 357] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 357] BPUStage3: flushS3=0 -[DEBUG][time= 357] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 357] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 357] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 357] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 357] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 357] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 357] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 357] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 357] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 357] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 357] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 357] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 357] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 357] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 357] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 357] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 357] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 357] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 357] Dispatch2: regfile 0 from 3 -[DEBUG][time= 357] Dispatch2: regfile 1 from 3 -[DEBUG][time= 357] Dispatch2: regfile 2 from 0 -[DEBUG][time= 357] Dispatch2: regfile 3 from 0 -[DEBUG][time= 357] Dispatch2: regfile 4 from 0 -[DEBUG][time= 357] Dispatch2: regfile 5 from 0 -[DEBUG][time= 357] Dispatch2: regfile 6 from 0 -[DEBUG][time= 357] Dispatch2: regfile 7 from 0 -[DEBUG][time= 357] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 357] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 357] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 357] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 357] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 357] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 357] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 357] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 357] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 357] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 357] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 357] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 357] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 357] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 357] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 357] Roq: CSR block should only happen in s_idle -[DEBUG][time= 357] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 357] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 357] Roq: -------------------------------- -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 357] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 357] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 357] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 357] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 357] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 357] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 357] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 357] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 357] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 357] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 357] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 357] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 357] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 357] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 357] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 357] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 357] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 357] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 357] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 357] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 357] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 357] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 357] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 357] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 357] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 357] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 357] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 357] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 357] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 357] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 357] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 357] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 357] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 357] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 357] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 357] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 357] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 357] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 357] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 357] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 357] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 357] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 357] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 357] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 357] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 357] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 357] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 357] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 357] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 357] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 357] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 357] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 357] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 357] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 357] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 357] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 357] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 357] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 357] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 357] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 357] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 357] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 357] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 357] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 357] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 357] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 357] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 357] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 357] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 357] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 357] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 357] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 357] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 357] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 357] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 357] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 357] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 357] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 357] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 357] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 357] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 357] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 357] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 357] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 357] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 357] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 357] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 357] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 357] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 357] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 357] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 357] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 357] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 357] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 357] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 357] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 357] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 357] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 357] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 357] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 357] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 357] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 357] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 357] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 357] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 357] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 357] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 357] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 357] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 357] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 357] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 357] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 357] Brq: ---------------- -[DEBUG][time= 357] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 357] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 357] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 357] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 357] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 357] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 358] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 358] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 358] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 358] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 358] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 358] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 358] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 358] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 358] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 358] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 358] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 358] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 358] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 358] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 358] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 358] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 358] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 358] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 358] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 358] BPUStage3: flushS3=0 -[DEBUG][time= 358] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 358] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 358] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 358] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 358] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 358] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 358] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 358] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 358] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 358] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 358] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 358] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 358] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 358] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 358] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 358] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 358] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 358] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 358] Dispatch2: regfile 0 from 3 -[DEBUG][time= 358] Dispatch2: regfile 1 from 3 -[DEBUG][time= 358] Dispatch2: regfile 2 from 0 -[DEBUG][time= 358] Dispatch2: regfile 3 from 0 -[DEBUG][time= 358] Dispatch2: regfile 4 from 0 -[DEBUG][time= 358] Dispatch2: regfile 5 from 0 -[DEBUG][time= 358] Dispatch2: regfile 6 from 0 -[DEBUG][time= 358] Dispatch2: regfile 7 from 0 -[DEBUG][time= 358] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 358] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 358] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 358] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 358] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 358] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 358] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 358] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 358] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 358] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 358] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 358] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 358] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 358] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 358] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 358] Roq: CSR block should only happen in s_idle -[DEBUG][time= 358] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 358] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 358] Roq: -------------------------------- -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 358] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 358] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 358] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 358] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 358] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 358] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 358] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 358] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 358] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 358] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 358] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 358] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 358] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 358] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 358] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 358] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 358] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 358] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 358] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 358] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 358] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 358] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 358] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 358] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 358] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 358] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 358] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 358] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 358] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 358] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 358] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 358] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 358] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 358] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 358] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 358] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 358] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 358] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 358] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 358] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 358] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 358] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 358] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 358] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 358] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 358] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 358] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 358] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 358] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 358] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 358] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 358] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 358] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 358] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 358] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 358] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 358] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 358] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 358] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 358] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 358] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 358] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 358] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 358] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 358] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 358] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 358] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 358] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 358] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 358] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 358] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 358] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 358] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 358] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 358] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 358] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 358] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 358] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 358] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 358] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 358] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 358] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 358] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 358] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 358] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 358] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 358] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 358] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 358] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 358] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 358] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 358] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 358] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 358] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 358] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 358] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 358] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 358] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 358] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 358] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 358] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 358] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 358] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 358] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 358] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 358] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 358] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 358] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 358] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 358] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 358] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 358] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 358] Brq: ---------------- -[DEBUG][time= 358] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 358] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 358] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 358] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 358] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 358] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 359] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 359] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 359] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 359] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 359] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 359] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 359] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 359] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 359] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 359] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 359] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 359] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 359] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 359] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 359] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 359] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 359] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 359] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 359] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 359] BPUStage3: flushS3=0 -[DEBUG][time= 359] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 359] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 359] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 359] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 359] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 359] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 359] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 359] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 359] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 359] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 359] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 359] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 359] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 359] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 359] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 359] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 359] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 359] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 359] Dispatch2: regfile 0 from 3 -[DEBUG][time= 359] Dispatch2: regfile 1 from 3 -[DEBUG][time= 359] Dispatch2: regfile 2 from 0 -[DEBUG][time= 359] Dispatch2: regfile 3 from 0 -[DEBUG][time= 359] Dispatch2: regfile 4 from 0 -[DEBUG][time= 359] Dispatch2: regfile 5 from 0 -[DEBUG][time= 359] Dispatch2: regfile 6 from 0 -[DEBUG][time= 359] Dispatch2: regfile 7 from 0 -[DEBUG][time= 359] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 359] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 359] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 359] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 359] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 359] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 359] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 359] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 359] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 359] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 359] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 359] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 359] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 359] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 359] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 359] Roq: CSR block should only happen in s_idle -[DEBUG][time= 359] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 359] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 359] Roq: -------------------------------- -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 359] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 359] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 359] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 359] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 359] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 359] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 359] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 359] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 359] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 359] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 359] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 359] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 359] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 359] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 359] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 359] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 359] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 359] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 359] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 359] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 359] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 359] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 359] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 359] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 359] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 359] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 359] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 359] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 359] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 359] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 359] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 359] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 359] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 359] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 359] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 359] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 359] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 359] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 359] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 359] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 359] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 359] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 359] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 359] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 359] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 359] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 359] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 359] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 359] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 359] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 359] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 359] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 359] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 359] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 359] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 359] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 359] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 359] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 359] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 359] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 359] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 359] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 359] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 359] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 359] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 359] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 359] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 359] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 359] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 359] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 359] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 359] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 359] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 359] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 359] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 359] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 359] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 359] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 359] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 359] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 359] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 359] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 359] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 359] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 359] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 359] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 359] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 359] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 359] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 359] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 359] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 359] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 359] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 359] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 359] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 359] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 359] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 359] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 359] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 359] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 359] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 359] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 359] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 359] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 359] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 359] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 359] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 359] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 359] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 359] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 359] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 359] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 359] Brq: ---------------- -[DEBUG][time= 359] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 359] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 359] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 359] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 359] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 359] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 360] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 360] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 360] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 360] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 360] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 360] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 360] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 360] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 360] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 360] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 360] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 360] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 360] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 360] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 360] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 360] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 360] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 360] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 360] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 360] BPUStage3: flushS3=0 -[DEBUG][time= 360] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 360] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 360] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 360] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 360] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 360] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 360] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 360] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 360] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 360] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 360] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 360] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 360] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 360] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 360] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 360] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 360] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 360] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 360] Dispatch2: regfile 0 from 3 -[DEBUG][time= 360] Dispatch2: regfile 1 from 3 -[DEBUG][time= 360] Dispatch2: regfile 2 from 0 -[DEBUG][time= 360] Dispatch2: regfile 3 from 0 -[DEBUG][time= 360] Dispatch2: regfile 4 from 0 -[DEBUG][time= 360] Dispatch2: regfile 5 from 0 -[DEBUG][time= 360] Dispatch2: regfile 6 from 0 -[DEBUG][time= 360] Dispatch2: regfile 7 from 0 -[DEBUG][time= 360] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 360] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 360] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 360] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 360] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 360] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 360] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 360] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 360] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 360] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 360] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 360] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 360] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 360] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 360] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 360] Roq: CSR block should only happen in s_idle -[DEBUG][time= 360] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 360] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 360] Roq: -------------------------------- -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 360] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 360] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 360] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 360] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 360] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 360] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 360] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 360] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 360] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 360] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 360] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 360] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 360] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 360] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 360] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 360] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 360] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 360] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 360] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 360] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 360] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 360] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 360] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 360] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 360] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 360] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 360] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 360] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 360] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 360] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 360] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 360] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 360] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 360] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 360] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 360] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 360] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 360] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 360] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 360] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 360] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 360] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 360] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 360] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 360] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 360] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 360] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 360] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 360] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 360] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 360] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 360] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 360] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 360] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 360] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 360] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 360] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 360] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 360] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 360] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 360] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 360] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 360] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 360] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 360] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 360] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 360] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 360] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 360] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 360] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 360] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 360] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 360] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 360] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 360] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 360] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 360] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 360] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 360] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 360] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 360] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 360] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 360] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 360] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 360] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 360] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 360] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 360] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 360] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 360] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 360] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 360] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 360] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 360] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 360] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 360] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 360] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 360] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 360] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 360] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 360] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 360] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 360] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 360] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 360] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 360] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 360] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 360] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 360] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 360] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 360] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 360] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 360] Brq: ---------------- -[DEBUG][time= 360] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 360] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 360] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 360] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 360] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 360] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 361] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 361] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 361] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 361] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 361] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 361] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 361] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 361] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 361] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 361] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 361] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 361] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 361] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 361] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 361] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 361] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 361] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 361] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 361] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 361] BPUStage3: flushS3=0 -[DEBUG][time= 361] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 361] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 361] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 361] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 361] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 361] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 361] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 361] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 361] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 361] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 361] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 361] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 361] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 361] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 361] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 361] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 361] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 361] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 361] Dispatch2: regfile 0 from 3 -[DEBUG][time= 361] Dispatch2: regfile 1 from 3 -[DEBUG][time= 361] Dispatch2: regfile 2 from 0 -[DEBUG][time= 361] Dispatch2: regfile 3 from 0 -[DEBUG][time= 361] Dispatch2: regfile 4 from 0 -[DEBUG][time= 361] Dispatch2: regfile 5 from 0 -[DEBUG][time= 361] Dispatch2: regfile 6 from 0 -[DEBUG][time= 361] Dispatch2: regfile 7 from 0 -[DEBUG][time= 361] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 361] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 361] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 361] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 361] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 361] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 361] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 361] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 361] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 361] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 361] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 361] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 361] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 361] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 361] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 361] Roq: CSR block should only happen in s_idle -[DEBUG][time= 361] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 361] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 361] Roq: -------------------------------- -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 361] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 361] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 361] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 361] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 361] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 361] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 361] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 361] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 361] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 361] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 361] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 361] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 361] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 361] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 361] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 361] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 361] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 361] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 361] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 361] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 361] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 361] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 361] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 361] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 361] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 361] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 361] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 361] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 361] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 361] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 361] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 361] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 361] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 361] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 361] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 361] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 361] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 361] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 361] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 361] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 361] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 361] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 361] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 361] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 361] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 361] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 361] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 361] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 361] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 361] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 361] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 361] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 361] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 361] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 361] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 361] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 361] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 361] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 361] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 361] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 361] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 361] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 361] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 361] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 361] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 361] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 361] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 361] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 361] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 361] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 361] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 361] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 361] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 361] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 361] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 361] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 361] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 361] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 361] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 361] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 361] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 361] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 361] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 361] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 361] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 361] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 361] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 361] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 361] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 361] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 361] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 361] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 361] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 361] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 361] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 361] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 361] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 361] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 361] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 361] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 361] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 361] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 361] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 361] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 361] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 361] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 361] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 361] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 361] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 361] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 361] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 361] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 361] Brq: ---------------- -[DEBUG][time= 361] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 361] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 361] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 361] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 361] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 361] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 362] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 362] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 362] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 362] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 362] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 362] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 362] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 362] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 362] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 362] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 362] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 362] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 362] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 362] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 362] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 362] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 362] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 362] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 362] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 362] BPUStage3: flushS3=0 -[DEBUG][time= 362] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 362] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 362] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 362] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 362] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 362] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 362] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 362] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 362] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 362] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 362] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 362] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 362] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 362] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 362] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 362] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 362] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 362] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 362] Dispatch2: regfile 0 from 3 -[DEBUG][time= 362] Dispatch2: regfile 1 from 3 -[DEBUG][time= 362] Dispatch2: regfile 2 from 0 -[DEBUG][time= 362] Dispatch2: regfile 3 from 0 -[DEBUG][time= 362] Dispatch2: regfile 4 from 0 -[DEBUG][time= 362] Dispatch2: regfile 5 from 0 -[DEBUG][time= 362] Dispatch2: regfile 6 from 0 -[DEBUG][time= 362] Dispatch2: regfile 7 from 0 -[DEBUG][time= 362] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 362] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 362] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 362] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 362] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 362] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 362] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 362] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 362] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 362] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 362] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 362] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 362] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 362] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 362] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 362] Roq: CSR block should only happen in s_idle -[DEBUG][time= 362] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 362] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 362] Roq: -------------------------------- -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 362] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 362] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 362] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 362] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 362] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 362] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 362] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 362] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 362] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 362] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 362] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 362] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 362] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 362] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 362] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 362] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 362] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 362] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 362] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 362] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 362] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 362] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 362] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 362] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 362] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 362] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 362] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 362] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 362] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 362] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 362] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 362] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 362] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 362] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 362] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 362] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 362] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 362] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 362] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 362] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 362] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 362] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 362] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 362] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 362] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 362] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 362] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 362] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 362] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 362] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 362] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 362] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 362] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 362] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 362] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 362] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 362] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 362] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 362] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 362] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 362] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 362] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 362] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 362] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 362] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 362] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 362] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 362] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 362] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 362] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 362] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 362] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 362] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 362] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 362] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 362] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 362] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 362] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 362] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 362] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 362] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 362] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 362] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 362] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 362] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 362] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 362] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 362] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 362] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 362] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 362] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 362] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 362] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 362] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 362] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 362] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 362] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 362] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 362] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 362] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 362] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 362] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 362] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 362] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 362] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 362] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 362] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 362] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 362] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 362] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 362] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 362] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 362] Brq: ---------------- -[DEBUG][time= 362] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 362] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 362] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 362] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 362] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 362] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 363] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 363] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 363] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 363] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 363] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 363] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 363] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 363] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 363] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 363] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 363] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 363] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 363] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 363] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 363] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 363] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 363] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 363] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 363] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 363] BPUStage3: flushS3=0 -[DEBUG][time= 363] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 363] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 363] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 363] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 363] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 363] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 363] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 363] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 363] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 363] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 363] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 363] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 363] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 363] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 363] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 363] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 363] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 363] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 363] Dispatch2: regfile 0 from 3 -[DEBUG][time= 363] Dispatch2: regfile 1 from 3 -[DEBUG][time= 363] Dispatch2: regfile 2 from 0 -[DEBUG][time= 363] Dispatch2: regfile 3 from 0 -[DEBUG][time= 363] Dispatch2: regfile 4 from 0 -[DEBUG][time= 363] Dispatch2: regfile 5 from 0 -[DEBUG][time= 363] Dispatch2: regfile 6 from 0 -[DEBUG][time= 363] Dispatch2: regfile 7 from 0 -[DEBUG][time= 363] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 363] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 363] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 363] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 363] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 363] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 363] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 363] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 363] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 363] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 363] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 363] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 363] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 363] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 363] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 363] Roq: CSR block should only happen in s_idle -[DEBUG][time= 363] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 363] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 363] Roq: -------------------------------- -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 363] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 363] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 363] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 363] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 363] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 363] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 363] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 363] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 363] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 363] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 363] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 363] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 363] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 363] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 363] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 363] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 363] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 363] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 363] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 363] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 363] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 363] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 363] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 363] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 363] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 363] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 363] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 363] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 363] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 363] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 363] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 363] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 363] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 363] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 363] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 363] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 363] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 363] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 363] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 363] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 363] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 363] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 363] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 363] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 363] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 363] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 363] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 363] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 363] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 363] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 363] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 363] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 363] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 363] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 363] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 363] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 363] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 363] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 363] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 363] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 363] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 363] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 363] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 363] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 363] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 363] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 363] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 363] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 363] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 363] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 363] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 363] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 363] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 363] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 363] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 363] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 363] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 363] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 363] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 363] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 363] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 363] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 363] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 363] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 363] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 363] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 363] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 363] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 363] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 363] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 363] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 363] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 363] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 363] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 363] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 363] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 363] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 363] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 363] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 363] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 363] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 363] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 363] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 363] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 363] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 363] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 363] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 363] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 363] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 363] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 363] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 363] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 363] Brq: ---------------- -[DEBUG][time= 363] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 363] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 363] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 363] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 363] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 363] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 364] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 364] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 364] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 364] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 364] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 364] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 364] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 364] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 364] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 364] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 364] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 364] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 364] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 364] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 364] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 364] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 364] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 364] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 364] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 364] BPUStage3: flushS3=0 -[DEBUG][time= 364] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 364] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 364] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 364] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 364] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 364] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 364] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 364] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 364] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 364] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 364] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 364] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 364] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 364] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 364] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 364] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 364] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 364] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 364] Dispatch2: regfile 0 from 3 -[DEBUG][time= 364] Dispatch2: regfile 1 from 3 -[DEBUG][time= 364] Dispatch2: regfile 2 from 0 -[DEBUG][time= 364] Dispatch2: regfile 3 from 0 -[DEBUG][time= 364] Dispatch2: regfile 4 from 0 -[DEBUG][time= 364] Dispatch2: regfile 5 from 0 -[DEBUG][time= 364] Dispatch2: regfile 6 from 0 -[DEBUG][time= 364] Dispatch2: regfile 7 from 0 -[DEBUG][time= 364] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 364] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 364] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 364] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 364] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 364] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 364] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 364] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 364] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 364] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 364] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 364] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 364] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 364] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 364] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 364] Roq: CSR block should only happen in s_idle -[DEBUG][time= 364] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 364] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 364] Roq: -------------------------------- -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 364] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 364] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 364] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 364] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 364] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 364] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 364] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 364] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 364] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 364] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 364] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 364] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 364] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 364] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 364] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 364] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 364] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 364] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 364] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 364] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 364] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 364] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 364] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 364] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 364] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 364] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 364] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 364] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 364] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 364] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 364] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 364] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 364] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 364] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 364] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 364] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 364] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 364] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 364] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 364] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 364] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 364] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 364] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 364] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 364] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 364] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 364] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 364] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 364] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 364] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 364] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 364] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 364] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 364] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 364] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 364] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 364] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 364] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 364] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 364] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 364] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 364] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 364] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 364] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 364] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 364] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 364] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 364] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 364] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 364] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 364] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 364] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 364] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 364] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 364] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 364] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 364] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 364] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 364] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 364] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 364] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 364] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 364] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 364] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 364] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 364] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 364] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 364] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 364] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 364] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 364] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 364] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 364] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 364] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 364] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 364] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 364] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 364] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 364] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 364] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 364] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 364] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 364] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 364] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 364] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 364] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 364] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 364] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 364] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 364] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 364] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 364] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 364] Brq: ---------------- -[DEBUG][time= 364] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 364] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 364] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 364] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 364] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 364] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 365] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 365] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 365] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 365] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 365] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 365] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 365] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 365] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 365] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 365] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 365] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 365] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 365] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 365] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 365] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 365] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 365] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 365] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 365] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 365] BPUStage3: flushS3=0 -[DEBUG][time= 365] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 365] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 365] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 365] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 365] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 365] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 365] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 365] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 365] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 365] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 365] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 365] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 365] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 365] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 365] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 365] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 365] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 365] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 365] Dispatch2: regfile 0 from 3 -[DEBUG][time= 365] Dispatch2: regfile 1 from 3 -[DEBUG][time= 365] Dispatch2: regfile 2 from 0 -[DEBUG][time= 365] Dispatch2: regfile 3 from 0 -[DEBUG][time= 365] Dispatch2: regfile 4 from 0 -[DEBUG][time= 365] Dispatch2: regfile 5 from 0 -[DEBUG][time= 365] Dispatch2: regfile 6 from 0 -[DEBUG][time= 365] Dispatch2: regfile 7 from 0 -[DEBUG][time= 365] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 365] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 365] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 365] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 365] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 365] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 365] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 365] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 365] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 365] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 365] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 365] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 365] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 365] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 365] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 365] Roq: CSR block should only happen in s_idle -[DEBUG][time= 365] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 365] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 365] Roq: -------------------------------- -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 365] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 365] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 365] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 365] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 365] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 365] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 365] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 365] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 365] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 365] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 365] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 365] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 365] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 365] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 365] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 365] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 365] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 365] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 365] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 365] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 365] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 365] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 365] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 365] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 365] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 365] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 365] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 365] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 365] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 365] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 365] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 365] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 365] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 365] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 365] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 365] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 365] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 365] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 365] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 365] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 365] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 365] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 365] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 365] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 365] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 365] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 365] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 365] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 365] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 365] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 365] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 365] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 365] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 365] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 365] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 365] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 365] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 365] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 365] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 365] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 365] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 365] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 365] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 365] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 365] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 365] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 365] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 365] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 365] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 365] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 365] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 365] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 365] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 365] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 365] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 365] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 365] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 365] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 365] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 365] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 365] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 365] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 365] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 365] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 365] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 365] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 365] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 365] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 365] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 365] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 365] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 365] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 365] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 365] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 365] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 365] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 365] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 365] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 365] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 365] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 365] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 365] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 365] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 365] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 365] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 365] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 365] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 365] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 365] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 365] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 365] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 365] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 365] Brq: ---------------- -[DEBUG][time= 365] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 365] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 365] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 365] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 365] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 365] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 366] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 366] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 366] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 366] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 366] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 366] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 366] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 366] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 366] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 366] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 366] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 366] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 366] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 366] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 366] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 366] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 366] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 366] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 366] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 366] BPUStage3: flushS3=0 -[DEBUG][time= 366] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 366] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 366] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 366] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 366] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 366] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 366] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 366] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 366] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 366] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 366] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 366] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 366] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 366] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 366] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 366] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 366] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 366] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 366] Dispatch2: regfile 0 from 3 -[DEBUG][time= 366] Dispatch2: regfile 1 from 3 -[DEBUG][time= 366] Dispatch2: regfile 2 from 0 -[DEBUG][time= 366] Dispatch2: regfile 3 from 0 -[DEBUG][time= 366] Dispatch2: regfile 4 from 0 -[DEBUG][time= 366] Dispatch2: regfile 5 from 0 -[DEBUG][time= 366] Dispatch2: regfile 6 from 0 -[DEBUG][time= 366] Dispatch2: regfile 7 from 0 -[DEBUG][time= 366] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 366] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 366] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 366] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 366] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 366] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 366] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 366] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 366] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 366] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 366] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 366] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 366] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 366] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 366] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 366] Roq: CSR block should only happen in s_idle -[DEBUG][time= 366] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 366] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 366] Roq: -------------------------------- -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 366] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 366] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 366] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 366] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 366] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 366] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 366] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 366] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 366] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 366] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 366] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 366] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 366] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 366] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 366] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 366] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 366] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 366] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 366] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 366] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 366] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 366] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 366] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 366] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 366] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 366] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 366] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 366] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 366] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 366] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 366] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 366] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 366] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 366] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 366] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 366] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 366] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 366] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 366] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 366] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 366] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 366] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 366] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 366] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 366] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 366] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 366] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 366] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 366] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 366] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 366] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 366] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 366] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 366] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 366] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 366] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 366] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 366] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 366] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 366] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 366] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 366] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 366] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 366] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 366] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 366] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 366] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 366] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 366] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 366] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 366] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 366] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 366] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 366] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 366] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 366] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 366] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 366] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 366] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 366] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 366] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 366] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 366] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 366] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 366] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 366] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 366] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 366] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 366] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 366] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 366] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 366] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 366] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 366] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 366] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 366] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 366] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 366] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 366] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 366] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 366] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 366] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 366] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 366] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 366] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 366] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 366] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 366] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 366] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 366] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 366] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 366] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 366] Brq: ---------------- -[DEBUG][time= 366] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 366] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 366] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 366] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 366] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 366] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 367] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 367] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 367] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 367] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 367] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 367] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 367] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 367] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 367] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 367] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 367] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 367] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 367] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 367] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 367] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 367] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 367] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 367] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 367] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 367] BPUStage3: flushS3=0 -[DEBUG][time= 367] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 367] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 367] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 367] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 367] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 367] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 367] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 367] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 367] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 367] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 367] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 367] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 367] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 367] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 367] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 367] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 367] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 367] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 367] Dispatch2: regfile 0 from 3 -[DEBUG][time= 367] Dispatch2: regfile 1 from 3 -[DEBUG][time= 367] Dispatch2: regfile 2 from 0 -[DEBUG][time= 367] Dispatch2: regfile 3 from 0 -[DEBUG][time= 367] Dispatch2: regfile 4 from 0 -[DEBUG][time= 367] Dispatch2: regfile 5 from 0 -[DEBUG][time= 367] Dispatch2: regfile 6 from 0 -[DEBUG][time= 367] Dispatch2: regfile 7 from 0 -[DEBUG][time= 367] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 367] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 367] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 367] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 367] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 367] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 367] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 367] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 367] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 367] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 367] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 367] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 367] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 367] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 367] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 367] Roq: CSR block should only happen in s_idle -[DEBUG][time= 367] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 367] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 367] Roq: -------------------------------- -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 367] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 367] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 367] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 367] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 367] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 367] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 367] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 367] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 367] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 367] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 367] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 367] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 367] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 367] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 367] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 367] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 367] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 367] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 367] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 367] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 367] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 367] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 367] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 367] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 367] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 367] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 367] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 367] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 367] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 367] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 367] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 367] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 367] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 367] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 367] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 367] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 367] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 367] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 367] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 367] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 367] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 367] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 367] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 367] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 367] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 367] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 367] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 367] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 367] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 367] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 367] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 367] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 367] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 367] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 367] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 367] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 367] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 367] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 367] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 367] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 367] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 367] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 367] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 367] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 367] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 367] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 367] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 367] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 367] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 367] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 367] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 367] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 367] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 367] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 367] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 367] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 367] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 367] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 367] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 367] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 367] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 367] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 367] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 367] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 367] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 367] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 367] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 367] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 367] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 367] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 367] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 367] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 367] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 367] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 367] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 367] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 367] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 367] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 367] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 367] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 367] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 367] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 367] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 367] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 367] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 367] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 367] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 367] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 367] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 367] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 367] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 367] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 367] Brq: ---------------- -[DEBUG][time= 367] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 367] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 367] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 367] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 367] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 367] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 368] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 368] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 368] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 368] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 368] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 368] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 368] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 368] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 368] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 368] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 368] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 368] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 368] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 368] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 368] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 368] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 368] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 368] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 368] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 368] BPUStage3: flushS3=0 -[DEBUG][time= 368] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 368] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 368] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 368] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 368] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 368] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 368] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 368] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 368] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 368] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 368] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 368] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 368] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 368] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 368] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 368] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 368] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 368] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 368] Dispatch2: regfile 0 from 3 -[DEBUG][time= 368] Dispatch2: regfile 1 from 3 -[DEBUG][time= 368] Dispatch2: regfile 2 from 0 -[DEBUG][time= 368] Dispatch2: regfile 3 from 0 -[DEBUG][time= 368] Dispatch2: regfile 4 from 0 -[DEBUG][time= 368] Dispatch2: regfile 5 from 0 -[DEBUG][time= 368] Dispatch2: regfile 6 from 0 -[DEBUG][time= 368] Dispatch2: regfile 7 from 0 -[DEBUG][time= 368] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 368] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 368] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 368] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 368] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 368] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 368] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 368] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 368] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 368] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 368] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 368] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 368] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 368] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 368] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 368] Roq: CSR block should only happen in s_idle -[DEBUG][time= 368] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 368] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 368] Roq: -------------------------------- -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 368] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 368] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 368] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 368] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 368] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 368] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 368] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 368] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 368] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 368] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 368] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 368] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 368] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 368] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 368] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 368] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 368] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 368] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 368] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 368] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 368] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 368] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 368] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 368] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 368] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 368] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 368] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 368] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 368] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 368] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 368] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 368] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 368] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 368] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 368] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 368] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 368] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 368] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 368] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 368] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 368] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 368] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 368] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 368] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 368] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 368] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 368] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 368] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 368] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 368] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 368] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 368] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 368] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 368] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 368] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 368] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 368] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 368] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 368] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 368] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 368] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 368] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 368] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 368] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 368] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 368] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 368] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 368] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 368] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 368] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 368] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 368] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 368] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 368] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 368] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 368] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 368] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 368] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 368] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 368] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 368] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 368] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 368] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 368] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 368] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 368] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 368] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 368] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 368] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 368] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 368] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 368] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 368] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 368] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 368] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 368] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 368] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 368] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 368] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 368] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 368] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 368] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 368] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 368] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 368] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 368] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 368] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 368] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 368] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 368] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 368] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 368] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 368] Brq: ---------------- -[DEBUG][time= 368] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 368] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 368] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 368] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 368] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 368] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 369] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 369] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 369] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 369] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 369] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 369] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 369] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 369] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 369] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 369] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 369] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 369] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 369] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 369] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 369] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 369] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 369] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 369] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 369] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 369] BPUStage3: flushS3=0 -[DEBUG][time= 369] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 369] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 369] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 369] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 369] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 369] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 369] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 369] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 369] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 369] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 369] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 369] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 369] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 369] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 369] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 369] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 369] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 369] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 369] Dispatch2: regfile 0 from 3 -[DEBUG][time= 369] Dispatch2: regfile 1 from 3 -[DEBUG][time= 369] Dispatch2: regfile 2 from 0 -[DEBUG][time= 369] Dispatch2: regfile 3 from 0 -[DEBUG][time= 369] Dispatch2: regfile 4 from 0 -[DEBUG][time= 369] Dispatch2: regfile 5 from 0 -[DEBUG][time= 369] Dispatch2: regfile 6 from 0 -[DEBUG][time= 369] Dispatch2: regfile 7 from 0 -[DEBUG][time= 369] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 369] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 369] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 369] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 369] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 369] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 369] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 369] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 369] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 369] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 369] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 369] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 369] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 369] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 369] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 369] Roq: CSR block should only happen in s_idle -[DEBUG][time= 369] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 369] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 369] Roq: -------------------------------- -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 369] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 369] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 369] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 369] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 369] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 369] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 369] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 369] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 369] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 369] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 369] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 369] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 369] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 369] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 369] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 369] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 369] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 369] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 369] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 369] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 369] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 369] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 369] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 369] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 369] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 369] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 369] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 369] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 369] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 369] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 369] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 369] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 369] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 369] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 369] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 369] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 369] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 369] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 369] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 369] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 369] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 369] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 369] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 369] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 369] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 369] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 369] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 369] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 369] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 369] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 369] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 369] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 369] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 369] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 369] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 369] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 369] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 369] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 369] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 369] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 369] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 369] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 369] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 369] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 369] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 369] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 369] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 369] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 369] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 369] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 369] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 369] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 369] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 369] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 369] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 369] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 369] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 369] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 369] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 369] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 369] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 369] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 369] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 369] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 369] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 369] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 369] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 369] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 369] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 369] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 369] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 369] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 369] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 369] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 369] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 369] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 369] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 369] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 369] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 369] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 369] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 369] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 369] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 369] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 369] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 369] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 369] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 369] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 369] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 369] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 369] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 369] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 369] Brq: ---------------- -[DEBUG][time= 369] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 369] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 369] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 369] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 369] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 369] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 370] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 370] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 370] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 370] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 370] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 370] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 370] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 370] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 370] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 370] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 370] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 370] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 370] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 370] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 370] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 370] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 370] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 370] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 370] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 370] BPUStage3: flushS3=0 -[DEBUG][time= 370] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 370] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 370] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 370] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 370] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 370] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 370] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 370] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 370] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 370] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 370] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 370] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 370] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 370] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 370] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 370] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 370] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 370] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 370] Dispatch2: regfile 0 from 3 -[DEBUG][time= 370] Dispatch2: regfile 1 from 3 -[DEBUG][time= 370] Dispatch2: regfile 2 from 0 -[DEBUG][time= 370] Dispatch2: regfile 3 from 0 -[DEBUG][time= 370] Dispatch2: regfile 4 from 0 -[DEBUG][time= 370] Dispatch2: regfile 5 from 0 -[DEBUG][time= 370] Dispatch2: regfile 6 from 0 -[DEBUG][time= 370] Dispatch2: regfile 7 from 0 -[DEBUG][time= 370] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 370] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 370] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 370] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 370] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 370] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 370] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 370] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 370] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 370] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 370] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 370] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 370] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 370] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 370] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 370] Roq: CSR block should only happen in s_idle -[DEBUG][time= 370] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 370] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 370] Roq: -------------------------------- -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 370] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 370] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 370] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 370] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 370] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 370] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 370] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 370] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 370] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 370] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 370] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 370] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 370] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 370] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 370] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 370] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 370] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 370] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 370] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 370] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 370] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 370] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 370] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 370] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 370] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 370] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 370] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 370] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 370] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 370] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 370] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 370] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 370] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 370] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 370] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 370] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 370] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 370] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 370] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 370] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 370] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 370] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 370] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 370] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 370] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 370] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 370] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 370] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 370] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 370] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 370] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 370] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 370] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 370] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 370] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 370] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 370] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 370] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 370] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 370] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 370] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 370] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 370] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 370] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 370] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 370] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 370] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 370] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 370] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 370] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 370] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 370] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 370] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 370] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 370] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 370] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 370] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 370] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 370] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 370] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 370] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 370] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 370] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 370] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 370] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 370] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 370] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 370] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 370] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 370] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 370] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 370] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 370] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 370] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 370] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 370] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 370] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 370] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 370] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 370] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 370] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 370] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 370] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 370] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 370] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 370] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 370] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 370] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 370] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 370] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 370] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 370] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 370] Brq: ---------------- -[DEBUG][time= 370] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 370] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 370] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 370] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 370] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 370] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 371] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 371] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 371] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 371] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 371] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 371] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 371] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 371] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 371] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 371] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 371] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 371] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 371] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 371] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 371] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 371] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 371] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 371] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 371] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 371] BPUStage3: flushS3=0 -[DEBUG][time= 371] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 371] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 371] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 371] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 371] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 371] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 371] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 371] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 371] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 371] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 371] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 371] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 371] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 371] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 371] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 371] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 371] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 371] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 371] Dispatch2: regfile 0 from 3 -[DEBUG][time= 371] Dispatch2: regfile 1 from 3 -[DEBUG][time= 371] Dispatch2: regfile 2 from 0 -[DEBUG][time= 371] Dispatch2: regfile 3 from 0 -[DEBUG][time= 371] Dispatch2: regfile 4 from 0 -[DEBUG][time= 371] Dispatch2: regfile 5 from 0 -[DEBUG][time= 371] Dispatch2: regfile 6 from 0 -[DEBUG][time= 371] Dispatch2: regfile 7 from 0 -[DEBUG][time= 371] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 371] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 371] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 371] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 371] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 371] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 371] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 371] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 371] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 371] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 371] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 371] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 371] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 371] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 371] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 371] Roq: CSR block should only happen in s_idle -[DEBUG][time= 371] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 371] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 371] Roq: -------------------------------- -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 371] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 371] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 371] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 371] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 371] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 371] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 371] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 371] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 371] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 371] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 371] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 371] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 371] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 371] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 371] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 371] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 371] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 371] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 371] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 371] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 371] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 371] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 371] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 371] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 371] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 371] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 371] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 371] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 371] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 371] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 371] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 371] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 371] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 371] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 371] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 371] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 371] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 371] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 371] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 371] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 371] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 371] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 371] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 371] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 371] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 371] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 371] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 371] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 371] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 371] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 371] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 371] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 371] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 371] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 371] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 371] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 371] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 371] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 371] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 371] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 371] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 371] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 371] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 371] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 371] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 371] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 371] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 371] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 371] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 371] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 371] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 371] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 371] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 371] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 371] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 371] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 371] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 371] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 371] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 371] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 371] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 371] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 371] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 371] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 371] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 371] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 371] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 371] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 371] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 371] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 371] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 371] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 371] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 371] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 371] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 371] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 371] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 371] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 371] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 371] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 371] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 371] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 371] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 371] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 371] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 371] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 371] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 371] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 371] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 371] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 371] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 371] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 371] Brq: ---------------- -[DEBUG][time= 371] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 371] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 371] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 371] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 371] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 371] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 372] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 372] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 372] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 372] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 372] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 372] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 372] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 372] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 372] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 372] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 372] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 372] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 372] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 372] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 372] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 372] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 372] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 372] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 372] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 372] BPUStage3: flushS3=0 -[DEBUG][time= 372] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 372] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 372] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 372] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 372] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 372] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 372] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 372] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 372] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 372] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 372] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 372] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 372] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 372] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 372] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 372] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 372] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 372] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 372] Dispatch2: regfile 0 from 3 -[DEBUG][time= 372] Dispatch2: regfile 1 from 3 -[DEBUG][time= 372] Dispatch2: regfile 2 from 0 -[DEBUG][time= 372] Dispatch2: regfile 3 from 0 -[DEBUG][time= 372] Dispatch2: regfile 4 from 0 -[DEBUG][time= 372] Dispatch2: regfile 5 from 0 -[DEBUG][time= 372] Dispatch2: regfile 6 from 0 -[DEBUG][time= 372] Dispatch2: regfile 7 from 0 -[DEBUG][time= 372] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 372] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 372] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 372] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 372] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 372] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 372] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 372] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 372] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 372] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 372] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 372] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 372] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 372] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 372] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 372] Roq: CSR block should only happen in s_idle -[DEBUG][time= 372] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 372] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 372] Roq: -------------------------------- -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 372] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 372] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 372] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 372] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 372] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 372] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 372] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 372] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 372] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 372] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 372] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 372] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 372] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 372] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 372] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 372] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 372] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 372] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 372] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 372] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 372] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 372] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 372] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 372] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 372] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 372] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 372] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 372] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 372] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 372] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 372] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 372] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 372] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 372] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 372] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 372] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 372] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 372] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 372] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 372] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 372] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 372] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 372] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 372] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 372] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 372] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 372] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 372] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 372] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 372] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 372] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 372] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 372] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 372] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 372] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 372] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 372] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 372] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 372] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 372] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 372] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 372] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 372] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 372] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 372] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 372] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 372] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 372] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 372] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 372] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 372] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 372] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 372] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 372] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 372] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 372] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 372] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 372] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 372] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 372] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 372] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 372] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 372] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 372] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 372] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 372] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 372] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 372] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 372] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 372] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 372] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 372] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 372] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 372] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 372] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 372] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 372] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 372] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 372] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 372] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 372] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 372] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 372] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 372] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 372] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 372] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 372] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 372] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 372] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 372] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 372] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 372] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 372] Brq: ---------------- -[DEBUG][time= 372] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 372] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 372] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 372] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 372] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 372] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 373] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 373] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 373] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 373] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 373] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 373] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 373] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 373] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 373] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 373] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 373] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 373] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 373] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 373] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 373] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 373] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 373] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 373] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 373] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 373] BPUStage3: flushS3=0 -[DEBUG][time= 373] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 373] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 373] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 373] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 373] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 373] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 373] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 373] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 373] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 373] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 373] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 373] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 373] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 373] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 373] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 373] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 373] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 373] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 373] Dispatch2: regfile 0 from 3 -[DEBUG][time= 373] Dispatch2: regfile 1 from 3 -[DEBUG][time= 373] Dispatch2: regfile 2 from 0 -[DEBUG][time= 373] Dispatch2: regfile 3 from 0 -[DEBUG][time= 373] Dispatch2: regfile 4 from 0 -[DEBUG][time= 373] Dispatch2: regfile 5 from 0 -[DEBUG][time= 373] Dispatch2: regfile 6 from 0 -[DEBUG][time= 373] Dispatch2: regfile 7 from 0 -[DEBUG][time= 373] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 373] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 373] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 373] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 373] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 373] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 373] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 373] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 373] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 373] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 373] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 373] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 373] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 373] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 373] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 373] Roq: CSR block should only happen in s_idle -[DEBUG][time= 373] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 373] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 373] Roq: -------------------------------- -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 373] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 373] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 373] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 373] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 373] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 373] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 373] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 373] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 373] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 373] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 373] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 373] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 373] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 373] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 373] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 373] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 373] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 373] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 373] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 373] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 373] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 373] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 373] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 373] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 373] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 373] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 373] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 373] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 373] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 373] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 373] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 373] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 373] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 373] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 373] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 373] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 373] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 373] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 373] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 373] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 373] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 373] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 373] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 373] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 373] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 373] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 373] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 373] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 373] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 373] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 373] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 373] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 373] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 373] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 373] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 373] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 373] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 373] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 373] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 373] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 373] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 373] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 373] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 373] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 373] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 373] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 373] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 373] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 373] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 373] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 373] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 373] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 373] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 373] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 373] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 373] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 373] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 373] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 373] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 373] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 373] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 373] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 373] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 373] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 373] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 373] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 373] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 373] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 373] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 373] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 373] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 373] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 373] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 373] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 373] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 373] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 373] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 373] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 373] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 373] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 373] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 373] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 373] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 373] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 373] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 373] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 373] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 373] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 373] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 373] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 373] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 373] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 373] Brq: ---------------- -[DEBUG][time= 373] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 373] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 373] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 373] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 373] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 373] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 374] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 374] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 374] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 374] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 374] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 374] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 374] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 374] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 374] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 374] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 374] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 374] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 374] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 374] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 374] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 374] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 374] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 374] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 374] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 374] BPUStage3: flushS3=0 -[DEBUG][time= 374] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 374] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 374] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 374] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 374] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 374] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 374] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 374] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 374] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 374] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 374] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 374] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 374] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 374] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 374] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 374] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 374] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 374] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 374] Dispatch2: regfile 0 from 3 -[DEBUG][time= 374] Dispatch2: regfile 1 from 3 -[DEBUG][time= 374] Dispatch2: regfile 2 from 0 -[DEBUG][time= 374] Dispatch2: regfile 3 from 0 -[DEBUG][time= 374] Dispatch2: regfile 4 from 0 -[DEBUG][time= 374] Dispatch2: regfile 5 from 0 -[DEBUG][time= 374] Dispatch2: regfile 6 from 0 -[DEBUG][time= 374] Dispatch2: regfile 7 from 0 -[DEBUG][time= 374] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 374] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 374] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 374] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 374] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 374] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 374] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 374] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 374] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 374] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 374] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 374] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 374] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 374] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 374] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 374] Roq: CSR block should only happen in s_idle -[DEBUG][time= 374] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 374] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 374] Roq: -------------------------------- -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 374] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 374] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 374] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 374] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 374] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 374] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 374] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 374] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 374] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 374] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 374] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 374] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 374] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 374] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 374] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 374] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 374] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 374] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 374] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 374] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 374] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 374] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 374] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 374] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 374] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 374] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 374] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 374] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 374] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 374] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 374] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 374] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 374] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 374] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 374] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 374] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 374] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 374] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 374] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 374] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 374] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 374] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 374] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 374] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 374] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 374] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 374] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 374] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 374] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 374] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 374] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 374] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 374] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 374] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 374] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 374] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 374] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 374] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 374] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 374] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 374] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 374] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 374] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 374] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 374] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 374] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 374] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 374] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 374] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 374] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 374] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 374] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 374] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 374] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 374] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 374] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 374] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 374] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 374] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 374] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 374] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 374] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 374] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 374] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 374] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 374] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 374] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 374] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 374] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 374] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 374] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 374] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 374] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 374] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 374] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 374] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 374] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 374] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 374] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 374] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 374] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 374] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 374] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 374] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 374] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 374] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 374] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 374] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 374] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 374] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 374] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 374] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 374] Brq: ---------------- -[DEBUG][time= 374] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 374] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 374] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 374] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 374] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 374] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 375] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 375] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 375] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 375] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 375] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 375] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 375] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 375] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 375] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 375] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 375] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 375] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 375] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 375] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 375] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 375] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 375] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 375] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 375] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 375] BPUStage3: flushS3=0 -[DEBUG][time= 375] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 375] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 375] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 375] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 375] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 375] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 375] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 375] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 375] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 375] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 375] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 375] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 375] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 375] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 375] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 375] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 375] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 375] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 375] Dispatch2: regfile 0 from 3 -[DEBUG][time= 375] Dispatch2: regfile 1 from 3 -[DEBUG][time= 375] Dispatch2: regfile 2 from 0 -[DEBUG][time= 375] Dispatch2: regfile 3 from 0 -[DEBUG][time= 375] Dispatch2: regfile 4 from 0 -[DEBUG][time= 375] Dispatch2: regfile 5 from 0 -[DEBUG][time= 375] Dispatch2: regfile 6 from 0 -[DEBUG][time= 375] Dispatch2: regfile 7 from 0 -[DEBUG][time= 375] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 375] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 375] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 375] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 375] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 375] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 375] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 375] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 375] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 375] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 375] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 375] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 375] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 375] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 375] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 375] Roq: CSR block should only happen in s_idle -[DEBUG][time= 375] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 375] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 375] Roq: -------------------------------- -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 375] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 375] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 375] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 375] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 375] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 375] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 375] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 375] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 375] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 375] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 375] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 375] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 375] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 375] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 375] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 375] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 375] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 375] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 375] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 375] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 375] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 375] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 375] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 375] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 375] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 375] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 375] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 375] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 375] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 375] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 375] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 375] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 375] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 375] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 375] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 375] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 375] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 375] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 375] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 375] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 375] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 375] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 375] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 375] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 375] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 375] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 375] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 375] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 375] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 375] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 375] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 375] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 375] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 375] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 375] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 375] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 375] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 375] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 375] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 375] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 375] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 375] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 375] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 375] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 375] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 375] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 375] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 375] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 375] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 375] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 375] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 375] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 375] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 375] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 375] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 375] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 375] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 375] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 375] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 375] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 375] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 375] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 375] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 375] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 375] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 375] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 375] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 375] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 375] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 375] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 375] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 375] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 375] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 375] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 375] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 375] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 375] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 375] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 375] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 375] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 375] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 375] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 375] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 375] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 375] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 375] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 375] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 375] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 375] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 375] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 375] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 375] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 375] Brq: ---------------- -[DEBUG][time= 375] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 375] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 375] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 375] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 375] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 375] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 376] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 376] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 376] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 376] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 376] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 376] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 376] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 376] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 376] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 376] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 376] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 376] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 376] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 376] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 376] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 376] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 376] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 376] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 376] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 376] BPUStage3: flushS3=0 -[DEBUG][time= 376] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 376] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 376] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 376] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 376] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 376] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 376] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 376] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 376] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 376] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 376] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 376] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 376] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 376] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 376] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 376] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 376] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 376] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 376] Dispatch2: regfile 0 from 3 -[DEBUG][time= 376] Dispatch2: regfile 1 from 3 -[DEBUG][time= 376] Dispatch2: regfile 2 from 0 -[DEBUG][time= 376] Dispatch2: regfile 3 from 0 -[DEBUG][time= 376] Dispatch2: regfile 4 from 0 -[DEBUG][time= 376] Dispatch2: regfile 5 from 0 -[DEBUG][time= 376] Dispatch2: regfile 6 from 0 -[DEBUG][time= 376] Dispatch2: regfile 7 from 0 -[DEBUG][time= 376] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 376] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 376] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 376] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 376] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 376] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 376] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 376] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 376] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 376] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 376] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 376] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 376] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 376] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 376] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 376] Roq: CSR block should only happen in s_idle -[DEBUG][time= 376] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 376] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 376] Roq: -------------------------------- -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 376] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 376] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 376] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 376] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 376] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 376] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 376] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 376] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 376] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 376] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 376] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 376] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 376] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 376] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 376] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 376] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 376] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 376] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 376] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 376] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 376] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 376] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 376] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 376] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 376] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 376] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 376] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 376] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 376] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 376] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 376] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 376] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 376] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 376] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 376] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 376] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 376] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 376] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 376] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 376] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 376] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 376] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 376] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 376] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 376] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 376] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 376] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 376] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 376] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 376] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 376] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 376] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 376] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 376] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 376] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 376] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 376] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 376] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 376] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 376] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 376] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 376] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 376] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 376] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 376] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 376] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 376] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 376] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 376] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 376] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 376] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 376] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 376] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 376] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 376] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 376] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 376] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 376] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 376] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 376] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 376] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 376] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 376] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 376] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 376] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 376] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 376] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 376] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 376] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 376] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 376] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 376] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 376] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 376] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 376] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 376] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 376] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 376] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 376] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 376] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 376] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 376] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 376] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 376] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 376] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 376] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 376] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 376] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 376] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 376] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 376] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 376] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 376] Brq: ---------------- -[DEBUG][time= 376] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 376] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 376] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 376] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 376] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 376] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 377] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 377] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 377] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 377] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 377] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 377] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 377] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 377] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 377] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 377] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 377] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 377] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 377] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 377] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 377] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 377] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 377] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 377] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 377] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 377] BPUStage3: flushS3=0 -[DEBUG][time= 377] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 377] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 377] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 377] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 377] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 377] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 377] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 377] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 377] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 377] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 377] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 377] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 377] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 377] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 377] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 377] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 377] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 377] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 377] Dispatch2: regfile 0 from 3 -[DEBUG][time= 377] Dispatch2: regfile 1 from 3 -[DEBUG][time= 377] Dispatch2: regfile 2 from 0 -[DEBUG][time= 377] Dispatch2: regfile 3 from 0 -[DEBUG][time= 377] Dispatch2: regfile 4 from 0 -[DEBUG][time= 377] Dispatch2: regfile 5 from 0 -[DEBUG][time= 377] Dispatch2: regfile 6 from 0 -[DEBUG][time= 377] Dispatch2: regfile 7 from 0 -[DEBUG][time= 377] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 377] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 377] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 377] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 377] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 377] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 377] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 377] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 377] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 377] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 377] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 377] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 377] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 377] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 377] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 377] Roq: CSR block should only happen in s_idle -[DEBUG][time= 377] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 377] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 377] Roq: -------------------------------- -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 377] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 377] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 377] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 377] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 377] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 377] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 377] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 377] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 377] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 377] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 377] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 377] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 377] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 377] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 377] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 377] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 377] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 377] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 377] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 377] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 377] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 377] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 377] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 377] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 377] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 377] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 377] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 377] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 377] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 377] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 377] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 377] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 377] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 377] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 377] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 377] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 377] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 377] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 377] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 377] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 377] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 377] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 377] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 377] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 377] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 377] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 377] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 377] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 377] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 377] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 377] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 377] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 377] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 377] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 377] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 377] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 377] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 377] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 377] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 377] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 377] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 377] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 377] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 377] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 377] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 377] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 377] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 377] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 377] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 377] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 377] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 377] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 377] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 377] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 377] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 377] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 377] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 377] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 377] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 377] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 377] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 377] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 377] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 377] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 377] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 377] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 377] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 377] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 377] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 377] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 377] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 377] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 377] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 377] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 377] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 377] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 377] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 377] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 377] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 377] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 377] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 377] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 377] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 377] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 377] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 377] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 377] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 377] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 377] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 377] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 377] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 377] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 377] Brq: ---------------- -[DEBUG][time= 377] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 377] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 377] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 377] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 377] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 377] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 378] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 378] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 378] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 378] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 378] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 378] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 378] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 378] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 378] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 378] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 378] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 378] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 378] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 378] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 378] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 378] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 378] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 378] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 378] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 378] BPUStage3: flushS3=0 -[DEBUG][time= 378] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 378] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 378] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 378] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 378] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 378] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 378] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 378] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 378] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 378] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 378] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 378] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 378] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 378] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 378] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 378] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 378] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 378] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 378] Dispatch2: regfile 0 from 3 -[DEBUG][time= 378] Dispatch2: regfile 1 from 3 -[DEBUG][time= 378] Dispatch2: regfile 2 from 0 -[DEBUG][time= 378] Dispatch2: regfile 3 from 0 -[DEBUG][time= 378] Dispatch2: regfile 4 from 0 -[DEBUG][time= 378] Dispatch2: regfile 5 from 0 -[DEBUG][time= 378] Dispatch2: regfile 6 from 0 -[DEBUG][time= 378] Dispatch2: regfile 7 from 0 -[DEBUG][time= 378] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 378] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 378] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 378] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 378] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 378] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 378] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 378] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 378] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 378] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 378] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 378] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 378] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 378] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 378] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 378] Roq: CSR block should only happen in s_idle -[DEBUG][time= 378] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 378] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 378] Roq: -------------------------------- -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 378] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 378] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 378] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 378] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 378] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 378] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 378] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 378] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 378] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 378] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 378] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 378] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 378] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 378] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 378] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 378] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 378] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 378] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 378] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 378] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 378] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 378] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 378] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 378] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 378] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 378] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 378] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 378] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 378] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 378] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 378] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 378] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 378] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 378] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 378] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 378] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 378] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 378] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 378] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 378] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 378] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 378] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 378] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 378] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 378] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 378] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 378] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 378] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 378] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 378] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 378] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 378] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 378] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 378] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 378] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 378] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 378] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 378] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 378] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 378] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 378] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 378] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 378] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 378] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 378] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 378] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 378] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 378] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 378] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 378] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 378] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 378] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 378] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 378] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 378] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 378] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 378] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 378] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 378] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 378] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 378] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 378] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 378] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 378] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 378] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 378] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 378] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 378] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 378] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 378] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 378] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 378] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 378] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 378] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 378] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 378] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 378] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 378] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 378] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 378] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 378] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 378] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 378] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 378] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 378] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 378] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 378] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 378] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 378] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 378] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 378] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 378] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 378] Brq: ---------------- -[DEBUG][time= 378] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 378] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 378] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 378] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 378] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 378] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 379] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 379] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 379] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 379] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 379] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 379] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 379] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 379] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 379] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 379] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 379] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 379] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 379] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 379] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 379] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 379] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 379] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 379] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 379] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 379] BPUStage3: flushS3=0 -[DEBUG][time= 379] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 379] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 379] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 379] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 379] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 379] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 379] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 379] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 379] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 379] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 379] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 379] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 379] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 379] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 379] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 379] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 379] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 379] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 379] Dispatch2: regfile 0 from 3 -[DEBUG][time= 379] Dispatch2: regfile 1 from 3 -[DEBUG][time= 379] Dispatch2: regfile 2 from 0 -[DEBUG][time= 379] Dispatch2: regfile 3 from 0 -[DEBUG][time= 379] Dispatch2: regfile 4 from 0 -[DEBUG][time= 379] Dispatch2: regfile 5 from 0 -[DEBUG][time= 379] Dispatch2: regfile 6 from 0 -[DEBUG][time= 379] Dispatch2: regfile 7 from 0 -[DEBUG][time= 379] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 379] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 379] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 379] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 379] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 379] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 379] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 379] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 379] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 379] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 379] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 379] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 379] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 379] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 379] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 379] Roq: CSR block should only happen in s_idle -[DEBUG][time= 379] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 379] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 379] Roq: -------------------------------- -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 379] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 379] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 379] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 379] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 379] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 379] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 379] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 379] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 379] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 379] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 379] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 379] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 379] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 379] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 379] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 379] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 379] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 379] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 379] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 379] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 379] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 379] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 379] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 379] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 379] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 379] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 379] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 379] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 379] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 379] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 379] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 379] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 379] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 379] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 379] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 379] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 379] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 379] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 379] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 379] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 379] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 379] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 379] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 379] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 379] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 379] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 379] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 379] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 379] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 379] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 379] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 379] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 379] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 379] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 379] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 379] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 379] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 379] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 379] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 379] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 379] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 379] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 379] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 379] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 379] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 379] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 379] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 379] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 379] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 379] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 379] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 379] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 379] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 379] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 379] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 379] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 379] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 379] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 379] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 379] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 379] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 379] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 379] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 379] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 379] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 379] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 379] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 379] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 379] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 379] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 379] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 379] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 379] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 379] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 379] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 379] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 379] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 379] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 379] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 379] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 379] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 379] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 379] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 379] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 379] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 379] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 379] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 379] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 379] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 379] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 379] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 379] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 379] Brq: ---------------- -[DEBUG][time= 379] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 379] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 379] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 379] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 379] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 379] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 380] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 380] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 380] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 380] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 380] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 380] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 380] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 380] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 380] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 380] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 380] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 380] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 380] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 380] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 380] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 380] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 380] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 380] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 380] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 380] BPUStage3: flushS3=0 -[DEBUG][time= 380] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 380] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 380] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 380] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 380] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 380] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 380] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 380] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 380] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 380] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 380] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 380] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 380] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 380] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 380] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 380] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 380] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 380] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 380] Dispatch2: regfile 0 from 3 -[DEBUG][time= 380] Dispatch2: regfile 1 from 3 -[DEBUG][time= 380] Dispatch2: regfile 2 from 0 -[DEBUG][time= 380] Dispatch2: regfile 3 from 0 -[DEBUG][time= 380] Dispatch2: regfile 4 from 0 -[DEBUG][time= 380] Dispatch2: regfile 5 from 0 -[DEBUG][time= 380] Dispatch2: regfile 6 from 0 -[DEBUG][time= 380] Dispatch2: regfile 7 from 0 -[DEBUG][time= 380] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 380] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 380] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 380] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 380] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 380] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 380] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 380] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 380] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 380] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 380] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 380] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 380] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 380] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 380] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 380] Roq: CSR block should only happen in s_idle -[DEBUG][time= 380] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 380] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 380] Roq: -------------------------------- -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 380] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 380] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 380] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 380] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 380] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 380] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 380] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 380] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 380] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 380] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 380] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 380] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 380] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 380] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 380] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 380] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 380] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 380] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 380] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 380] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 380] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 380] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 380] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 380] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 380] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 380] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 380] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 380] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 380] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 380] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 380] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 380] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 380] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 380] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 380] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 380] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 380] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 380] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 380] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 380] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 380] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 380] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 380] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 380] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 380] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 380] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 380] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 380] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 380] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 380] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 380] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 380] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 380] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 380] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 380] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 380] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 380] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 380] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 380] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 380] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 380] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 380] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 380] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 380] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 380] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 380] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 380] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 380] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 380] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 380] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 380] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 380] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 380] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 380] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 380] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 380] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 380] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 380] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 380] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 380] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 380] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 380] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 380] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 380] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 380] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 380] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 380] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 380] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 380] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 380] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 380] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 380] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 380] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 380] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 380] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 380] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 380] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 380] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 380] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 380] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 380] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 380] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 380] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 380] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 380] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 380] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 380] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 380] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 380] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 380] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 380] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 380] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 380] Brq: ---------------- -[DEBUG][time= 380] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 380] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 380] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 380] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 380] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 380] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 381] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 381] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 381] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 381] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 381] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 381] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 381] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 381] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 381] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 381] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 381] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 381] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 381] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 381] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 381] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 381] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 381] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 381] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 381] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 381] BPUStage3: flushS3=0 -[DEBUG][time= 381] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 381] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 381] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 381] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 381] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 381] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 381] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 381] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 381] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 381] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 381] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 381] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 381] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 381] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 381] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 381] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 381] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 381] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 381] Dispatch2: regfile 0 from 3 -[DEBUG][time= 381] Dispatch2: regfile 1 from 3 -[DEBUG][time= 381] Dispatch2: regfile 2 from 0 -[DEBUG][time= 381] Dispatch2: regfile 3 from 0 -[DEBUG][time= 381] Dispatch2: regfile 4 from 0 -[DEBUG][time= 381] Dispatch2: regfile 5 from 0 -[DEBUG][time= 381] Dispatch2: regfile 6 from 0 -[DEBUG][time= 381] Dispatch2: regfile 7 from 0 -[DEBUG][time= 381] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 381] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 381] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 381] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 381] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 381] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 381] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 381] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 381] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 381] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 381] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 381] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 381] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 381] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 381] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 381] Roq: CSR block should only happen in s_idle -[DEBUG][time= 381] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 381] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 381] Roq: -------------------------------- -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 381] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 381] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 381] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 381] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 381] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 381] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 381] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 381] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 381] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 381] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 381] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 381] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 381] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 381] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 381] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 381] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 381] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 381] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 381] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 381] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 381] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 381] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 381] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 381] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 381] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 381] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 381] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 381] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 381] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 381] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 381] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 381] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 381] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 381] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 381] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 381] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 381] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 381] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 381] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 381] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 381] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 381] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 381] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 381] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 381] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 381] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 381] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 381] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 381] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 381] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 381] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 381] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 381] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 381] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 381] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 381] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 381] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 381] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 381] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 381] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 381] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 381] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 381] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 381] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 381] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 381] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 381] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 381] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 381] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 381] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 381] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 381] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 381] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 381] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 381] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 381] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 381] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 381] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 381] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 381] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 381] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 381] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 381] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 381] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 381] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 381] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 381] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 381] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 381] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 381] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 381] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 381] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 381] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 381] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 381] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 381] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 381] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 381] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 381] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 381] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 381] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 381] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 381] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 381] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 381] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 381] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 381] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 381] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 381] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 381] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 381] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 381] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 381] Brq: ---------------- -[DEBUG][time= 381] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 381] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 381] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 381] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 381] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 381] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 382] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 382] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 382] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 382] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 382] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 382] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 382] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 382] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 382] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 382] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 382] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 382] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 382] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 382] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 382] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 382] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 382] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 382] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 382] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 382] BPUStage3: flushS3=0 -[DEBUG][time= 382] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 382] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 382] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 382] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 382] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 382] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 382] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 382] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 382] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 382] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 382] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 382] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 382] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 382] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 382] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 382] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 382] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 382] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 382] Dispatch2: regfile 0 from 3 -[DEBUG][time= 382] Dispatch2: regfile 1 from 3 -[DEBUG][time= 382] Dispatch2: regfile 2 from 0 -[DEBUG][time= 382] Dispatch2: regfile 3 from 0 -[DEBUG][time= 382] Dispatch2: regfile 4 from 0 -[DEBUG][time= 382] Dispatch2: regfile 5 from 0 -[DEBUG][time= 382] Dispatch2: regfile 6 from 0 -[DEBUG][time= 382] Dispatch2: regfile 7 from 0 -[DEBUG][time= 382] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 382] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 382] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 382] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 382] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 382] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 382] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 382] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 382] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 382] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 382] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 382] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 382] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 382] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 382] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 382] Roq: CSR block should only happen in s_idle -[DEBUG][time= 382] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 382] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 382] Roq: -------------------------------- -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 382] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 382] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 382] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 382] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 382] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 382] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 382] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 382] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 382] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 382] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 382] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 382] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 382] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 382] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 382] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 382] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 382] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 382] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 382] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 382] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 382] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 382] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 382] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 382] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 382] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 382] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 382] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 382] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 382] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 382] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 382] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 382] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 382] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 382] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 382] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 382] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 382] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 382] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 382] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 382] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 382] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 382] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 382] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 382] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 382] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 382] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 382] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 382] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 382] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 382] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 382] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 382] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 382] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 382] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 382] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 382] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 382] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 382] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 382] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 382] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 382] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 382] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 382] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 382] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 382] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 382] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 382] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 382] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 382] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 382] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 382] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 382] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 382] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 382] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 382] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 382] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 382] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 382] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 382] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 382] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 382] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 382] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 382] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 382] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 382] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 382] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 382] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 382] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 382] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 382] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 382] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 382] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 382] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 382] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 382] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 382] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 382] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 382] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 382] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 382] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 382] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 382] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 382] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 382] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 382] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 382] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 382] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 382] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 382] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 382] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 382] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 382] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 382] Brq: ---------------- -[DEBUG][time= 382] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 382] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 382] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 382] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 382] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 382] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 383] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 383] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 383] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 383] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 383] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 383] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 383] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 383] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 383] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 383] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 383] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 383] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 383] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 383] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 383] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 383] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 383] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 383] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 383] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 383] BPUStage3: flushS3=0 -[DEBUG][time= 383] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 383] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 383] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 383] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 383] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 383] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 383] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 383] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 383] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 383] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 383] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 383] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 383] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 383] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 383] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 383] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 383] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 383] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 383] Dispatch2: regfile 0 from 3 -[DEBUG][time= 383] Dispatch2: regfile 1 from 3 -[DEBUG][time= 383] Dispatch2: regfile 2 from 0 -[DEBUG][time= 383] Dispatch2: regfile 3 from 0 -[DEBUG][time= 383] Dispatch2: regfile 4 from 0 -[DEBUG][time= 383] Dispatch2: regfile 5 from 0 -[DEBUG][time= 383] Dispatch2: regfile 6 from 0 -[DEBUG][time= 383] Dispatch2: regfile 7 from 0 -[DEBUG][time= 383] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 383] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 383] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 383] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 383] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 383] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 383] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 383] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 383] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 383] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 383] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 383] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 383] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 383] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 383] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 383] Roq: CSR block should only happen in s_idle -[DEBUG][time= 383] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 383] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 383] Roq: -------------------------------- -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 383] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 383] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 383] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 383] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 383] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 383] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 383] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 383] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 383] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 383] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 383] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 383] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 383] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 383] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 383] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 383] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 383] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 383] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 383] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 383] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 383] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 383] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 383] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 383] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 383] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 383] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 383] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 383] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 383] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 383] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 383] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 383] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 383] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 383] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 383] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 383] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 383] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 383] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 383] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 383] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 383] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 383] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 383] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 383] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 383] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 383] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 383] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 383] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 383] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 383] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 383] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 383] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 383] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 383] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 383] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 383] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 383] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 383] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 383] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 383] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 383] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 383] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 383] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 383] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 383] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 383] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 383] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 383] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 383] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 383] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 383] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 383] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 383] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 383] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 383] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 383] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 383] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 383] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 383] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 383] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 383] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 383] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 383] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 383] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 383] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 383] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 383] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 383] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 383] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 383] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 383] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 383] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 383] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 383] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 383] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 383] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 383] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 383] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 383] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 383] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 383] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 383] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 383] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 383] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 383] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 383] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 383] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 383] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 383] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 383] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 383] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 383] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 383] Brq: ---------------- -[DEBUG][time= 383] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 383] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 383] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 383] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 383] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 383] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 384] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 384] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 384] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 384] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 384] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 384] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 384] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 384] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 384] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 384] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 384] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 384] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 384] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 384] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 384] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 384] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 384] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 384] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 384] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 384] BPUStage3: flushS3=0 -[DEBUG][time= 384] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 384] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 384] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 384] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 384] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 384] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 384] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 384] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 384] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 384] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 384] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 384] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 384] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 384] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 384] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 384] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 384] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 384] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 384] Dispatch2: regfile 0 from 3 -[DEBUG][time= 384] Dispatch2: regfile 1 from 3 -[DEBUG][time= 384] Dispatch2: regfile 2 from 0 -[DEBUG][time= 384] Dispatch2: regfile 3 from 0 -[DEBUG][time= 384] Dispatch2: regfile 4 from 0 -[DEBUG][time= 384] Dispatch2: regfile 5 from 0 -[DEBUG][time= 384] Dispatch2: regfile 6 from 0 -[DEBUG][time= 384] Dispatch2: regfile 7 from 0 -[DEBUG][time= 384] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 384] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 384] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 384] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 384] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 384] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 384] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 384] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 384] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 384] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 384] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 384] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 384] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 384] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 384] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 384] Roq: CSR block should only happen in s_idle -[DEBUG][time= 384] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 384] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 384] Roq: -------------------------------- -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 384] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 384] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 384] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 384] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 384] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 384] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 384] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 384] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 384] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 384] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 384] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 384] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 384] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 384] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 384] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 384] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 384] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 384] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 384] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 384] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 384] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 384] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 384] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 384] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 384] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 384] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 384] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 384] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 384] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 384] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 384] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 384] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 384] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 384] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 384] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 384] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 384] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 384] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 384] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 384] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 384] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 384] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 384] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 384] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 384] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 384] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 384] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 384] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 384] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 384] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 384] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 384] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 384] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 384] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 384] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 384] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 384] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 384] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 384] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 384] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 384] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 384] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 384] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 384] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 384] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 384] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 384] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 384] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 384] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 384] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 384] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 384] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 384] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 384] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 384] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 384] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 384] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 384] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 384] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 384] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 384] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 384] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 384] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 384] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 384] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 384] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 384] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 384] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 384] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 384] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 384] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 384] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 384] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 384] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 384] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 384] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 384] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 384] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 384] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 384] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 384] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 384] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 384] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 384] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 384] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 384] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 384] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 384] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 384] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 384] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 384] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 384] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 384] Brq: ---------------- -[DEBUG][time= 384] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 384] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 384] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 384] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 384] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 384] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 385] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 385] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 385] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 385] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 385] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 385] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 385] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 385] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 385] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 385] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 385] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 385] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 385] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 385] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 385] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 385] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 385] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 385] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 385] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 385] BPUStage3: flushS3=0 -[DEBUG][time= 385] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 385] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 385] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 385] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 385] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 385] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 385] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 385] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 385] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 385] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 385] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 385] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 385] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 385] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 385] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 385] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 385] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 385] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 385] Dispatch2: regfile 0 from 3 -[DEBUG][time= 385] Dispatch2: regfile 1 from 3 -[DEBUG][time= 385] Dispatch2: regfile 2 from 0 -[DEBUG][time= 385] Dispatch2: regfile 3 from 0 -[DEBUG][time= 385] Dispatch2: regfile 4 from 0 -[DEBUG][time= 385] Dispatch2: regfile 5 from 0 -[DEBUG][time= 385] Dispatch2: regfile 6 from 0 -[DEBUG][time= 385] Dispatch2: regfile 7 from 0 -[DEBUG][time= 385] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 385] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 385] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 385] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 385] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 385] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 385] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 385] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 385] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 385] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 385] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 385] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 385] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 385] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 385] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 385] Roq: CSR block should only happen in s_idle -[DEBUG][time= 385] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 385] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 385] Roq: -------------------------------- -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 385] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 385] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 385] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 385] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 385] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 385] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 385] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 385] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 385] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 385] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 385] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 385] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 385] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 385] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 385] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 385] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 385] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 385] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 385] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 385] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 385] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 385] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 385] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 385] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 385] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 385] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 385] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 385] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 385] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 385] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 385] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 385] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 385] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 385] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 385] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 385] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 385] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 385] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 385] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 385] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 385] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 385] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 385] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 385] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 385] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 385] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 385] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 385] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 385] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 385] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 385] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 385] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 385] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 385] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 385] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 385] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 385] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 385] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 385] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 385] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 385] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 385] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 385] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 385] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 385] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 385] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 385] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 385] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 385] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 385] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 385] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 385] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 385] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 385] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 385] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 385] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 385] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 385] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 385] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 385] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 385] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 385] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 385] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 385] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 385] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 385] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 385] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 385] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 385] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 385] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 385] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 385] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 385] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 385] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 385] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 385] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 385] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 385] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 385] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 385] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 385] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 385] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 385] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 385] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 385] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 385] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 385] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 385] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 385] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 385] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 385] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 385] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 385] Brq: ---------------- -[DEBUG][time= 385] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 385] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 385] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 385] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 385] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 385] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 386] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 386] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 386] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 386] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 386] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 386] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 386] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 386] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 386] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 386] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 386] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 386] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 386] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 386] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 386] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 386] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 386] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 386] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 386] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 386] BPUStage3: flushS3=0 -[DEBUG][time= 386] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 386] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 386] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 386] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 386] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 386] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 386] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 386] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 386] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 386] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 386] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 386] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 386] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 386] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 386] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 386] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 386] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 386] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 386] Dispatch2: regfile 0 from 3 -[DEBUG][time= 386] Dispatch2: regfile 1 from 3 -[DEBUG][time= 386] Dispatch2: regfile 2 from 0 -[DEBUG][time= 386] Dispatch2: regfile 3 from 0 -[DEBUG][time= 386] Dispatch2: regfile 4 from 0 -[DEBUG][time= 386] Dispatch2: regfile 5 from 0 -[DEBUG][time= 386] Dispatch2: regfile 6 from 0 -[DEBUG][time= 386] Dispatch2: regfile 7 from 0 -[DEBUG][time= 386] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 386] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 386] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 386] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 386] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 386] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 386] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 386] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 386] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 386] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 386] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 386] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 386] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 386] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 386] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 386] Roq: CSR block should only happen in s_idle -[DEBUG][time= 386] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 386] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 386] Roq: -------------------------------- -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 386] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 386] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 386] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 386] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 386] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 386] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 386] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 386] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 386] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 386] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 386] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 386] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 386] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 386] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 386] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 386] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 386] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 386] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 386] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 386] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 386] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 386] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 386] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 386] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 386] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 386] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 386] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 386] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 386] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 386] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 386] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 386] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 386] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 386] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 386] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 386] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 386] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 386] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 386] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 386] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 386] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 386] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 386] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 386] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 386] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 386] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 386] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 386] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 386] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 386] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 386] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 386] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 386] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 386] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 386] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 386] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 386] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 386] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 386] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 386] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 386] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 386] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 386] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 386] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 386] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 386] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 386] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 386] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 386] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 386] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 386] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 386] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 386] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 386] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 386] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 386] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 386] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 386] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 386] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 386] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 386] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 386] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 386] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 386] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 386] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 386] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 386] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 386] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 386] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 386] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 386] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 386] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 386] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 386] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 386] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 386] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 386] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 386] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 386] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 386] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 386] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 386] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 386] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 386] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 386] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 386] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 386] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 386] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 386] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 386] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 386] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 386] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 386] Brq: ---------------- -[DEBUG][time= 386] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 386] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 386] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 386] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 386] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 386] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 387] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 387] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 387] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 387] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 387] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 387] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 387] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 387] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 387] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 387] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 387] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 387] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 387] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 387] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 387] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 387] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 387] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 387] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 387] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 387] BPUStage3: flushS3=0 -[DEBUG][time= 387] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 387] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 387] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 387] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 387] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 387] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 387] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 387] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 387] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 387] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 387] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 387] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 387] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 387] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 387] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 387] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 387] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 387] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 387] Dispatch2: regfile 0 from 3 -[DEBUG][time= 387] Dispatch2: regfile 1 from 3 -[DEBUG][time= 387] Dispatch2: regfile 2 from 0 -[DEBUG][time= 387] Dispatch2: regfile 3 from 0 -[DEBUG][time= 387] Dispatch2: regfile 4 from 0 -[DEBUG][time= 387] Dispatch2: regfile 5 from 0 -[DEBUG][time= 387] Dispatch2: regfile 6 from 0 -[DEBUG][time= 387] Dispatch2: regfile 7 from 0 -[DEBUG][time= 387] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 387] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 387] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 387] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 387] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 387] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 387] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 387] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 387] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 387] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 387] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 387] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 387] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 387] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 387] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 387] Roq: CSR block should only happen in s_idle -[DEBUG][time= 387] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 387] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 387] Roq: -------------------------------- -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 387] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 387] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 387] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 387] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 387] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 387] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 387] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 387] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 387] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 387] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 387] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 387] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 387] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 387] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 387] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 387] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 387] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 387] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 387] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 387] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 387] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 387] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 387] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 387] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 387] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 387] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 387] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 387] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 387] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 387] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 387] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 387] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 387] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 387] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 387] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 387] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 387] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 387] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 387] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 387] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 387] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 387] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 387] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 387] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 387] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 387] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 387] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 387] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 387] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 387] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 387] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 387] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 387] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 387] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 387] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 387] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 387] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 387] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 387] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 387] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 387] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 387] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 387] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 387] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 387] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 387] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 387] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 387] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 387] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 387] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 387] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 387] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 387] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 387] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 387] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 387] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 387] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 387] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 387] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 387] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 387] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 387] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 387] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 387] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 387] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 387] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 387] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 387] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 387] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 387] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 387] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 387] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 387] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 387] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 387] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 387] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 387] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 387] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 387] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 387] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 387] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 387] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 387] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 387] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 387] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 387] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 387] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 387] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 387] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 387] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 387] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 387] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 387] Brq: ---------------- -[DEBUG][time= 387] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 387] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 387] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 387] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 387] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 387] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 388] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 388] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 388] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 388] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 388] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 388] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 388] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 388] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 388] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 388] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 388] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 388] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 388] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 388] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 388] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 388] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 388] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 388] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 388] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 388] BPUStage3: flushS3=0 -[DEBUG][time= 388] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 388] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 388] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 388] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 388] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 388] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 388] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 388] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 388] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 388] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 388] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 388] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 388] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 388] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 388] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 388] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 388] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 388] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 388] Dispatch2: regfile 0 from 3 -[DEBUG][time= 388] Dispatch2: regfile 1 from 3 -[DEBUG][time= 388] Dispatch2: regfile 2 from 0 -[DEBUG][time= 388] Dispatch2: regfile 3 from 0 -[DEBUG][time= 388] Dispatch2: regfile 4 from 0 -[DEBUG][time= 388] Dispatch2: regfile 5 from 0 -[DEBUG][time= 388] Dispatch2: regfile 6 from 0 -[DEBUG][time= 388] Dispatch2: regfile 7 from 0 -[DEBUG][time= 388] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 388] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 388] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 388] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 388] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 388] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 388] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 388] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 388] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 388] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 388] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 388] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 388] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 388] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 388] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 388] Roq: CSR block should only happen in s_idle -[DEBUG][time= 388] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 388] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 388] Roq: -------------------------------- -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 388] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 388] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 388] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 388] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 388] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 388] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 388] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 388] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 388] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 388] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 388] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 388] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 388] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 388] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 388] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 388] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 388] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 388] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 388] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 388] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 388] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 388] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 388] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 388] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 388] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 388] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 388] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 388] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 388] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 388] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 388] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 388] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 388] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 388] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 388] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 388] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 388] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 388] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 388] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 388] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 388] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 388] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 388] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 388] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 388] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 388] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 388] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 388] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 388] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 388] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 388] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 388] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 388] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 388] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 388] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 388] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 388] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 388] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 388] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 388] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 388] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 388] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 388] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 388] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 388] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 388] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 388] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 388] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 388] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 388] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 388] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 388] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 388] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 388] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 388] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 388] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 388] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 388] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 388] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 388] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 388] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 388] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 388] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 388] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 388] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 388] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 388] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 388] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 388] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 388] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 388] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 388] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 388] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 388] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 388] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 388] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 388] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 388] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 388] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 388] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 388] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 388] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 388] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 388] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 388] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 388] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 388] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 388] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 388] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 388] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 388] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 388] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 388] Brq: ---------------- -[DEBUG][time= 388] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 388] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 388] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 388] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 388] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 388] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 389] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 389] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 389] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 389] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 389] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 389] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 389] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 389] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 389] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 389] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 389] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 389] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 389] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 389] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 389] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 389] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 389] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 389] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 389] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 389] BPUStage3: flushS3=0 -[DEBUG][time= 389] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 389] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 389] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 389] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 389] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 389] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 389] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 389] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 389] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 389] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 389] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 389] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 389] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 389] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 389] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 389] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 389] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 389] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 389] Dispatch2: regfile 0 from 3 -[DEBUG][time= 389] Dispatch2: regfile 1 from 3 -[DEBUG][time= 389] Dispatch2: regfile 2 from 0 -[DEBUG][time= 389] Dispatch2: regfile 3 from 0 -[DEBUG][time= 389] Dispatch2: regfile 4 from 0 -[DEBUG][time= 389] Dispatch2: regfile 5 from 0 -[DEBUG][time= 389] Dispatch2: regfile 6 from 0 -[DEBUG][time= 389] Dispatch2: regfile 7 from 0 -[DEBUG][time= 389] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 389] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 389] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 389] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 389] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 389] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 389] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 389] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 389] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 389] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 389] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 389] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 389] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 389] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 389] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 389] Roq: CSR block should only happen in s_idle -[DEBUG][time= 389] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 389] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 389] Roq: -------------------------------- -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 389] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 389] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 389] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 389] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 389] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 389] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 389] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 389] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 389] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 389] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 389] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 389] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 389] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 389] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 389] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 389] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 389] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 389] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 389] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 389] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 389] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 389] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 389] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 389] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 389] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 389] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 389] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 389] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 389] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 389] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 389] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 389] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 389] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 389] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 389] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 389] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 389] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 389] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 389] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 389] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 389] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 389] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 389] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 389] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 389] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 389] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 389] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 389] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 389] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 389] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 389] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 389] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 389] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 389] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 389] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 389] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 389] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 389] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 389] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 389] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 389] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 389] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 389] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 389] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 389] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 389] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 389] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 389] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 389] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 389] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 389] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 389] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 389] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 389] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 389] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 389] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 389] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 389] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 389] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 389] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 389] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 389] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 389] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 389] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 389] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 389] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 389] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 389] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 389] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 389] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 389] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 389] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 389] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 389] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 389] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 389] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 389] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 389] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 389] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 389] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 389] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 389] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 389] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 389] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 389] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 389] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 389] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 389] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 389] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 389] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 389] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 389] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 389] Brq: ---------------- -[DEBUG][time= 389] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 389] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 389] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 389] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 389] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 389] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 390] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 390] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 390] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 390] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 390] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 390] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 390] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 390] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 390] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 390] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 390] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 390] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 390] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 390] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 390] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 390] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 390] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 390] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 390] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 390] BPUStage3: flushS3=0 -[DEBUG][time= 390] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 390] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 390] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 390] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 390] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 390] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 390] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 390] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 390] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 390] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 390] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 390] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 390] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 390] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 390] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 390] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 390] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 390] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 390] Dispatch2: regfile 0 from 3 -[DEBUG][time= 390] Dispatch2: regfile 1 from 3 -[DEBUG][time= 390] Dispatch2: regfile 2 from 0 -[DEBUG][time= 390] Dispatch2: regfile 3 from 0 -[DEBUG][time= 390] Dispatch2: regfile 4 from 0 -[DEBUG][time= 390] Dispatch2: regfile 5 from 0 -[DEBUG][time= 390] Dispatch2: regfile 6 from 0 -[DEBUG][time= 390] Dispatch2: regfile 7 from 0 -[DEBUG][time= 390] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 390] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 390] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 390] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 390] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 390] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 390] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 390] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 390] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 390] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 390] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 390] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 390] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 390] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 390] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 390] Roq: CSR block should only happen in s_idle -[DEBUG][time= 390] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 390] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 390] Roq: -------------------------------- -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 390] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 390] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 390] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 390] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 390] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 390] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 390] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 390] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 390] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 390] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 390] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 390] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 390] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 390] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 390] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 390] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 390] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 390] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 390] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 390] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 390] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 390] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 390] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 390] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 390] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 390] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 390] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 390] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 390] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 390] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 390] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 390] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 390] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 390] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 390] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 390] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 390] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 390] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 390] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 390] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 390] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 390] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 390] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 390] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 390] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 390] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 390] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 390] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 390] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 390] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 390] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 390] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 390] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 390] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 390] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 390] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 390] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 390] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 390] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 390] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 390] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 390] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 390] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 390] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 390] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 390] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 390] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 390] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 390] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 390] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 390] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 390] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 390] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 390] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 390] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 390] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 390] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 390] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 390] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 390] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 390] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 390] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 390] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 390] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 390] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 390] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 390] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 390] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 390] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 390] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 390] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 390] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 390] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 390] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 390] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 390] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 390] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 390] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 390] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 390] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 390] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 390] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 390] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 390] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 390] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 390] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 390] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 390] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 390] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 390] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 390] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 390] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 390] Brq: ---------------- -[DEBUG][time= 390] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 390] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 390] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 390] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 390] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 390] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 391] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 391] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 391] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 391] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 391] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 391] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 391] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 391] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 391] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 391] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 391] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 391] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 391] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 391] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 391] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 391] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 391] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 391] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 391] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 391] BPUStage3: flushS3=0 -[DEBUG][time= 391] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 391] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 391] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 391] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 391] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 391] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 391] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 391] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 391] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 391] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 391] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 391] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 391] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 391] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 391] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 391] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 391] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 391] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 391] Dispatch2: regfile 0 from 3 -[DEBUG][time= 391] Dispatch2: regfile 1 from 3 -[DEBUG][time= 391] Dispatch2: regfile 2 from 0 -[DEBUG][time= 391] Dispatch2: regfile 3 from 0 -[DEBUG][time= 391] Dispatch2: regfile 4 from 0 -[DEBUG][time= 391] Dispatch2: regfile 5 from 0 -[DEBUG][time= 391] Dispatch2: regfile 6 from 0 -[DEBUG][time= 391] Dispatch2: regfile 7 from 0 -[DEBUG][time= 391] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 391] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 391] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 391] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 391] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 391] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 391] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 391] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 391] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 391] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 391] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 391] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 391] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 391] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 391] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 391] Roq: CSR block should only happen in s_idle -[DEBUG][time= 391] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 391] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 391] Roq: -------------------------------- -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 391] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 391] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 391] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 391] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 391] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 391] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 391] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 391] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 391] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 391] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 391] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 391] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 391] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 391] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 391] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 391] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 391] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 391] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 391] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 391] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 391] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 391] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 391] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 391] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 391] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 391] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 391] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 391] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 391] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 391] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 391] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 391] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 391] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 391] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 391] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 391] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 391] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 391] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 391] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 391] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 391] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 391] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 391] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 391] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 391] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 391] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 391] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 391] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 391] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 391] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 391] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 391] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 391] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 391] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 391] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 391] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 391] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 391] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 391] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 391] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 391] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 391] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 391] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 391] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 391] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 391] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 391] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 391] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 391] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 391] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 391] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 391] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 391] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 391] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 391] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 391] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 391] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 391] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 391] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 391] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 391] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 391] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 391] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 391] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 391] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 391] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 391] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 391] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 391] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 391] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 391] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 391] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 391] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 391] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 391] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 391] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 391] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 391] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 391] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 391] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 391] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 391] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 391] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 391] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 391] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 391] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 391] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 391] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 391] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 391] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 391] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 391] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 391] Brq: ---------------- -[DEBUG][time= 391] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 391] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 391] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 391] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 391] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 391] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 392] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 392] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 392] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 392] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 392] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 392] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 392] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 392] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 392] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 392] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 392] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 392] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 392] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 392] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 392] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 392] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 392] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 392] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 392] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 392] BPUStage3: flushS3=0 -[DEBUG][time= 392] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 392] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 392] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 392] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 392] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 392] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 392] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 392] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 392] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 392] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 392] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 392] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 392] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 392] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 392] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 392] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 392] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 392] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 392] Dispatch2: regfile 0 from 3 -[DEBUG][time= 392] Dispatch2: regfile 1 from 3 -[DEBUG][time= 392] Dispatch2: regfile 2 from 0 -[DEBUG][time= 392] Dispatch2: regfile 3 from 0 -[DEBUG][time= 392] Dispatch2: regfile 4 from 0 -[DEBUG][time= 392] Dispatch2: regfile 5 from 0 -[DEBUG][time= 392] Dispatch2: regfile 6 from 0 -[DEBUG][time= 392] Dispatch2: regfile 7 from 0 -[DEBUG][time= 392] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 392] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 392] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 392] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 392] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 392] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 392] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 392] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 392] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 392] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 392] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 392] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 392] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 392] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 392] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 392] Roq: CSR block should only happen in s_idle -[DEBUG][time= 392] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 392] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 392] Roq: -------------------------------- -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 392] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 392] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 392] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 392] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 392] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 392] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 392] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 392] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 392] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 392] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 392] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 392] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 392] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 392] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 392] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 392] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 392] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 392] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 392] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 392] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 392] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 392] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 392] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 392] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 392] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 392] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 392] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 392] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 392] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 392] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 392] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 392] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 392] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 392] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 392] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 392] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 392] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 392] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 392] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 392] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 392] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 392] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 392] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 392] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 392] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 392] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 392] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 392] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 392] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 392] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 392] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 392] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 392] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 392] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 392] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 392] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 392] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 392] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 392] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 392] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 392] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 392] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 392] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 392] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 392] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 392] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 392] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 392] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 392] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 392] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 392] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 392] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 392] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 392] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 392] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 392] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 392] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 392] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 392] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 392] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 392] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 392] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 392] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 392] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 392] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 392] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 392] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 392] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 392] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 392] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 392] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 392] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 392] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 392] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 392] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 392] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 392] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 392] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 392] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 392] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 392] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 392] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 392] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 392] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 392] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 392] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 392] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 392] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 392] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 392] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 392] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 392] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 392] Brq: ---------------- -[DEBUG][time= 392] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 392] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 392] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 392] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 392] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 392] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 393] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 393] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 393] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 393] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 393] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 393] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 393] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 393] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 393] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 393] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 393] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 393] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 393] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 393] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 393] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 393] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 393] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 393] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 393] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 393] BPUStage3: flushS3=0 -[DEBUG][time= 393] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 393] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 393] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 393] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 393] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 393] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 393] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 393] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 393] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 393] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 393] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 393] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 393] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 393] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 393] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 393] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 393] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 393] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 393] Dispatch2: regfile 0 from 3 -[DEBUG][time= 393] Dispatch2: regfile 1 from 3 -[DEBUG][time= 393] Dispatch2: regfile 2 from 0 -[DEBUG][time= 393] Dispatch2: regfile 3 from 0 -[DEBUG][time= 393] Dispatch2: regfile 4 from 0 -[DEBUG][time= 393] Dispatch2: regfile 5 from 0 -[DEBUG][time= 393] Dispatch2: regfile 6 from 0 -[DEBUG][time= 393] Dispatch2: regfile 7 from 0 -[DEBUG][time= 393] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 393] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 393] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 393] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 393] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 393] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 393] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 393] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 393] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 393] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 393] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 393] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 393] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 393] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 393] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 393] Roq: CSR block should only happen in s_idle -[DEBUG][time= 393] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 393] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 393] Roq: -------------------------------- -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 393] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 393] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 393] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 393] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 393] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 393] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 393] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 393] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 393] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 393] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 393] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 393] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 393] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 393] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 393] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 393] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 393] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 393] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 393] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 393] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 393] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 393] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 393] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 393] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 393] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 393] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 393] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 393] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 393] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 393] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 393] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 393] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 393] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 393] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 393] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 393] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 393] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 393] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 393] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 393] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 393] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 393] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 393] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 393] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 393] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 393] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 393] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 393] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 393] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 393] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 393] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 393] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 393] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 393] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 393] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 393] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 393] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 393] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 393] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 393] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 393] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 393] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 393] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 393] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 393] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 393] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 393] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 393] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 393] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 393] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 393] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 393] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 393] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 393] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 393] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 393] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 393] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 393] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 393] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 393] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 393] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 393] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 393] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 393] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 393] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 393] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 393] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 393] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 393] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 393] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 393] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 393] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 393] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 393] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 393] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 393] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 393] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 393] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 393] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 393] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 393] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 393] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 393] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 393] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 393] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 393] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 393] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 393] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 393] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 393] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 393] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 393] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 393] Brq: ---------------- -[DEBUG][time= 393] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 393] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 393] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 393] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 393] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 393] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 394] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 394] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 394] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 394] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 394] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 394] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 394] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 394] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 394] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 394] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 394] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 394] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 394] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 394] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 394] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 394] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 394] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 394] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 394] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 394] BPUStage3: flushS3=0 -[DEBUG][time= 394] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 394] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 394] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 394] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 394] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 394] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 394] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 394] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 394] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 394] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 394] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 394] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 394] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 394] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 394] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 394] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 394] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 394] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 394] Dispatch2: regfile 0 from 3 -[DEBUG][time= 394] Dispatch2: regfile 1 from 3 -[DEBUG][time= 394] Dispatch2: regfile 2 from 0 -[DEBUG][time= 394] Dispatch2: regfile 3 from 0 -[DEBUG][time= 394] Dispatch2: regfile 4 from 0 -[DEBUG][time= 394] Dispatch2: regfile 5 from 0 -[DEBUG][time= 394] Dispatch2: regfile 6 from 0 -[DEBUG][time= 394] Dispatch2: regfile 7 from 0 -[DEBUG][time= 394] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 394] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 394] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 394] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 394] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 394] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 394] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 394] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 394] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 394] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 394] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 394] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 394] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 394] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 394] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 394] Roq: CSR block should only happen in s_idle -[DEBUG][time= 394] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 394] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 394] Roq: -------------------------------- -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 394] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 394] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 394] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 394] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 394] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 394] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 394] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 394] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 394] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 394] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 394] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 394] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 394] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 394] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 394] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 394] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 394] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 394] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 394] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 394] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 394] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 394] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 394] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 394] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 394] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 394] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 394] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 394] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 394] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 394] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 394] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 394] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 394] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 394] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 394] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 394] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 394] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 394] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 394] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 394] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 394] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 394] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 394] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 394] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 394] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 394] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 394] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 394] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 394] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 394] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 394] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 394] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 394] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 394] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 394] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 394] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 394] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 394] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 394] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 394] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 394] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 394] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 394] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 394] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 394] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 394] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 394] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 394] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 394] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 394] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 394] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 394] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 394] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 394] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 394] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 394] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 394] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 394] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 394] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 394] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 394] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 394] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 394] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 394] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 394] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 394] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 394] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 394] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 394] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 394] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 394] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 394] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 394] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 394] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 394] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 394] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 394] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 394] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 394] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 394] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 394] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 394] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 394] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 394] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 394] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 394] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 394] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 394] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 394] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 394] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 394] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 394] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 394] Brq: ---------------- -[DEBUG][time= 394] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 394] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 394] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 394] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 394] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 394] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 395] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 395] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 395] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 395] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 395] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 395] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 395] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 395] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 395] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 395] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 395] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 395] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 395] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 395] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 395] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 395] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 395] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 395] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 395] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 395] BPUStage3: flushS3=0 -[DEBUG][time= 395] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 395] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 395] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 395] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 395] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 395] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 395] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 395] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 395] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 395] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 395] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 395] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 395] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 395] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 395] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 395] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 395] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 395] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 395] Dispatch2: regfile 0 from 3 -[DEBUG][time= 395] Dispatch2: regfile 1 from 3 -[DEBUG][time= 395] Dispatch2: regfile 2 from 0 -[DEBUG][time= 395] Dispatch2: regfile 3 from 0 -[DEBUG][time= 395] Dispatch2: regfile 4 from 0 -[DEBUG][time= 395] Dispatch2: regfile 5 from 0 -[DEBUG][time= 395] Dispatch2: regfile 6 from 0 -[DEBUG][time= 395] Dispatch2: regfile 7 from 0 -[DEBUG][time= 395] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 395] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 395] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 395] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 395] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 395] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 395] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 395] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 395] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 395] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 395] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 395] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 395] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 395] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 395] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 395] Roq: CSR block should only happen in s_idle -[DEBUG][time= 395] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 395] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 395] Roq: -------------------------------- -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 395] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 395] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 395] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 395] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 395] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 395] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 395] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 395] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 395] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 395] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 395] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 395] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 395] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 395] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 395] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 395] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 395] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 395] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 395] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 395] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 395] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 395] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 395] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 395] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 395] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 395] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 395] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 395] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 395] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 395] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 395] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 395] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 395] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 395] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 395] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 395] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 395] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 395] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 395] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 395] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 395] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 395] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 395] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 395] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 395] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 395] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 395] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 395] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 395] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 395] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 395] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 395] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 395] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 395] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 395] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 395] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 395] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 395] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 395] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 395] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 395] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 395] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 395] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 395] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 395] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 395] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 395] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 395] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 395] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 395] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 395] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 395] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 395] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 395] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 395] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 395] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 395] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 395] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 395] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 395] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 395] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 395] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 395] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 395] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 395] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 395] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 395] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 395] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 395] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 395] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 395] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 395] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 395] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 395] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 395] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 395] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 395] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 395] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 395] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 395] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 395] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 395] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 395] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 395] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 395] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 395] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 395] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 395] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 395] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 395] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 395] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 395] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 395] Brq: ---------------- -[DEBUG][time= 395] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 395] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 395] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 395] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 395] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 395] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 396] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 396] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 396] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 396] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 396] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 396] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 396] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 396] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 396] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 396] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 396] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 396] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 396] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 396] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 396] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 396] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 396] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 396] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 396] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 396] BPUStage3: flushS3=0 -[DEBUG][time= 396] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 396] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 396] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 396] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 396] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 396] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 396] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 396] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 396] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 396] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 396] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 396] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 396] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 396] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 396] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 396] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 396] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 396] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 396] Dispatch2: regfile 0 from 3 -[DEBUG][time= 396] Dispatch2: regfile 1 from 3 -[DEBUG][time= 396] Dispatch2: regfile 2 from 0 -[DEBUG][time= 396] Dispatch2: regfile 3 from 0 -[DEBUG][time= 396] Dispatch2: regfile 4 from 0 -[DEBUG][time= 396] Dispatch2: regfile 5 from 0 -[DEBUG][time= 396] Dispatch2: regfile 6 from 0 -[DEBUG][time= 396] Dispatch2: regfile 7 from 0 -[DEBUG][time= 396] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 396] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 396] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 396] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 396] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 396] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 396] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 396] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 396] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 396] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 396] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 396] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 396] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 396] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 396] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 396] Roq: CSR block should only happen in s_idle -[DEBUG][time= 396] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 396] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 396] Roq: -------------------------------- -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 396] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 396] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 396] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 396] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 396] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 396] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 396] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 396] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 396] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 396] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 396] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 396] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 396] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 396] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 396] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 396] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 396] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 396] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 396] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 396] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 396] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 396] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 396] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 396] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 396] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 396] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 396] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 396] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 396] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 396] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 396] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 396] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 396] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 396] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 396] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 396] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 396] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 396] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 396] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 396] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 396] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 396] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 396] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 396] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 396] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 396] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 396] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 396] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 396] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 396] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 396] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 396] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 396] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 396] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 396] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 396] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 396] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 396] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 396] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 396] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 396] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 396] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 396] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 396] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 396] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 396] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 396] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 396] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 396] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 396] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 396] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 396] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 396] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 396] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 396] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 396] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 396] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 396] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 396] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 396] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 396] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 396] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 396] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 396] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 396] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 396] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 396] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 396] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 396] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 396] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 396] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 396] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 396] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 396] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 396] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 396] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 396] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 396] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 396] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 396] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 396] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 396] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 396] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 396] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 396] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 396] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 396] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 396] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 396] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 396] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 396] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 396] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 396] Brq: ---------------- -[DEBUG][time= 396] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 396] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 396] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 396] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 396] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 396] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 397] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 397] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 397] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 397] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 397] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 397] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 397] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 397] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 397] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 397] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 397] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 397] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 397] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 397] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 397] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 397] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 397] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 397] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 397] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 397] BPUStage3: flushS3=0 -[DEBUG][time= 397] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 397] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 397] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 397] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 397] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 397] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 397] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 397] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 397] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 397] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 397] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 397] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 397] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 397] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 397] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 397] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 397] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 397] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 397] Dispatch2: regfile 0 from 3 -[DEBUG][time= 397] Dispatch2: regfile 1 from 3 -[DEBUG][time= 397] Dispatch2: regfile 2 from 0 -[DEBUG][time= 397] Dispatch2: regfile 3 from 0 -[DEBUG][time= 397] Dispatch2: regfile 4 from 0 -[DEBUG][time= 397] Dispatch2: regfile 5 from 0 -[DEBUG][time= 397] Dispatch2: regfile 6 from 0 -[DEBUG][time= 397] Dispatch2: regfile 7 from 0 -[DEBUG][time= 397] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 397] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 397] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 397] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 397] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 397] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 397] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 397] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 397] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 397] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 397] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 397] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 397] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 397] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 397] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 397] Roq: CSR block should only happen in s_idle -[DEBUG][time= 397] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 397] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 397] Roq: -------------------------------- -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 397] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 397] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 397] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 397] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 397] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 397] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 397] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 397] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 397] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 397] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 397] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 397] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 397] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 397] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 397] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 397] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 397] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 397] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 397] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 397] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 397] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 397] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 397] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 397] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 397] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 397] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 397] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 397] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 397] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 397] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 397] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 397] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 397] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 397] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 397] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 397] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 397] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 397] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 397] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 397] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 397] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 397] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 397] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 397] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 397] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 397] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 397] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 397] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 397] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 397] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 397] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 397] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 397] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 397] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 397] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 397] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 397] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 397] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 397] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 397] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 397] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 397] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 397] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 397] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 397] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 397] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 397] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 397] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 397] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 397] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 397] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 397] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 397] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 397] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 397] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 397] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 397] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 397] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 397] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 397] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 397] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 397] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 397] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 397] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 397] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 397] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 397] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 397] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 397] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 397] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 397] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 397] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 397] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 397] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 397] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 397] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 397] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 397] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 397] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 397] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 397] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 397] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 397] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 397] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 397] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 397] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 397] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 397] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 397] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 397] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 397] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 397] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 397] Brq: ---------------- -[DEBUG][time= 397] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 397] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 397] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 397] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 397] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 397] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 398] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 398] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 398] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 398] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 398] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 398] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 398] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 398] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 398] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 398] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 398] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 398] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 398] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 398] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 398] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 398] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 398] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 398] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 398] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 398] BPUStage3: flushS3=0 -[DEBUG][time= 398] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 398] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 398] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 398] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 398] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 398] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 398] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 398] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 398] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 398] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 398] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 398] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 398] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 398] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 398] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 398] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 398] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 398] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 398] Dispatch2: regfile 0 from 3 -[DEBUG][time= 398] Dispatch2: regfile 1 from 3 -[DEBUG][time= 398] Dispatch2: regfile 2 from 0 -[DEBUG][time= 398] Dispatch2: regfile 3 from 0 -[DEBUG][time= 398] Dispatch2: regfile 4 from 0 -[DEBUG][time= 398] Dispatch2: regfile 5 from 0 -[DEBUG][time= 398] Dispatch2: regfile 6 from 0 -[DEBUG][time= 398] Dispatch2: regfile 7 from 0 -[DEBUG][time= 398] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 398] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 398] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 398] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 398] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 398] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 398] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 398] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 398] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 398] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 398] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 398] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 398] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 398] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 398] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 398] Roq: CSR block should only happen in s_idle -[DEBUG][time= 398] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 398] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 398] Roq: -------------------------------- -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 398] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 398] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 398] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 398] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 398] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 398] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 398] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 398] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 398] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 398] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 398] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 398] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 398] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 398] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 398] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 398] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 398] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 398] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 398] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 398] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 398] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 398] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 398] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 398] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 398] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 398] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 398] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 398] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 398] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 398] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 398] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 398] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 398] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 398] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 398] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 398] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 398] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 398] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 398] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 398] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 398] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 398] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 398] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 398] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 398] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 398] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 398] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 398] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 398] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 398] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 398] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 398] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 398] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 398] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 398] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 398] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 398] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 398] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 398] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 398] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 398] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 398] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 398] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 398] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 398] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 398] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 398] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 398] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 398] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 398] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 398] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 398] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 398] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 398] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 398] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 398] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 398] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 398] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 398] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 398] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 398] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 398] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 398] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 398] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 398] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 398] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 398] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 398] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 398] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 398] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 398] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 398] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 398] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 398] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 398] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 398] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 398] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 398] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 398] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 398] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 398] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 398] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 398] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 398] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 398] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 398] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 398] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 398] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 398] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 398] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 398] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 398] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 398] Brq: ---------------- -[DEBUG][time= 398] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 398] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 398] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 398] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 398] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 398] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 399] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 399] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 399] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 399] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 399] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 399] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 399] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 399] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 399] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 399] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 399] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 399] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 399] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 399] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 399] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 399] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 399] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 399] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 399] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 399] BPUStage3: flushS3=0 -[DEBUG][time= 399] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 399] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 399] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 399] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 399] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 399] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 399] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 399] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 399] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 399] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 399] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 399] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 399] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 399] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 399] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 399] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 399] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 399] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 399] Dispatch2: regfile 0 from 3 -[DEBUG][time= 399] Dispatch2: regfile 1 from 3 -[DEBUG][time= 399] Dispatch2: regfile 2 from 0 -[DEBUG][time= 399] Dispatch2: regfile 3 from 0 -[DEBUG][time= 399] Dispatch2: regfile 4 from 0 -[DEBUG][time= 399] Dispatch2: regfile 5 from 0 -[DEBUG][time= 399] Dispatch2: regfile 6 from 0 -[DEBUG][time= 399] Dispatch2: regfile 7 from 0 -[DEBUG][time= 399] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 399] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 399] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 399] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 399] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 399] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 399] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 399] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 399] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 399] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 399] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 399] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 399] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 399] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 399] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 399] Roq: CSR block should only happen in s_idle -[DEBUG][time= 399] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 399] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 399] Roq: -------------------------------- -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 399] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 399] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 399] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 399] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 399] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 399] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 399] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 399] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 399] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 399] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 399] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 399] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 399] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 399] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 399] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 399] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 399] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 399] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 399] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 399] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 399] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 399] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 399] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 399] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 399] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 399] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 399] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 399] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 399] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 399] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 399] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 399] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 399] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 399] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 399] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 399] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 399] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 399] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 399] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 399] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 399] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 399] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 399] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 399] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 399] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 399] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 399] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 399] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 399] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 399] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 399] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 399] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 399] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 399] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 399] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 399] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 399] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 399] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 399] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 399] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 399] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 399] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 399] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 399] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 399] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 399] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 399] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 399] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 399] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 399] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 399] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 399] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 399] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 399] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 399] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 399] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 399] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 399] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 399] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 399] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 399] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 399] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 399] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 399] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 399] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 399] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 399] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 399] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 399] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 399] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 399] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 399] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 399] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 399] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 399] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 399] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 399] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 399] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 399] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 399] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 399] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 399] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 399] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 399] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 399] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 399] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 399] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 399] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 399] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 399] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 399] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 399] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 399] Brq: ---------------- -[DEBUG][time= 399] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 399] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 399] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 399] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 399] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 399] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 400] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 400] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 400] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 400] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 400] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 400] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 400] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 400] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 400] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 400] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 400] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 400] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 400] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 400] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 400] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 400] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 400] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 400] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 400] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 400] BPUStage3: flushS3=0 -[DEBUG][time= 400] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 400] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 400] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 400] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 400] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 400] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 400] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 400] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 400] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 400] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 400] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 400] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 400] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 400] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 400] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 400] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 400] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 400] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 400] Dispatch2: regfile 0 from 3 -[DEBUG][time= 400] Dispatch2: regfile 1 from 3 -[DEBUG][time= 400] Dispatch2: regfile 2 from 0 -[DEBUG][time= 400] Dispatch2: regfile 3 from 0 -[DEBUG][time= 400] Dispatch2: regfile 4 from 0 -[DEBUG][time= 400] Dispatch2: regfile 5 from 0 -[DEBUG][time= 400] Dispatch2: regfile 6 from 0 -[DEBUG][time= 400] Dispatch2: regfile 7 from 0 -[DEBUG][time= 400] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 400] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 400] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 400] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 400] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 400] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 400] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 400] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 400] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 400] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 400] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 400] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 400] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 400] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 400] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 400] Roq: CSR block should only happen in s_idle -[DEBUG][time= 400] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 400] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 400] Roq: -------------------------------- -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 400] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 400] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 400] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 400] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 400] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 400] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 400] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 400] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 400] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 400] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 400] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 400] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 400] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 400] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 400] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 400] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 400] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 400] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 400] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 400] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 400] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 400] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 400] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 400] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 400] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 400] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 400] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 400] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 400] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 400] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 400] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 400] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 400] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 400] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 400] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 400] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 400] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 400] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 400] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 400] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 400] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 400] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 400] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 400] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 400] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 400] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 400] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 400] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 400] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 400] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 400] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 400] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 400] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 400] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 400] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 400] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 400] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 400] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 400] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 400] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 400] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 400] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 400] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 400] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 400] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 400] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 400] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 400] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 400] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 400] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 400] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 400] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 400] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 400] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 400] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 400] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 400] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 400] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 400] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 400] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 400] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 400] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 400] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 400] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 400] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 400] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 400] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 400] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 400] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 400] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 400] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 400] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 400] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 400] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 400] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 400] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 400] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 400] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 400] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 400] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 400] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 400] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 400] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 400] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 400] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 400] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 400] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 400] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 400] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 400] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 400] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 400] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 400] Brq: ---------------- -[DEBUG][time= 400] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 400] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 400] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 400] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 400] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 400] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 401] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 401] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 401] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 401] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 401] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 401] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 401] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 401] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 401] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 401] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 401] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 401] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 401] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 401] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 401] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 401] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 401] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 401] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 401] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 401] BPUStage3: flushS3=0 -[DEBUG][time= 401] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 401] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 401] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 401] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 401] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 401] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 401] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 401] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 401] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 401] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 401] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 401] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 401] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 401] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 401] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 401] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 401] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 401] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 401] Dispatch2: regfile 0 from 3 -[DEBUG][time= 401] Dispatch2: regfile 1 from 3 -[DEBUG][time= 401] Dispatch2: regfile 2 from 0 -[DEBUG][time= 401] Dispatch2: regfile 3 from 0 -[DEBUG][time= 401] Dispatch2: regfile 4 from 0 -[DEBUG][time= 401] Dispatch2: regfile 5 from 0 -[DEBUG][time= 401] Dispatch2: regfile 6 from 0 -[DEBUG][time= 401] Dispatch2: regfile 7 from 0 -[DEBUG][time= 401] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 401] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 401] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 401] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 401] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 401] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 401] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 401] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 401] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 401] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 401] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 401] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 401] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 401] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 401] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 401] Roq: CSR block should only happen in s_idle -[DEBUG][time= 401] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 401] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 401] Roq: -------------------------------- -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 401] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 401] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 401] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 401] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 401] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 401] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 401] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 401] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 401] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 401] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 401] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 401] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 401] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 401] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 401] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 401] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 401] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 401] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 401] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 401] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 401] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 401] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 401] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 401] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 401] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 401] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 401] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 401] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 401] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 401] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 401] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 401] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 401] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 401] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 401] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 401] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 401] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 401] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 401] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 401] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 401] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 401] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 401] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 401] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 401] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 401] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 401] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 401] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 401] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 401] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 401] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 401] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 401] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 401] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 401] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 401] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 401] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 401] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 401] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 401] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 401] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 401] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 401] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 401] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 401] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 401] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 401] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 401] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 401] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 401] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 401] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 401] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 401] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 401] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 401] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 401] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 401] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 401] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 401] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 401] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 401] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 401] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 401] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 401] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 401] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 401] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 401] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 401] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 401] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 401] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 401] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 401] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 401] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 401] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 401] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 401] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 401] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 401] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 401] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 401] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 401] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 401] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 401] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 401] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 401] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 401] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 401] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 401] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 401] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 401] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 401] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 401] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 401] Brq: ---------------- -[DEBUG][time= 401] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 401] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 401] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 401] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 401] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 401] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 402] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 402] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 402] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 402] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 402] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 402] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 402] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 402] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 402] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 402] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 402] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 402] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 402] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 402] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 402] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 402] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 402] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 402] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 402] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 402] BPUStage3: flushS3=0 -[DEBUG][time= 402] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 402] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 402] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 402] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 402] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 402] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 402] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 402] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 402] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 402] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 402] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 402] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 402] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 402] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 402] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 402] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 402] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 402] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 402] Dispatch2: regfile 0 from 3 -[DEBUG][time= 402] Dispatch2: regfile 1 from 3 -[DEBUG][time= 402] Dispatch2: regfile 2 from 0 -[DEBUG][time= 402] Dispatch2: regfile 3 from 0 -[DEBUG][time= 402] Dispatch2: regfile 4 from 0 -[DEBUG][time= 402] Dispatch2: regfile 5 from 0 -[DEBUG][time= 402] Dispatch2: regfile 6 from 0 -[DEBUG][time= 402] Dispatch2: regfile 7 from 0 -[DEBUG][time= 402] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 402] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 402] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 402] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 402] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 402] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 402] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 402] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 402] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 402] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 402] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 402] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 402] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 402] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 402] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 402] Roq: CSR block should only happen in s_idle -[DEBUG][time= 402] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 402] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 402] Roq: -------------------------------- -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 402] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 402] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 402] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 402] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 402] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 402] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 402] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 402] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 402] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 402] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 402] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 402] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 402] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 402] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 402] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 402] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 402] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 402] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 402] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 402] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 402] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 402] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 402] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 402] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 402] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 402] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 402] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 402] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 402] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 402] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 402] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 402] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 402] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 402] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 402] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 402] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 402] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 402] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 402] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 402] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 402] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 402] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 402] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 402] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 402] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 402] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 402] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 402] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 402] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 402] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 402] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 402] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 402] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 402] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 402] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 402] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 402] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 402] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 402] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 402] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 402] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 402] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 402] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 402] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 402] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 402] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 402] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 402] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 402] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 402] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 402] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 402] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 402] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 402] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 402] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 402] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 402] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 402] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 402] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 402] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 402] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 402] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 402] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 402] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 402] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 402] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 402] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 402] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 402] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 402] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 402] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 402] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 402] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 402] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 402] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 402] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 402] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 402] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 402] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 402] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 402] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 402] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 402] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 402] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 402] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 402] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 402] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 402] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 402] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 402] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 402] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 402] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 402] Brq: ---------------- -[DEBUG][time= 402] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 402] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 402] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 402] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 402] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 402] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 403] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 403] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 403] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 403] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 403] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 403] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 403] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 403] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 403] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 403] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 403] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 403] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 403] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 403] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 403] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 403] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 403] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 403] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 403] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 403] BPUStage3: flushS3=0 -[DEBUG][time= 403] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 403] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 403] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 403] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 403] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 403] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 403] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 403] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 403] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 403] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 403] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 403] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 403] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 403] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 403] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 403] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 403] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 403] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 403] Dispatch2: regfile 0 from 3 -[DEBUG][time= 403] Dispatch2: regfile 1 from 3 -[DEBUG][time= 403] Dispatch2: regfile 2 from 0 -[DEBUG][time= 403] Dispatch2: regfile 3 from 0 -[DEBUG][time= 403] Dispatch2: regfile 4 from 0 -[DEBUG][time= 403] Dispatch2: regfile 5 from 0 -[DEBUG][time= 403] Dispatch2: regfile 6 from 0 -[DEBUG][time= 403] Dispatch2: regfile 7 from 0 -[DEBUG][time= 403] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 403] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 403] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 403] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 403] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 403] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 403] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 403] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 403] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 403] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 403] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 403] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 403] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 403] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 403] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 403] Roq: CSR block should only happen in s_idle -[DEBUG][time= 403] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 403] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 403] Roq: -------------------------------- -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 403] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 403] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 403] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 403] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 403] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 403] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 403] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 403] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 403] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 403] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 403] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 403] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 403] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 403] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 403] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 403] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 403] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 403] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 403] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 403] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 403] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 403] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 403] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 403] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 403] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 403] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 403] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 403] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 403] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 403] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 403] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 403] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 403] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 403] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 403] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 403] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 403] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 403] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 403] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 403] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 403] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 403] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 403] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 403] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 403] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 403] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 403] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 403] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 403] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 403] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 403] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 403] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 403] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 403] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 403] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 403] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 403] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 403] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 403] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 403] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 403] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 403] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 403] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 403] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 403] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 403] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 403] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 403] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 403] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 403] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 403] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 403] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 403] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 403] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 403] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 403] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 403] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 403] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 403] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 403] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 403] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 403] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 403] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 403] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 403] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 403] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 403] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 403] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 403] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 403] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 403] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 403] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 403] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 403] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 403] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 403] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 403] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 403] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 403] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 403] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 403] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 403] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 403] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 403] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 403] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 403] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 403] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 403] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 403] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 403] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 403] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 403] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 403] Brq: ---------------- -[DEBUG][time= 403] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 403] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 403] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 403] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 403] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 403] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 404] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 404] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 404] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 404] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 404] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 404] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 404] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 404] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 404] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 404] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 404] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 404] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 404] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 404] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 404] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 404] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 404] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 404] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 404] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 404] BPUStage3: flushS3=0 -[DEBUG][time= 404] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 404] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 404] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 404] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 404] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 404] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 404] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 404] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 404] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 404] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 404] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 404] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 404] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 404] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 404] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 404] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 404] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 404] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 404] Dispatch2: regfile 0 from 3 -[DEBUG][time= 404] Dispatch2: regfile 1 from 3 -[DEBUG][time= 404] Dispatch2: regfile 2 from 0 -[DEBUG][time= 404] Dispatch2: regfile 3 from 0 -[DEBUG][time= 404] Dispatch2: regfile 4 from 0 -[DEBUG][time= 404] Dispatch2: regfile 5 from 0 -[DEBUG][time= 404] Dispatch2: regfile 6 from 0 -[DEBUG][time= 404] Dispatch2: regfile 7 from 0 -[DEBUG][time= 404] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 404] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 404] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 404] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 404] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 404] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 404] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 404] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 404] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 404] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 404] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 404] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 404] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 404] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 404] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 404] Roq: CSR block should only happen in s_idle -[DEBUG][time= 404] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 404] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 404] Roq: -------------------------------- -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 404] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 404] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 404] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 404] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 404] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 404] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 404] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 404] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 404] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 404] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 404] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 404] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 404] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 404] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 404] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 404] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 404] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 404] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 404] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 404] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 404] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 404] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 404] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 404] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 404] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 404] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 404] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 404] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 404] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 404] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 404] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 404] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 404] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 404] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 404] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 404] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 404] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 404] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 404] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 404] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 404] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 404] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 404] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 404] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 404] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 404] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 404] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 404] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 404] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 404] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 404] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 404] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 404] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 404] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 404] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 404] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 404] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 404] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 404] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 404] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 404] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 404] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 404] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 404] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 404] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 404] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 404] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 404] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 404] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 404] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 404] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 404] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 404] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 404] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 404] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 404] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 404] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 404] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 404] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 404] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 404] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 404] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 404] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 404] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 404] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 404] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 404] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 404] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 404] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 404] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 404] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 404] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 404] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 404] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 404] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 404] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 404] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 404] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 404] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 404] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 404] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 404] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 404] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 404] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 404] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 404] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 404] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 404] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 404] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 404] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 404] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 404] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 404] Brq: ---------------- -[DEBUG][time= 404] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 404] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 404] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 404] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 404] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 404] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 405] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 405] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 405] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 405] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 405] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 405] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 405] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 405] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 405] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 405] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 405] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 405] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 405] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 405] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 405] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 405] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 405] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 405] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 405] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 405] BPUStage3: flushS3=0 -[DEBUG][time= 405] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 405] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 405] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 405] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 405] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 405] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 405] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 405] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 405] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 405] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 405] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 405] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 405] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 405] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 405] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 405] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 405] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 405] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 405] Dispatch2: regfile 0 from 3 -[DEBUG][time= 405] Dispatch2: regfile 1 from 3 -[DEBUG][time= 405] Dispatch2: regfile 2 from 0 -[DEBUG][time= 405] Dispatch2: regfile 3 from 0 -[DEBUG][time= 405] Dispatch2: regfile 4 from 0 -[DEBUG][time= 405] Dispatch2: regfile 5 from 0 -[DEBUG][time= 405] Dispatch2: regfile 6 from 0 -[DEBUG][time= 405] Dispatch2: regfile 7 from 0 -[DEBUG][time= 405] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 405] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 405] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 405] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 405] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 405] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 405] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 405] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 405] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 405] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 405] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 405] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 405] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 405] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 405] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 405] Roq: CSR block should only happen in s_idle -[DEBUG][time= 405] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 405] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 405] Roq: -------------------------------- -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 405] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 405] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 405] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 405] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 405] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 405] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 405] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 405] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 405] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 405] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 405] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 405] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 405] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 405] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 405] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 405] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 405] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 405] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 405] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 405] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 405] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 405] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 405] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 405] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 405] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 405] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 405] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 405] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 405] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 405] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 405] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 405] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 405] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 405] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 405] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 405] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 405] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 405] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 405] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 405] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 405] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 405] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 405] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 405] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 405] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 405] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 405] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 405] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 405] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 405] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 405] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 405] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 405] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 405] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 405] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 405] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 405] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 405] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 405] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 405] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 405] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 405] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 405] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 405] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 405] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 405] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 405] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 405] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 405] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 405] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 405] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 405] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 405] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 405] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 405] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 405] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 405] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 405] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 405] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 405] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 405] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 405] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 405] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 405] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 405] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 405] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 405] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 405] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 405] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 405] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 405] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 405] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 405] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 405] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 405] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 405] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 405] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 405] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 405] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 405] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 405] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 405] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 405] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 405] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 405] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 405] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 405] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 405] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 405] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 405] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 405] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 405] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 405] Brq: ---------------- -[DEBUG][time= 405] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 405] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 405] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 405] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 405] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 405] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 406] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 406] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 406] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 406] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 406] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 406] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 406] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 406] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 406] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 406] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 406] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 406] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 406] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 406] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 406] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 406] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 406] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 406] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 406] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 406] BPUStage3: flushS3=0 -[DEBUG][time= 406] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 406] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 406] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 406] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 406] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 406] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 406] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 406] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 406] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 406] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 406] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 406] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 406] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 406] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 406] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 406] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 406] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 406] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 406] Dispatch2: regfile 0 from 3 -[DEBUG][time= 406] Dispatch2: regfile 1 from 3 -[DEBUG][time= 406] Dispatch2: regfile 2 from 0 -[DEBUG][time= 406] Dispatch2: regfile 3 from 0 -[DEBUG][time= 406] Dispatch2: regfile 4 from 0 -[DEBUG][time= 406] Dispatch2: regfile 5 from 0 -[DEBUG][time= 406] Dispatch2: regfile 6 from 0 -[DEBUG][time= 406] Dispatch2: regfile 7 from 0 -[DEBUG][time= 406] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 406] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 406] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 406] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 406] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 406] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 406] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 406] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 406] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 406] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 406] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 406] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 406] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 406] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 406] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 406] Roq: CSR block should only happen in s_idle -[DEBUG][time= 406] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 406] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 406] Roq: -------------------------------- -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 406] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 406] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 406] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 406] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 406] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 406] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 406] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 406] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 406] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 406] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 406] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 406] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 406] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 406] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 406] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 406] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 406] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 406] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 406] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 406] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 406] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 406] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 406] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 406] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 406] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 406] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 406] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 406] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 406] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 406] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 406] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 406] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 406] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 406] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 406] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 406] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 406] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 406] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 406] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 406] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 406] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 406] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 406] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 406] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 406] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 406] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 406] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 406] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 406] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 406] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 406] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 406] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 406] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 406] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 406] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 406] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 406] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 406] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 406] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 406] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 406] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 406] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 406] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 406] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 406] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 406] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 406] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 406] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 406] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 406] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 406] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 406] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 406] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 406] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 406] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 406] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 406] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 406] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 406] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 406] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 406] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 406] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 406] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 406] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 406] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 406] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 406] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 406] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 406] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 406] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 406] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 406] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 406] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 406] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 406] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 406] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 406] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 406] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 406] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 406] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 406] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 406] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 406] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 406] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 406] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 406] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 406] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 406] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 406] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 406] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 406] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 406] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 406] Brq: ---------------- -[DEBUG][time= 406] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 406] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 406] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 406] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 406] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 406] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 407] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 407] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 407] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 407] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 407] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 407] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 407] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 407] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 407] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 407] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 407] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 407] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 407] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 407] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 407] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 407] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 407] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 407] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 407] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 407] BPUStage3: flushS3=0 -[DEBUG][time= 407] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 407] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 407] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 407] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 407] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 407] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 407] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 407] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 407] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 407] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 407] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 407] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 407] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 407] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 407] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 407] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 407] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 407] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 407] Dispatch2: regfile 0 from 3 -[DEBUG][time= 407] Dispatch2: regfile 1 from 3 -[DEBUG][time= 407] Dispatch2: regfile 2 from 0 -[DEBUG][time= 407] Dispatch2: regfile 3 from 0 -[DEBUG][time= 407] Dispatch2: regfile 4 from 0 -[DEBUG][time= 407] Dispatch2: regfile 5 from 0 -[DEBUG][time= 407] Dispatch2: regfile 6 from 0 -[DEBUG][time= 407] Dispatch2: regfile 7 from 0 -[DEBUG][time= 407] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 407] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 407] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 407] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 407] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 407] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 407] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 407] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 407] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 407] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 407] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 407] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 407] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 407] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 407] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 407] Roq: CSR block should only happen in s_idle -[DEBUG][time= 407] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 407] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 407] Roq: -------------------------------- -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 407] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 407] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 407] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 407] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 407] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 407] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 407] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 407] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 407] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 407] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 407] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 407] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 407] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 407] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 407] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 407] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 407] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 407] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 407] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 407] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 407] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 407] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 407] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 407] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 407] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 407] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 407] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 407] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 407] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 407] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 407] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 407] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 407] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 407] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 407] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 407] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 407] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 407] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 407] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 407] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 407] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 407] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 407] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 407] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 407] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 407] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 407] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 407] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 407] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 407] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 407] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 407] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 407] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 407] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 407] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 407] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 407] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 407] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 407] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 407] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 407] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 407] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 407] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 407] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 407] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 407] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 407] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 407] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 407] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 407] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 407] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 407] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 407] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 407] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 407] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 407] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 407] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 407] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 407] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 407] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 407] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 407] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 407] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 407] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 407] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 407] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 407] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 407] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 407] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 407] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 407] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 407] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 407] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 407] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 407] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 407] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 407] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 407] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 407] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 407] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 407] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 407] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 407] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 407] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 407] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 407] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 407] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 407] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 407] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 407] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 407] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 407] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 407] Brq: ---------------- -[DEBUG][time= 407] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 407] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 407] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 407] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 407] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 407] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 408] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 408] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 408] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 408] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 408] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 408] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 408] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 408] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 408] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 408] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 408] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 408] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 408] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 408] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 408] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 408] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 408] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 408] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 408] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 408] BPUStage3: flushS3=0 -[DEBUG][time= 408] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 408] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 408] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 408] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 408] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 408] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 408] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 408] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 408] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 408] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 408] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 408] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 408] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 408] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 408] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 408] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 408] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 408] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 408] Dispatch2: regfile 0 from 3 -[DEBUG][time= 408] Dispatch2: regfile 1 from 3 -[DEBUG][time= 408] Dispatch2: regfile 2 from 0 -[DEBUG][time= 408] Dispatch2: regfile 3 from 0 -[DEBUG][time= 408] Dispatch2: regfile 4 from 0 -[DEBUG][time= 408] Dispatch2: regfile 5 from 0 -[DEBUG][time= 408] Dispatch2: regfile 6 from 0 -[DEBUG][time= 408] Dispatch2: regfile 7 from 0 -[DEBUG][time= 408] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 408] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 408] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 408] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 408] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 408] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 408] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 408] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 408] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 408] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 408] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 408] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 408] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 408] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 408] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 408] Roq: CSR block should only happen in s_idle -[DEBUG][time= 408] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 408] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 408] Roq: -------------------------------- -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 408] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 408] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 408] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 408] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 408] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 408] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 408] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 408] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 408] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 408] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 408] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 408] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 408] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 408] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 408] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 408] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 408] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 408] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 408] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 408] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 408] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 408] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 408] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 408] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 408] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 408] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 408] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 408] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 408] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 408] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 408] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 408] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 408] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 408] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 408] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 408] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 408] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 408] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 408] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 408] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 408] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 408] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 408] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 408] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 408] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 408] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 408] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 408] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 408] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 408] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 408] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 408] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 408] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 408] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 408] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 408] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 408] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 408] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 408] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 408] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 408] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 408] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 408] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 408] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 408] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 408] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 408] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 408] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 408] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 408] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 408] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 408] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 408] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 408] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 408] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 408] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 408] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 408] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 408] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 408] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 408] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 408] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 408] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 408] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 408] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 408] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 408] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 408] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 408] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 408] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 408] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 408] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 408] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 408] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 408] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 408] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 408] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 408] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 408] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 408] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 408] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 408] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 408] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 408] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 408] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 408] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 408] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 408] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 408] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 408] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 408] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 408] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 408] Brq: ---------------- -[DEBUG][time= 408] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 408] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 408] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 408] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 408] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 408] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 409] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 409] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 409] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 409] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 409] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 409] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 409] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 409] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 409] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 409] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 409] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 409] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 409] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 409] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 409] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 409] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 409] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 409] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 409] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 409] BPUStage3: flushS3=0 -[DEBUG][time= 409] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 409] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 409] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 409] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 409] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 409] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 409] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 409] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 409] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 409] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 409] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 409] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 409] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 409] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 409] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 409] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 409] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 409] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 409] Dispatch2: regfile 0 from 3 -[DEBUG][time= 409] Dispatch2: regfile 1 from 3 -[DEBUG][time= 409] Dispatch2: regfile 2 from 0 -[DEBUG][time= 409] Dispatch2: regfile 3 from 0 -[DEBUG][time= 409] Dispatch2: regfile 4 from 0 -[DEBUG][time= 409] Dispatch2: regfile 5 from 0 -[DEBUG][time= 409] Dispatch2: regfile 6 from 0 -[DEBUG][time= 409] Dispatch2: regfile 7 from 0 -[DEBUG][time= 409] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 409] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 409] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 409] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 409] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 409] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 409] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 409] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 409] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 409] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 409] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 409] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 409] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 409] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 409] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 409] Roq: CSR block should only happen in s_idle -[DEBUG][time= 409] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 409] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 409] Roq: -------------------------------- -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 409] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 409] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 409] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 409] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 409] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 409] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 409] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 409] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 409] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 409] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 409] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 409] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 409] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 409] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 409] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 409] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 409] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 409] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 409] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 409] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 409] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 409] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 409] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 409] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 409] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 409] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 409] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 409] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 409] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 409] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 409] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 409] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 409] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 409] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 409] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 409] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 409] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 409] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 409] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 409] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 409] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 409] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 409] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 409] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 409] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 409] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 409] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 409] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 409] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 409] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 409] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 409] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 409] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 409] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 409] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 409] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 409] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 409] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 409] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 409] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 409] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 409] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 409] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 409] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 409] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 409] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 409] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 409] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 409] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 409] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 409] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 409] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 409] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 409] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 409] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 409] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 409] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 409] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 409] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 409] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 409] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 409] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 409] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 409] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 409] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 409] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 409] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 409] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 409] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 409] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 409] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 409] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 409] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 409] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 409] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 409] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 409] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 409] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 409] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 409] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 409] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 409] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 409] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 409] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 409] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 409] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 409] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 409] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 409] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 409] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 409] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 409] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 409] Brq: ---------------- -[DEBUG][time= 409] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 409] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 409] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 409] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 409] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 409] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 410] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 410] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 410] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 410] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 410] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 410] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 410] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 410] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 410] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 410] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 410] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 410] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 410] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 410] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 410] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 410] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 410] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 410] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 410] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 410] BPUStage3: flushS3=0 -[DEBUG][time= 410] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 410] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 410] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 410] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 410] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 410] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 410] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 410] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 410] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 410] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 410] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 410] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 410] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 410] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 410] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 410] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 410] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 410] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 410] Dispatch2: regfile 0 from 3 -[DEBUG][time= 410] Dispatch2: regfile 1 from 3 -[DEBUG][time= 410] Dispatch2: regfile 2 from 0 -[DEBUG][time= 410] Dispatch2: regfile 3 from 0 -[DEBUG][time= 410] Dispatch2: regfile 4 from 0 -[DEBUG][time= 410] Dispatch2: regfile 5 from 0 -[DEBUG][time= 410] Dispatch2: regfile 6 from 0 -[DEBUG][time= 410] Dispatch2: regfile 7 from 0 -[DEBUG][time= 410] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 410] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 410] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 410] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 410] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 410] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 410] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 410] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 410] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 410] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 410] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 410] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 410] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 410] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 410] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 410] Roq: CSR block should only happen in s_idle -[DEBUG][time= 410] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 410] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 410] Roq: -------------------------------- -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 410] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 410] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 410] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 410] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 410] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 410] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 410] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 410] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 410] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 410] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 410] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 410] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 410] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 410] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 410] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 410] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 410] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 410] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 410] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 410] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 410] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 410] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 410] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 410] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 410] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 410] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 410] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 410] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 410] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 410] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 410] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 410] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 410] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 410] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 410] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 410] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 410] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 410] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 410] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 410] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 410] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 410] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 410] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 410] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 410] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 410] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 410] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 410] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 410] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 410] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 410] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 410] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 410] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 410] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 410] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 410] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 410] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 410] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 410] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 410] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 410] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 410] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 410] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 410] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 410] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 410] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 410] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 410] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 410] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 410] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 410] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 410] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 410] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 410] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 410] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 410] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 410] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 410] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 410] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 410] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 410] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 410] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 410] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 410] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 410] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 410] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 410] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 410] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 410] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 410] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 410] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 410] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 410] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 410] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 410] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 410] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 410] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 410] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 410] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 410] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 410] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 410] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 410] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 410] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 410] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 410] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 410] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 410] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 410] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 410] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 410] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 410] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 410] Brq: ---------------- -[DEBUG][time= 410] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 410] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 410] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 410] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 410] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 410] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 411] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 411] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 411] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 411] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 411] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 411] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 411] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 411] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 411] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 411] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 411] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 411] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 411] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 411] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 411] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 411] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 411] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 411] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 411] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 411] BPUStage3: flushS3=0 -[DEBUG][time= 411] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 411] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 411] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 411] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 411] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 411] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 411] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 411] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 411] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 411] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 411] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 411] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 411] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 411] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 411] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 411] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 411] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 411] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 411] Dispatch2: regfile 0 from 3 -[DEBUG][time= 411] Dispatch2: regfile 1 from 3 -[DEBUG][time= 411] Dispatch2: regfile 2 from 0 -[DEBUG][time= 411] Dispatch2: regfile 3 from 0 -[DEBUG][time= 411] Dispatch2: regfile 4 from 0 -[DEBUG][time= 411] Dispatch2: regfile 5 from 0 -[DEBUG][time= 411] Dispatch2: regfile 6 from 0 -[DEBUG][time= 411] Dispatch2: regfile 7 from 0 -[DEBUG][time= 411] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 411] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 411] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 411] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 411] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 411] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 411] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 411] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 411] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 411] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 411] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 411] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 411] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 411] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 411] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 411] Roq: CSR block should only happen in s_idle -[DEBUG][time= 411] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 411] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 411] Roq: -------------------------------- -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 411] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 411] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 411] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 411] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 411] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 411] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 411] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 411] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 411] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 411] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 411] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 411] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 411] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 411] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 411] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 411] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 411] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 411] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 411] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 411] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 411] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 411] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 411] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 411] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 411] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 411] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 411] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 411] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 411] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 411] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 411] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 411] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 411] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 411] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 411] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 411] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 411] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 411] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 411] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 411] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 411] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 411] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 411] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 411] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 411] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 411] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 411] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 411] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 411] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 411] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 411] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 411] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 411] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 411] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 411] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 411] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 411] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 411] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 411] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 411] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 411] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 411] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 411] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 411] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 411] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 411] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 411] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 411] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 411] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 411] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 411] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 411] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 411] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 411] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 411] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 411] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 411] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 411] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 411] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 411] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 411] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 411] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 411] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 411] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 411] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 411] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 411] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 411] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 411] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 411] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 411] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 411] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 411] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 411] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 411] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 411] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 411] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 411] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 411] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 411] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 411] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 411] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 411] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 411] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 411] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 411] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 411] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 411] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 411] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 411] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 411] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 411] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 411] Brq: ---------------- -[DEBUG][time= 411] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 411] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 411] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 411] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 411] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 411] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 412] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 412] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 412] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 412] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 412] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 412] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 412] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 412] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 412] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 412] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 412] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 412] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 412] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 412] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 412] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 412] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 412] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 412] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 412] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 412] BPUStage3: flushS3=0 -[DEBUG][time= 412] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 412] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 412] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 412] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 412] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 412] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 412] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 412] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 412] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 412] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 412] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 412] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 412] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 412] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 412] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 412] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 412] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 412] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 412] Dispatch2: regfile 0 from 3 -[DEBUG][time= 412] Dispatch2: regfile 1 from 3 -[DEBUG][time= 412] Dispatch2: regfile 2 from 0 -[DEBUG][time= 412] Dispatch2: regfile 3 from 0 -[DEBUG][time= 412] Dispatch2: regfile 4 from 0 -[DEBUG][time= 412] Dispatch2: regfile 5 from 0 -[DEBUG][time= 412] Dispatch2: regfile 6 from 0 -[DEBUG][time= 412] Dispatch2: regfile 7 from 0 -[DEBUG][time= 412] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 412] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 412] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 412] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 412] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 412] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 412] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 412] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 412] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 412] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 412] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 412] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 412] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 412] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 412] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 412] Roq: CSR block should only happen in s_idle -[DEBUG][time= 412] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 412] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 412] Roq: -------------------------------- -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 412] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 412] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 412] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 412] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 412] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 412] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 412] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 412] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 412] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 412] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 412] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 412] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 412] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 412] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 412] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 412] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 412] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 412] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 412] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 412] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 412] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 412] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 412] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 412] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 412] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 412] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 412] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 412] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 412] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 412] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 412] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 412] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 412] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 412] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 412] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 412] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 412] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 412] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 412] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 412] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 412] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 412] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 412] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 412] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 412] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 412] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 412] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 412] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 412] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 412] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 412] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 412] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 412] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 412] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 412] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 412] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 412] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 412] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 412] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 412] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 412] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 412] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 412] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 412] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 412] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 412] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 412] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 412] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 412] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 412] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 412] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 412] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 412] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 412] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 412] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 412] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 412] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 412] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 412] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 412] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 412] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 412] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 412] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 412] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 412] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 412] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 412] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 412] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 412] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 412] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 412] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 412] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 412] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 412] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 412] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 412] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 412] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 412] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 412] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 412] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 412] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 412] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 412] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 412] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 412] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 412] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 412] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 412] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 412] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 412] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 412] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 412] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 412] Brq: ---------------- -[DEBUG][time= 412] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 412] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 412] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 412] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 412] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 412] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 413] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 413] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 413] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 413] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 413] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 413] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 413] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 413] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 413] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 413] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 413] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 413] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 413] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 413] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 413] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 413] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 413] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 413] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 413] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 413] BPUStage3: flushS3=0 -[DEBUG][time= 413] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 413] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 413] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 413] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 413] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 413] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 413] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 413] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 413] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 413] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 413] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 413] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 413] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 413] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 413] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 413] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 413] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 413] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 413] Dispatch2: regfile 0 from 3 -[DEBUG][time= 413] Dispatch2: regfile 1 from 3 -[DEBUG][time= 413] Dispatch2: regfile 2 from 0 -[DEBUG][time= 413] Dispatch2: regfile 3 from 0 -[DEBUG][time= 413] Dispatch2: regfile 4 from 0 -[DEBUG][time= 413] Dispatch2: regfile 5 from 0 -[DEBUG][time= 413] Dispatch2: regfile 6 from 0 -[DEBUG][time= 413] Dispatch2: regfile 7 from 0 -[DEBUG][time= 413] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 413] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 413] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 413] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 413] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 413] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 413] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 413] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 413] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 413] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 413] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 413] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 413] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 413] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 413] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 413] Roq: CSR block should only happen in s_idle -[DEBUG][time= 413] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 413] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 413] Roq: -------------------------------- -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 413] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 413] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 413] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 413] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 413] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 413] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 413] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 413] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 413] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 413] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 413] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 413] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 413] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 413] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 413] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 413] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 413] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 413] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 413] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 413] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 413] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 413] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 413] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 413] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 413] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 413] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 413] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 413] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 413] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 413] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 413] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 413] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 413] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 413] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 413] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 413] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 413] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 413] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 413] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 413] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 413] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 413] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 413] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 413] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 413] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 413] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 413] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 413] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 413] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 413] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 413] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 413] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 413] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 413] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 413] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 413] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 413] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 413] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 413] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 413] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 413] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 413] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 413] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 413] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 413] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 413] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 413] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 413] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 413] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 413] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 413] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 413] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 413] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 413] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 413] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 413] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 413] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 413] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 413] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 413] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 413] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 413] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 413] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 413] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 413] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 413] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 413] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 413] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 413] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 413] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 413] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 413] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 413] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 413] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 413] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 413] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 413] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 413] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 413] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 413] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 413] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 413] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 413] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 413] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 413] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 413] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 413] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 413] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 413] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 413] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 413] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 413] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 413] Brq: ---------------- -[DEBUG][time= 413] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 413] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 413] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 413] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 413] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 413] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 414] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 414] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 414] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 414] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 414] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 414] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 414] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 414] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 414] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 414] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 414] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 414] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 414] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 414] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 414] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 414] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 414] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 414] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 414] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 414] BPUStage3: flushS3=0 -[DEBUG][time= 414] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 414] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 414] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 414] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 414] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 414] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 414] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 414] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 414] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 414] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 414] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 414] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 414] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 414] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 414] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 414] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 414] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 414] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 414] Dispatch2: regfile 0 from 3 -[DEBUG][time= 414] Dispatch2: regfile 1 from 3 -[DEBUG][time= 414] Dispatch2: regfile 2 from 0 -[DEBUG][time= 414] Dispatch2: regfile 3 from 0 -[DEBUG][time= 414] Dispatch2: regfile 4 from 0 -[DEBUG][time= 414] Dispatch2: regfile 5 from 0 -[DEBUG][time= 414] Dispatch2: regfile 6 from 0 -[DEBUG][time= 414] Dispatch2: regfile 7 from 0 -[DEBUG][time= 414] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 414] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 414] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 414] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 414] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 414] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 414] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 414] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 414] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 414] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 414] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 414] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 414] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 414] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 414] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 414] Roq: CSR block should only happen in s_idle -[DEBUG][time= 414] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 414] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 414] Roq: -------------------------------- -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 414] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 414] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 414] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 414] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 414] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 414] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 414] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 414] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 414] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 414] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 414] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 414] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 414] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 414] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 414] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 414] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 414] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 414] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 414] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 414] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 414] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 414] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 414] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 414] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 414] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 414] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 414] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 414] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 414] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 414] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 414] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 414] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 414] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 414] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 414] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 414] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 414] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 414] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 414] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 414] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 414] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 414] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 414] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 414] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 414] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 414] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 414] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 414] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 414] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 414] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 414] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 414] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 414] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 414] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 414] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 414] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 414] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 414] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 414] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 414] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 414] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 414] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 414] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 414] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 414] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 414] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 414] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 414] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 414] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 414] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 414] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 414] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 414] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 414] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 414] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 414] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 414] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 414] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 414] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 414] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 414] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 414] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 414] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 414] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 414] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 414] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 414] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 414] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 414] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 414] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 414] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 414] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 414] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 414] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 414] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 414] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 414] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 414] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 414] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 414] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 414] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 414] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 414] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 414] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 414] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 414] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 414] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 414] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 414] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 414] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 414] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 414] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 414] Brq: ---------------- -[DEBUG][time= 414] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 414] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 414] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 414] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 414] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 414] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 415] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 415] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 415] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 415] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 415] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 415] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 415] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 415] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 415] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 415] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 415] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 415] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 415] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 415] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 415] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 415] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 415] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 415] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 415] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 415] BPUStage3: flushS3=0 -[DEBUG][time= 415] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 415] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 415] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 415] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 415] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 415] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 415] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 415] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 415] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 415] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 415] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 415] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 415] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 415] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 415] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 415] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 415] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 415] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 415] Dispatch2: regfile 0 from 3 -[DEBUG][time= 415] Dispatch2: regfile 1 from 3 -[DEBUG][time= 415] Dispatch2: regfile 2 from 0 -[DEBUG][time= 415] Dispatch2: regfile 3 from 0 -[DEBUG][time= 415] Dispatch2: regfile 4 from 0 -[DEBUG][time= 415] Dispatch2: regfile 5 from 0 -[DEBUG][time= 415] Dispatch2: regfile 6 from 0 -[DEBUG][time= 415] Dispatch2: regfile 7 from 0 -[DEBUG][time= 415] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 415] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 415] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 415] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 415] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 415] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 415] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 415] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 415] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 415] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 415] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 415] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 415] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 415] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 415] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 415] Roq: CSR block should only happen in s_idle -[DEBUG][time= 415] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 415] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 415] Roq: -------------------------------- -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 415] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 415] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 415] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 415] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 415] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 415] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 415] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 415] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 415] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 415] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 415] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 415] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 415] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 415] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 415] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 415] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 415] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 415] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 415] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 415] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 415] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 415] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 415] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 415] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 415] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 415] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 415] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 415] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 415] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 415] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 415] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 415] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 415] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 415] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 415] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 415] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 415] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 415] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 415] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 415] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 415] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 415] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 415] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 415] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 415] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 415] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 415] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 415] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 415] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 415] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 415] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 415] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 415] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 415] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 415] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 415] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 415] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 415] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 415] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 415] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 415] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 415] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 415] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 415] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 415] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 415] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 415] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 415] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 415] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 415] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 415] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 415] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 415] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 415] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 415] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 415] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 415] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 415] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 415] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 415] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 415] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 415] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 415] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 415] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 415] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 415] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 415] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 415] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 415] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 415] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 415] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 415] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 415] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 415] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 415] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 415] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 415] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 415] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 415] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 415] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 415] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 415] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 415] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 415] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 415] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 415] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 415] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 415] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 415] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 415] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 415] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 415] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 415] Brq: ---------------- -[DEBUG][time= 415] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 415] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 415] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 415] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 415] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 415] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 416] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 416] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 416] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 416] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 416] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 416] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 416] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 416] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 416] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 416] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 416] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 416] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 416] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 416] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 416] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 416] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 416] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 416] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 416] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 416] BPUStage3: flushS3=0 -[DEBUG][time= 416] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 416] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 416] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 416] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 416] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 416] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 416] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 416] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 416] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 416] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 416] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 416] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 416] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 416] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 416] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 416] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 416] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 416] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 416] Dispatch2: regfile 0 from 3 -[DEBUG][time= 416] Dispatch2: regfile 1 from 3 -[DEBUG][time= 416] Dispatch2: regfile 2 from 0 -[DEBUG][time= 416] Dispatch2: regfile 3 from 0 -[DEBUG][time= 416] Dispatch2: regfile 4 from 0 -[DEBUG][time= 416] Dispatch2: regfile 5 from 0 -[DEBUG][time= 416] Dispatch2: regfile 6 from 0 -[DEBUG][time= 416] Dispatch2: regfile 7 from 0 -[DEBUG][time= 416] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 416] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 416] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 416] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 416] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 416] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 416] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 416] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 416] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 416] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 416] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 416] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 416] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 416] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 416] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 416] Roq: CSR block should only happen in s_idle -[DEBUG][time= 416] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 416] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 416] Roq: -------------------------------- -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 416] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 416] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 416] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 416] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 416] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 416] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 416] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 416] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 416] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 416] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 416] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 416] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 416] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 416] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 416] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 416] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 416] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 416] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 416] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 416] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 416] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 416] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 416] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 416] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 416] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 416] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 416] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 416] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 416] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 416] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 416] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 416] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 416] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 416] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 416] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 416] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 416] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 416] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 416] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 416] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 416] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 416] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 416] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 416] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 416] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 416] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 416] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 416] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 416] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 416] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 416] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 416] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 416] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 416] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 416] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 416] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 416] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 416] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 416] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 416] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 416] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 416] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 416] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 416] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 416] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 416] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 416] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 416] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 416] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 416] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 416] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 416] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 416] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 416] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 416] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 416] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 416] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 416] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 416] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 416] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 416] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 416] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 416] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 416] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 416] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 416] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 416] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 416] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 416] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 416] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 416] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 416] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 416] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 416] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 416] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 416] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 416] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 416] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 416] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 416] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 416] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 416] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 416] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 416] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 416] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 416] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 416] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 416] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 416] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 416] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 416] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 416] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 416] Brq: ---------------- -[DEBUG][time= 416] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 416] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 416] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 416] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 416] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 416] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 417] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 417] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 417] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 417] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 417] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 417] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 417] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 417] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 417] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 417] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 417] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 417] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 417] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 417] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 417] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 417] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 417] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 417] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 417] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 417] BPUStage3: flushS3=0 -[DEBUG][time= 417] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 417] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 417] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 417] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 417] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 417] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 417] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 417] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 417] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 417] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 417] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 417] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 417] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 417] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 417] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 417] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 417] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 417] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 417] Dispatch2: regfile 0 from 3 -[DEBUG][time= 417] Dispatch2: regfile 1 from 3 -[DEBUG][time= 417] Dispatch2: regfile 2 from 0 -[DEBUG][time= 417] Dispatch2: regfile 3 from 0 -[DEBUG][time= 417] Dispatch2: regfile 4 from 0 -[DEBUG][time= 417] Dispatch2: regfile 5 from 0 -[DEBUG][time= 417] Dispatch2: regfile 6 from 0 -[DEBUG][time= 417] Dispatch2: regfile 7 from 0 -[DEBUG][time= 417] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 417] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 417] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 417] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 417] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 417] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 417] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 417] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 417] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 417] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 417] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 417] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 417] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 417] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 417] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 417] Roq: CSR block should only happen in s_idle -[DEBUG][time= 417] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 417] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 417] Roq: -------------------------------- -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 417] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 417] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 417] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 417] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 417] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 417] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 417] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 417] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 417] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 417] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 417] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 417] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 417] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 417] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 417] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 417] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 417] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 417] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 417] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 417] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 417] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 417] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 417] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 417] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 417] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 417] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 417] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 417] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 417] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 417] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 417] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 417] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 417] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 417] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 417] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 417] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 417] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 417] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 417] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 417] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 417] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 417] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 417] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 417] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 417] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 417] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 417] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 417] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 417] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 417] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 417] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 417] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 417] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 417] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 417] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 417] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 417] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 417] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 417] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 417] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 417] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 417] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 417] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 417] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 417] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 417] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 417] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 417] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 417] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 417] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 417] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 417] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 417] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 417] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 417] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 417] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 417] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 417] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 417] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 417] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 417] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 417] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 417] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 417] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 417] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 417] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 417] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 417] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 417] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 417] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 417] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 417] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 417] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 417] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 417] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 417] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 417] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 417] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 417] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 417] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 417] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 417] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 417] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 417] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 417] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 417] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 417] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 417] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 417] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 417] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 417] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 417] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 417] Brq: ---------------- -[DEBUG][time= 417] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 417] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 417] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 417] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 417] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 417] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 418] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 418] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 418] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 418] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 418] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 418] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 418] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 418] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 418] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 418] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 418] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 418] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 418] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 418] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 418] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 418] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 418] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 418] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 418] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 418] BPUStage3: flushS3=0 -[DEBUG][time= 418] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 418] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 418] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 418] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 418] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 418] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 418] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 418] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 418] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 418] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 418] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 418] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 418] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 418] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 418] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 418] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 418] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 418] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 418] Dispatch2: regfile 0 from 3 -[DEBUG][time= 418] Dispatch2: regfile 1 from 3 -[DEBUG][time= 418] Dispatch2: regfile 2 from 0 -[DEBUG][time= 418] Dispatch2: regfile 3 from 0 -[DEBUG][time= 418] Dispatch2: regfile 4 from 0 -[DEBUG][time= 418] Dispatch2: regfile 5 from 0 -[DEBUG][time= 418] Dispatch2: regfile 6 from 0 -[DEBUG][time= 418] Dispatch2: regfile 7 from 0 -[DEBUG][time= 418] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 418] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 418] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 418] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 418] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 418] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 418] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 418] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 418] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 418] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 418] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 418] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 418] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 418] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 418] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 418] Roq: CSR block should only happen in s_idle -[DEBUG][time= 418] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 418] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 418] Roq: -------------------------------- -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 418] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 418] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 418] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 418] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 418] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 418] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 418] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 418] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 418] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 418] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 418] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 418] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 418] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 418] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 418] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 418] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 418] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 418] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 418] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 418] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 418] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 418] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 418] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 418] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 418] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 418] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 418] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 418] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 418] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 418] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 418] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 418] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 418] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 418] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 418] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 418] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 418] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 418] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 418] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 418] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 418] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 418] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 418] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 418] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 418] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 418] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 418] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 418] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 418] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 418] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 418] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 418] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 418] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 418] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 418] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 418] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 418] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 418] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 418] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 418] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 418] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 418] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 418] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 418] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 418] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 418] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 418] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 418] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 418] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 418] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 418] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 418] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 418] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 418] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 418] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 418] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 418] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 418] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 418] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 418] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 418] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 418] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 418] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 418] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 418] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 418] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 418] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 418] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 418] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 418] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 418] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 418] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 418] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 418] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 418] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 418] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 418] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 418] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 418] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 418] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 418] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 418] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 418] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 418] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 418] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 418] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 418] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 418] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 418] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 418] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 418] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 418] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 418] Brq: ---------------- -[DEBUG][time= 418] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 418] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 418] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 418] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 418] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 418] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 419] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 419] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 419] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 419] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 419] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 419] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 419] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 419] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 419] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 419] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 419] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 419] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 419] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 419] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 419] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 419] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 419] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 419] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 419] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 419] BPUStage3: flushS3=0 -[DEBUG][time= 419] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 419] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 419] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 419] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 419] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 419] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 419] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 419] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 419] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 419] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 419] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 419] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 419] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 419] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 419] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 419] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 419] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 419] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 419] Dispatch2: regfile 0 from 3 -[DEBUG][time= 419] Dispatch2: regfile 1 from 3 -[DEBUG][time= 419] Dispatch2: regfile 2 from 0 -[DEBUG][time= 419] Dispatch2: regfile 3 from 0 -[DEBUG][time= 419] Dispatch2: regfile 4 from 0 -[DEBUG][time= 419] Dispatch2: regfile 5 from 0 -[DEBUG][time= 419] Dispatch2: regfile 6 from 0 -[DEBUG][time= 419] Dispatch2: regfile 7 from 0 -[DEBUG][time= 419] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 419] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 419] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 419] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 419] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 419] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 419] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 419] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 419] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 419] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 419] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 419] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 419] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 419] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 419] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 419] Roq: CSR block should only happen in s_idle -[DEBUG][time= 419] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 419] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 419] Roq: -------------------------------- -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 419] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 419] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 419] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 419] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 419] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 419] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 419] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 419] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 419] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 419] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 419] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 419] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 419] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 419] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 419] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 419] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 419] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 419] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 419] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 419] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 419] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 419] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 419] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 419] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 419] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 419] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 419] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 419] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 419] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 419] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 419] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 419] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 419] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 419] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 419] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 419] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 419] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 419] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 419] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 419] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 419] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 419] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 419] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 419] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 419] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 419] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 419] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 419] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 419] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 419] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 419] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 419] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 419] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 419] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 419] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 419] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 419] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 419] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 419] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 419] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 419] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 419] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 419] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 419] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 419] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 419] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 419] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 419] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 419] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 419] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 419] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 419] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 419] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 419] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 419] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 419] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 419] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 419] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 419] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 419] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 419] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 419] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 419] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 419] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 419] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 419] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 419] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 419] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 419] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 419] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 419] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 419] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 419] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 419] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 419] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 419] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 419] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 419] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 419] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 419] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 419] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 419] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 419] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 419] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 419] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 419] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 419] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 419] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 419] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 419] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 419] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 419] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 419] Brq: ---------------- -[DEBUG][time= 419] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 419] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 419] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 419] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 419] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 419] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 420] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 420] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 420] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 420] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 420] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 420] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 420] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 420] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 420] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 420] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 420] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 420] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 420] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 420] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 420] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 420] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 420] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 420] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 420] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 420] BPUStage3: flushS3=0 -[DEBUG][time= 420] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 420] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 420] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 420] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 420] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 420] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 420] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 420] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 420] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 420] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 420] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 420] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 420] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 420] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 420] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 420] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 420] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 420] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 420] Dispatch2: regfile 0 from 3 -[DEBUG][time= 420] Dispatch2: regfile 1 from 3 -[DEBUG][time= 420] Dispatch2: regfile 2 from 0 -[DEBUG][time= 420] Dispatch2: regfile 3 from 0 -[DEBUG][time= 420] Dispatch2: regfile 4 from 0 -[DEBUG][time= 420] Dispatch2: regfile 5 from 0 -[DEBUG][time= 420] Dispatch2: regfile 6 from 0 -[DEBUG][time= 420] Dispatch2: regfile 7 from 0 -[DEBUG][time= 420] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 420] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 420] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 420] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 420] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 420] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 420] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 420] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 420] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 420] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 420] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 420] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 420] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 420] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 420] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 420] Roq: CSR block should only happen in s_idle -[DEBUG][time= 420] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 420] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 420] Roq: -------------------------------- -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 420] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 420] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 420] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 420] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 420] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 420] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 420] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 420] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 420] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 420] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 420] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 420] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 420] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 420] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 420] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 420] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 420] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 420] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 420] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 420] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 420] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 420] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 420] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 420] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 420] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 420] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 420] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 420] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 420] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 420] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 420] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 420] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 420] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 420] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 420] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 420] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 420] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 420] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 420] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 420] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 420] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 420] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 420] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 420] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 420] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 420] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 420] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 420] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 420] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 420] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 420] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 420] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 420] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 420] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 420] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 420] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 420] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 420] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 420] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 420] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 420] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 420] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 420] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 420] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 420] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 420] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 420] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 420] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 420] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 420] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 420] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 420] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 420] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 420] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 420] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 420] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 420] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 420] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 420] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 420] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 420] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 420] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 420] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 420] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 420] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 420] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 420] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 420] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 420] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 420] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 420] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 420] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 420] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 420] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 420] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 420] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 420] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 420] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 420] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 420] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 420] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 420] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 420] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 420] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 420] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 420] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 420] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 420] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 420] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 420] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 420] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 420] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 420] Brq: ---------------- -[DEBUG][time= 420] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 420] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 420] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 420] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 420] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 420] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 421] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 421] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 421] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 421] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 421] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 421] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 421] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 421] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 421] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 421] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 421] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 421] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 421] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 421] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 421] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 421] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 421] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 421] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 421] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 421] BPUStage3: flushS3=0 -[DEBUG][time= 421] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 421] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 421] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 421] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 421] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 421] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 421] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 421] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 421] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 421] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 421] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 421] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 421] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 421] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 421] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 421] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 421] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 421] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 421] Dispatch2: regfile 0 from 3 -[DEBUG][time= 421] Dispatch2: regfile 1 from 3 -[DEBUG][time= 421] Dispatch2: regfile 2 from 0 -[DEBUG][time= 421] Dispatch2: regfile 3 from 0 -[DEBUG][time= 421] Dispatch2: regfile 4 from 0 -[DEBUG][time= 421] Dispatch2: regfile 5 from 0 -[DEBUG][time= 421] Dispatch2: regfile 6 from 0 -[DEBUG][time= 421] Dispatch2: regfile 7 from 0 -[DEBUG][time= 421] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 421] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 421] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 421] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 421] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 421] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 421] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 421] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 421] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 421] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 421] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 421] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 421] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 421] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 421] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 421] Roq: CSR block should only happen in s_idle -[DEBUG][time= 421] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 421] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 421] Roq: -------------------------------- -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 421] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 421] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 421] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 421] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 421] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 421] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 421] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 421] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 421] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 421] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 421] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 421] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 421] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 421] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 421] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 421] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 421] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 421] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 421] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 421] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 421] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 421] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 421] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 421] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 421] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 421] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 421] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 421] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 421] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 421] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 421] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 421] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 421] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 421] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 421] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 421] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 421] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 421] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 421] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 421] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 421] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 421] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 421] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 421] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 421] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 421] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 421] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 421] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 421] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 421] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 421] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 421] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 421] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 421] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 421] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 421] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 421] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 421] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 421] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 421] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 421] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 421] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 421] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 421] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 421] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 421] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 421] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 421] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 421] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 421] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 421] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 421] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 421] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 421] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 421] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 421] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 421] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 421] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 421] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 421] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 421] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 421] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 421] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 421] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 421] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 421] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 421] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 421] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 421] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 421] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 421] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 421] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 421] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 421] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 421] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 421] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 421] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 421] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 421] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 421] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 421] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 421] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 421] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 421] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 421] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 421] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 421] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 421] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 421] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 421] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 421] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 421] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 421] Brq: ---------------- -[DEBUG][time= 421] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 421] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 421] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 421] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 421] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 421] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 422] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 422] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 422] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 422] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 422] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 422] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 422] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 422] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 422] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 422] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 422] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 422] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 422] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 422] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 422] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 422] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 422] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 422] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 422] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 422] BPUStage3: flushS3=0 -[DEBUG][time= 422] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 422] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 422] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 422] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 422] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 422] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 422] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 422] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 422] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 422] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 422] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 422] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 422] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 422] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 422] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 422] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 422] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 422] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 422] Dispatch2: regfile 0 from 3 -[DEBUG][time= 422] Dispatch2: regfile 1 from 3 -[DEBUG][time= 422] Dispatch2: regfile 2 from 0 -[DEBUG][time= 422] Dispatch2: regfile 3 from 0 -[DEBUG][time= 422] Dispatch2: regfile 4 from 0 -[DEBUG][time= 422] Dispatch2: regfile 5 from 0 -[DEBUG][time= 422] Dispatch2: regfile 6 from 0 -[DEBUG][time= 422] Dispatch2: regfile 7 from 0 -[DEBUG][time= 422] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 422] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 422] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 422] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 422] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 422] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 422] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 422] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 422] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 422] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 422] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 422] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 422] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 422] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 422] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 422] Roq: CSR block should only happen in s_idle -[DEBUG][time= 422] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 422] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 422] Roq: -------------------------------- -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 422] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 422] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 422] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 422] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 422] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 422] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 422] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 422] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 422] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 422] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 422] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 422] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 422] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 422] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 422] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 422] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 422] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 422] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 422] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 422] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 422] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 422] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 422] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 422] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 422] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 422] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 422] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 422] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 422] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 422] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 422] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 422] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 422] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 422] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 422] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 422] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 422] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 422] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 422] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 422] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 422] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 422] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 422] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 422] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 422] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 422] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 422] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 422] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 422] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 422] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 422] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 422] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 422] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 422] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 422] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 422] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 422] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 422] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 422] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 422] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 422] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 422] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 422] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 422] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 422] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 422] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 422] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 422] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 422] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 422] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 422] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 422] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 422] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 422] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 422] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 422] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 422] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 422] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 422] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 422] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 422] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 422] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 422] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 422] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 422] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 422] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 422] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 422] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 422] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 422] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 422] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 422] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 422] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 422] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 422] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 422] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 422] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 422] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 422] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 422] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 422] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 422] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 422] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 422] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 422] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 422] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 422] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 422] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 422] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 422] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 422] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 422] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 422] Brq: ---------------- -[DEBUG][time= 422] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 422] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 422] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 422] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 422] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 422] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 423] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 423] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 423] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 423] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 423] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 423] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 423] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 423] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 423] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 423] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 423] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 423] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 423] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 423] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 423] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 423] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 423] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 423] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 423] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 423] BPUStage3: flushS3=0 -[DEBUG][time= 423] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 423] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 423] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 423] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 423] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 423] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 423] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 423] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 423] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 423] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 423] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 423] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 423] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 423] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 423] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 423] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 423] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 423] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 423] Dispatch2: regfile 0 from 3 -[DEBUG][time= 423] Dispatch2: regfile 1 from 3 -[DEBUG][time= 423] Dispatch2: regfile 2 from 0 -[DEBUG][time= 423] Dispatch2: regfile 3 from 0 -[DEBUG][time= 423] Dispatch2: regfile 4 from 0 -[DEBUG][time= 423] Dispatch2: regfile 5 from 0 -[DEBUG][time= 423] Dispatch2: regfile 6 from 0 -[DEBUG][time= 423] Dispatch2: regfile 7 from 0 -[DEBUG][time= 423] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 423] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 423] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 423] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 423] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 423] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 423] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 423] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 423] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 423] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 423] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 423] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 423] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 423] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 423] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 423] Roq: CSR block should only happen in s_idle -[DEBUG][time= 423] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 423] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 423] Roq: -------------------------------- -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 423] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 423] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 423] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 423] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 423] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 423] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 423] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 423] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 423] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 423] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 423] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 423] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 423] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 423] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 423] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 423] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 423] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 423] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 423] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 423] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 423] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 423] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 423] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 423] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 423] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 423] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 423] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 423] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 423] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 423] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 423] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 423] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 423] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 423] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 423] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 423] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 423] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 423] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 423] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 423] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 423] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 423] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 423] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 423] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 423] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 423] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 423] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 423] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 423] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 423] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 423] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 423] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 423] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 423] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 423] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 423] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 423] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 423] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 423] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 423] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 423] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 423] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 423] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 423] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 423] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 423] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 423] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 423] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 423] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 423] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 423] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 423] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 423] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 423] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 423] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 423] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 423] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 423] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 423] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 423] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 423] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 423] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 423] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 423] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 423] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 423] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 423] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 423] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 423] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 423] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 423] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 423] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 423] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 423] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 423] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 423] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 423] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 423] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 423] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 423] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 423] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 423] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 423] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 423] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 423] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 423] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 423] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 423] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 423] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 423] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 423] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 423] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 423] Brq: ---------------- -[DEBUG][time= 423] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 423] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 423] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 423] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 423] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 423] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 424] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 424] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 424] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 424] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 424] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 424] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 424] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 424] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 424] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 424] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 424] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 424] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 424] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 424] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 424] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 424] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 424] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 424] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 424] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 424] BPUStage3: flushS3=0 -[DEBUG][time= 424] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 424] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 424] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 424] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 424] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 424] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 424] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 424] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 424] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 424] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 424] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 424] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 424] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 424] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 424] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 424] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 424] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 424] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 424] Dispatch2: regfile 0 from 3 -[DEBUG][time= 424] Dispatch2: regfile 1 from 3 -[DEBUG][time= 424] Dispatch2: regfile 2 from 0 -[DEBUG][time= 424] Dispatch2: regfile 3 from 0 -[DEBUG][time= 424] Dispatch2: regfile 4 from 0 -[DEBUG][time= 424] Dispatch2: regfile 5 from 0 -[DEBUG][time= 424] Dispatch2: regfile 6 from 0 -[DEBUG][time= 424] Dispatch2: regfile 7 from 0 -[DEBUG][time= 424] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 424] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 424] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 424] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 424] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 424] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 424] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 424] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 424] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 424] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 424] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 424] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 424] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 424] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 424] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 424] Roq: CSR block should only happen in s_idle -[DEBUG][time= 424] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 424] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 424] Roq: -------------------------------- -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 424] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 424] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 424] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 424] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 424] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 424] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 424] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 424] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 424] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 424] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 424] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 424] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 424] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 424] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 424] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 424] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 424] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 424] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 424] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 424] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 424] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 424] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 424] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 424] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 424] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 424] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 424] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 424] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 424] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 424] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 424] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 424] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 424] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 424] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 424] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 424] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 424] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 424] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 424] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 424] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 424] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 424] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 424] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 424] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 424] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 424] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 424] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 424] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 424] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 424] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 424] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 424] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 424] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 424] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 424] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 424] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 424] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 424] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 424] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 424] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 424] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 424] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 424] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 424] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 424] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 424] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 424] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 424] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 424] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 424] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 424] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 424] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 424] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 424] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 424] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 424] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 424] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 424] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 424] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 424] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 424] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 424] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 424] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 424] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 424] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 424] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 424] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 424] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 424] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 424] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 424] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 424] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 424] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 424] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 424] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 424] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 424] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 424] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 424] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 424] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 424] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 424] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 424] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 424] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 424] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 424] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 424] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 424] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 424] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 424] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 424] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 424] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 424] Brq: ---------------- -[DEBUG][time= 424] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 424] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 424] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 424] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 424] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 424] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 425] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 425] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 425] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 425] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 425] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 425] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 425] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 425] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 425] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 425] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 425] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 425] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 425] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 425] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 425] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 425] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 425] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 425] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 425] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 425] BPUStage3: flushS3=0 -[DEBUG][time= 425] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 425] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 425] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 425] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 425] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 425] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 425] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 425] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 425] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 425] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 425] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 425] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 425] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 425] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 425] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 425] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 425] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 425] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 425] Dispatch2: regfile 0 from 3 -[DEBUG][time= 425] Dispatch2: regfile 1 from 3 -[DEBUG][time= 425] Dispatch2: regfile 2 from 0 -[DEBUG][time= 425] Dispatch2: regfile 3 from 0 -[DEBUG][time= 425] Dispatch2: regfile 4 from 0 -[DEBUG][time= 425] Dispatch2: regfile 5 from 0 -[DEBUG][time= 425] Dispatch2: regfile 6 from 0 -[DEBUG][time= 425] Dispatch2: regfile 7 from 0 -[DEBUG][time= 425] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 425] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 425] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 425] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 425] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 425] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 425] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 425] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 425] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 425] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 425] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 425] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 425] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 425] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 425] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 425] Roq: CSR block should only happen in s_idle -[DEBUG][time= 425] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 425] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 425] Roq: -------------------------------- -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 425] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 425] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 425] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 425] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 425] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 425] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 425] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 425] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 425] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 425] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 425] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 425] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 425] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 425] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 425] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 425] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 425] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 425] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 425] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 425] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 425] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 425] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 425] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 425] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 425] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 425] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 425] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 425] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 425] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 425] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 425] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 425] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 425] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 425] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 425] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 425] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 425] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 425] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 425] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 425] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 425] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 425] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 425] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 425] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 425] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 425] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 425] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 425] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 425] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 425] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 425] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 425] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 425] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 425] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 425] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 425] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 425] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 425] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 425] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 425] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 425] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 425] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 425] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 425] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 425] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 425] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 425] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 425] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 425] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 425] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 425] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 425] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 425] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 425] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 425] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 425] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 425] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 425] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 425] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 425] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 425] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 425] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 425] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 425] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 425] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 425] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 425] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 425] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 425] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 425] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 425] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 425] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 425] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 425] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 425] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 425] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 425] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 425] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 425] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 425] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 425] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 425] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 425] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 425] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 425] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 425] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 425] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 425] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 425] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 425] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 425] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 425] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 425] Brq: ---------------- -[DEBUG][time= 425] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 425] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 425] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 425] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 425] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 425] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 426] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 426] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 426] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 426] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 426] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 426] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 426] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 426] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 426] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 426] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 426] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 426] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 426] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 426] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 426] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 426] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 426] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 426] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 426] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 426] BPUStage3: flushS3=0 -[DEBUG][time= 426] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 426] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 426] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 426] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 426] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 426] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 426] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 426] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 426] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 426] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 426] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 426] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 426] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 426] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 426] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 426] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 426] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 426] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 426] Dispatch2: regfile 0 from 3 -[DEBUG][time= 426] Dispatch2: regfile 1 from 3 -[DEBUG][time= 426] Dispatch2: regfile 2 from 0 -[DEBUG][time= 426] Dispatch2: regfile 3 from 0 -[DEBUG][time= 426] Dispatch2: regfile 4 from 0 -[DEBUG][time= 426] Dispatch2: regfile 5 from 0 -[DEBUG][time= 426] Dispatch2: regfile 6 from 0 -[DEBUG][time= 426] Dispatch2: regfile 7 from 0 -[DEBUG][time= 426] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 426] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 426] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 426] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 426] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 426] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 426] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 426] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 426] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 426] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 426] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 426] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 426] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 426] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 426] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 426] Roq: CSR block should only happen in s_idle -[DEBUG][time= 426] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 426] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 426] Roq: -------------------------------- -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 426] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 426] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 426] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 426] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 426] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 426] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 426] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 426] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 426] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 426] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 426] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 426] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 426] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 426] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 426] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 426] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 426] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 426] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 426] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 426] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 426] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 426] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 426] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 426] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 426] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 426] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 426] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 426] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 426] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 426] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 426] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 426] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 426] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 426] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 426] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 426] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 426] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 426] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 426] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 426] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 426] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 426] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 426] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 426] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 426] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 426] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 426] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 426] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 426] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 426] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 426] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 426] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 426] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 426] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 426] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 426] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 426] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 426] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 426] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 426] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 426] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 426] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 426] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 426] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 426] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 426] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 426] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 426] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 426] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 426] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 426] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 426] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 426] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 426] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 426] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 426] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 426] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 426] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 426] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 426] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 426] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 426] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 426] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 426] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 426] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 426] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 426] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 426] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 426] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 426] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 426] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 426] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 426] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 426] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 426] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 426] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 426] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 426] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 426] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 426] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 426] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 426] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 426] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 426] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 426] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 426] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 426] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 426] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 426] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 426] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 426] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 426] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 426] Brq: ---------------- -[DEBUG][time= 426] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 426] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 426] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 426] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 426] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 426] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 427] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 427] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 427] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 427] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 427] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 427] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 427] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 427] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 427] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 427] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 427] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 427] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 427] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 427] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 427] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 427] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 427] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 427] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 427] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 427] BPUStage3: flushS3=0 -[DEBUG][time= 427] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 427] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 427] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 427] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 427] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 427] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 427] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 427] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 427] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 427] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 427] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 427] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 427] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 427] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 427] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 427] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 427] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 427] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 427] Dispatch2: regfile 0 from 3 -[DEBUG][time= 427] Dispatch2: regfile 1 from 3 -[DEBUG][time= 427] Dispatch2: regfile 2 from 0 -[DEBUG][time= 427] Dispatch2: regfile 3 from 0 -[DEBUG][time= 427] Dispatch2: regfile 4 from 0 -[DEBUG][time= 427] Dispatch2: regfile 5 from 0 -[DEBUG][time= 427] Dispatch2: regfile 6 from 0 -[DEBUG][time= 427] Dispatch2: regfile 7 from 0 -[DEBUG][time= 427] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 427] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 427] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 427] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 427] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 427] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 427] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 427] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 427] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 427] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 427] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 427] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 427] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 427] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 427] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 427] Roq: CSR block should only happen in s_idle -[DEBUG][time= 427] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 427] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 427] Roq: -------------------------------- -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 427] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 427] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 427] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 427] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 427] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 427] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 427] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 427] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 427] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 427] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 427] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 427] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 427] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 427] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 427] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 427] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 427] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 427] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 427] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 427] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 427] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 427] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 427] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 427] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 427] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 427] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 427] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 427] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 427] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 427] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 427] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 427] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 427] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 427] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 427] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 427] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 427] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 427] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 427] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 427] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 427] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 427] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 427] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 427] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 427] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 427] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 427] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 427] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 427] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 427] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 427] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 427] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 427] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 427] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 427] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 427] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 427] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 427] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 427] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 427] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 427] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 427] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 427] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 427] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 427] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 427] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 427] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 427] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 427] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 427] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 427] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 427] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 427] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 427] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 427] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 427] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 427] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 427] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 427] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 427] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 427] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 427] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 427] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 427] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 427] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 427] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 427] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 427] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 427] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 427] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 427] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 427] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 427] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 427] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 427] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 427] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 427] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 427] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 427] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 427] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 427] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 427] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 427] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 427] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 427] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 427] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 427] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 427] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 427] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 427] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 427] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 427] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 427] Brq: ---------------- -[DEBUG][time= 427] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 427] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 427] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 427] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 427] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 427] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 428] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 428] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 428] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 428] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 428] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 428] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 428] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 428] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 428] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 428] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 428] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 428] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 428] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 428] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 428] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 428] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 428] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 428] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 428] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 428] BPUStage3: flushS3=0 -[DEBUG][time= 428] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 428] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 428] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 428] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 428] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 428] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 428] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 428] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 428] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 428] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 428] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 428] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 428] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 428] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 428] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 428] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 428] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 428] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 428] Dispatch2: regfile 0 from 3 -[DEBUG][time= 428] Dispatch2: regfile 1 from 3 -[DEBUG][time= 428] Dispatch2: regfile 2 from 0 -[DEBUG][time= 428] Dispatch2: regfile 3 from 0 -[DEBUG][time= 428] Dispatch2: regfile 4 from 0 -[DEBUG][time= 428] Dispatch2: regfile 5 from 0 -[DEBUG][time= 428] Dispatch2: regfile 6 from 0 -[DEBUG][time= 428] Dispatch2: regfile 7 from 0 -[DEBUG][time= 428] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 428] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 428] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 428] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 428] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 428] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 428] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 428] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 428] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 428] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 428] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 428] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 428] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 428] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 428] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 428] Roq: CSR block should only happen in s_idle -[DEBUG][time= 428] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 428] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 428] Roq: -------------------------------- -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 428] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 428] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 428] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 428] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 428] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 428] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 428] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 428] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 428] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 428] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 428] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 428] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 428] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 428] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 428] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 428] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 428] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 428] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 428] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 428] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 428] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 428] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 428] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 428] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 428] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 428] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 428] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 428] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 428] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 428] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 428] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 428] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 428] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 428] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 428] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 428] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 428] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 428] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 428] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 428] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 428] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 428] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 428] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 428] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 428] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 428] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 428] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 428] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 428] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 428] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 428] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 428] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 428] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 428] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 428] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 428] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 428] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 428] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 428] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 428] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 428] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 428] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 428] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 428] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 428] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 428] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 428] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 428] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 428] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 428] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 428] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 428] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 428] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 428] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 428] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 428] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 428] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 428] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 428] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 428] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 428] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 428] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 428] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 428] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 428] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 428] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 428] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 428] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 428] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 428] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 428] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 428] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 428] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 428] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 428] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 428] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 428] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 428] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 428] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 428] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 428] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 428] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 428] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 428] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 428] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 428] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 428] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 428] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 428] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 428] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 428] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 428] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 428] Brq: ---------------- -[DEBUG][time= 428] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 428] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 428] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 428] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 428] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 428] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 429] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 429] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 429] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 429] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 429] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 429] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 429] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 429] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 429] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 429] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 429] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 429] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 429] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 429] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 429] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 429] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 429] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 429] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 429] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 429] BPUStage3: flushS3=0 -[DEBUG][time= 429] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 429] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 429] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 429] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 429] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 429] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 429] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 429] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 429] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 429] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 429] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 429] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 429] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 429] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 429] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 429] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 429] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 429] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 429] Dispatch2: regfile 0 from 3 -[DEBUG][time= 429] Dispatch2: regfile 1 from 3 -[DEBUG][time= 429] Dispatch2: regfile 2 from 0 -[DEBUG][time= 429] Dispatch2: regfile 3 from 0 -[DEBUG][time= 429] Dispatch2: regfile 4 from 0 -[DEBUG][time= 429] Dispatch2: regfile 5 from 0 -[DEBUG][time= 429] Dispatch2: regfile 6 from 0 -[DEBUG][time= 429] Dispatch2: regfile 7 from 0 -[DEBUG][time= 429] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 429] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 429] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 429] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 429] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 429] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 429] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 429] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 429] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 429] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 429] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 429] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 429] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 429] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 429] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 429] Roq: CSR block should only happen in s_idle -[DEBUG][time= 429] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 429] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 429] Roq: -------------------------------- -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 429] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 429] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 429] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 429] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 429] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 429] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 429] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 429] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 429] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 429] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 429] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 429] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 429] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 429] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 429] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 429] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 429] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 429] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 429] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 429] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 429] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 429] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 429] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 429] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 429] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 429] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 429] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 429] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 429] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 429] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 429] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 429] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 429] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 429] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 429] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 429] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 429] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 429] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 429] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 429] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 429] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 429] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 429] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 429] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 429] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 429] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 429] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 429] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 429] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 429] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 429] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 429] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 429] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 429] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 429] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 429] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 429] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 429] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 429] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 429] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 429] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 429] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 429] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 429] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 429] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 429] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 429] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 429] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 429] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 429] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 429] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 429] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 429] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 429] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 429] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 429] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 429] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 429] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 429] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 429] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 429] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 429] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 429] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 429] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 429] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 429] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 429] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 429] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 429] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 429] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 429] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 429] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 429] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 429] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 429] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 429] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 429] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 429] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 429] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 429] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 429] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 429] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 429] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 429] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 429] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 429] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 429] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 429] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 429] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 429] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 429] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 429] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 429] Brq: ---------------- -[DEBUG][time= 429] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 429] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 429] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 429] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 429] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 429] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 430] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 430] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 430] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 430] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 430] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 430] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 430] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 430] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 430] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 430] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 430] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 430] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 430] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 430] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 430] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 430] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 430] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 430] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 430] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 430] BPUStage3: flushS3=0 -[DEBUG][time= 430] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 430] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 430] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 430] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 430] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 430] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 430] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 430] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 430] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 430] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 430] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 430] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 430] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 430] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 430] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 430] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 430] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 430] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 430] Dispatch2: regfile 0 from 3 -[DEBUG][time= 430] Dispatch2: regfile 1 from 3 -[DEBUG][time= 430] Dispatch2: regfile 2 from 0 -[DEBUG][time= 430] Dispatch2: regfile 3 from 0 -[DEBUG][time= 430] Dispatch2: regfile 4 from 0 -[DEBUG][time= 430] Dispatch2: regfile 5 from 0 -[DEBUG][time= 430] Dispatch2: regfile 6 from 0 -[DEBUG][time= 430] Dispatch2: regfile 7 from 0 -[DEBUG][time= 430] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 430] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 430] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 430] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 430] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 430] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 430] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 430] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 430] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 430] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 430] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 430] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 430] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 430] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 430] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 430] Roq: CSR block should only happen in s_idle -[DEBUG][time= 430] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 430] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 430] Roq: -------------------------------- -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 430] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 430] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 430] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 430] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 430] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 430] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 430] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 430] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 430] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 430] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 430] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 430] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 430] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 430] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 430] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 430] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 430] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 430] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 430] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 430] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 430] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 430] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 430] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 430] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 430] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 430] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 430] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 430] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 430] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 430] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 430] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 430] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 430] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 430] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 430] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 430] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 430] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 430] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 430] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 430] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 430] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 430] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 430] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 430] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 430] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 430] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 430] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 430] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 430] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 430] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 430] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 430] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 430] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 430] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 430] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 430] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 430] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 430] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 430] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 430] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 430] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 430] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 430] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 430] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 430] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 430] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 430] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 430] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 430] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 430] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 430] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 430] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 430] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 430] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 430] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 430] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 430] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 430] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 430] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 430] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 430] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 430] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 430] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 430] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 430] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 430] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 430] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 430] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 430] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 430] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 430] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 430] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 430] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 430] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 430] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 430] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 430] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 430] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 430] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 430] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 430] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 430] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 430] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 430] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 430] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 430] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 430] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 430] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 430] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 430] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 430] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 430] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 430] Brq: ---------------- -[DEBUG][time= 430] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 430] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 430] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 430] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 430] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 430] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 431] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 431] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 431] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 431] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 431] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 431] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 431] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 431] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 431] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 431] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 431] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 431] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 431] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 431] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 431] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 431] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 431] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 431] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 431] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 431] BPUStage3: flushS3=0 -[DEBUG][time= 431] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 431] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 431] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 431] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 431] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 431] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 431] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 431] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 431] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 431] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 431] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 431] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 431] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 431] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 431] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 431] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 431] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 431] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 431] Dispatch2: regfile 0 from 3 -[DEBUG][time= 431] Dispatch2: regfile 1 from 3 -[DEBUG][time= 431] Dispatch2: regfile 2 from 0 -[DEBUG][time= 431] Dispatch2: regfile 3 from 0 -[DEBUG][time= 431] Dispatch2: regfile 4 from 0 -[DEBUG][time= 431] Dispatch2: regfile 5 from 0 -[DEBUG][time= 431] Dispatch2: regfile 6 from 0 -[DEBUG][time= 431] Dispatch2: regfile 7 from 0 -[DEBUG][time= 431] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 431] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 431] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 431] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 431] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 431] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 431] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 431] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 431] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 431] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 431] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 431] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 431] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 431] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 431] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 431] Roq: CSR block should only happen in s_idle -[DEBUG][time= 431] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 431] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 431] Roq: -------------------------------- -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 431] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 431] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 431] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 431] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 431] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 431] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 431] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 431] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 431] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 431] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 431] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 431] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 431] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 431] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 431] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 431] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 431] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 431] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 431] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 431] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 431] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 431] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 431] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 431] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 431] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 431] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 431] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 431] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 431] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 431] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 431] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 431] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 431] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 431] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 431] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 431] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 431] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 431] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 431] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 431] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 431] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 431] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 431] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 431] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 431] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 431] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 431] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 431] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 431] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 431] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 431] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 431] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 431] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 431] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 431] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 431] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 431] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 431] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 431] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 431] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 431] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 431] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 431] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 431] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 431] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 431] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 431] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 431] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 431] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 431] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 431] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 431] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 431] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 431] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 431] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 431] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 431] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 431] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 431] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 431] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 431] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 431] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 431] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 431] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 431] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 431] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 431] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 431] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 431] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 431] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 431] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 431] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 431] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 431] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 431] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 431] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 431] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 431] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 431] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 431] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 431] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 431] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 431] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 431] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 431] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 431] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 431] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 431] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 431] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 431] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 431] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 431] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 431] Brq: ---------------- -[DEBUG][time= 431] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 431] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 431] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 431] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 431] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 431] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 432] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 432] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 432] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 432] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 432] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 432] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 432] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 432] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 432] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 432] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 432] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 432] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 432] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 432] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 432] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 432] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 432] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 432] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 432] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 432] BPUStage3: flushS3=0 -[DEBUG][time= 432] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 432] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 432] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 432] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 432] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 432] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 432] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 432] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 432] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 432] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 432] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 432] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 432] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 432] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 432] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 432] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 432] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 432] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 432] Dispatch2: regfile 0 from 3 -[DEBUG][time= 432] Dispatch2: regfile 1 from 3 -[DEBUG][time= 432] Dispatch2: regfile 2 from 0 -[DEBUG][time= 432] Dispatch2: regfile 3 from 0 -[DEBUG][time= 432] Dispatch2: regfile 4 from 0 -[DEBUG][time= 432] Dispatch2: regfile 5 from 0 -[DEBUG][time= 432] Dispatch2: regfile 6 from 0 -[DEBUG][time= 432] Dispatch2: regfile 7 from 0 -[DEBUG][time= 432] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 432] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 432] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 432] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 432] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 432] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 432] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 432] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 432] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 432] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 432] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 432] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 432] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 432] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 432] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 432] Roq: CSR block should only happen in s_idle -[DEBUG][time= 432] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 432] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 432] Roq: -------------------------------- -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 432] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 432] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 432] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 432] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 432] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 432] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 432] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 432] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 432] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 432] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 432] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 432] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 432] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 432] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 432] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 432] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 432] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 432] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 432] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 432] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 432] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 432] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 432] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 432] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 432] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 432] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 432] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 432] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 432] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 432] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 432] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 432] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 432] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 432] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 432] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 432] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 432] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 432] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 432] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 432] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 432] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 432] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 432] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 432] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 432] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 432] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 432] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 432] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 432] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 432] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 432] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 432] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 432] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 432] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 432] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 432] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 432] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 432] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 432] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 432] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 432] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 432] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 432] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 432] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 432] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 432] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 432] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 432] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 432] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 432] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 432] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 432] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 432] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 432] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 432] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 432] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 432] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 432] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 432] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 432] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 432] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 432] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 432] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 432] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 432] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 432] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 432] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 432] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 432] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 432] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 432] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 432] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 432] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 432] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 432] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 432] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 432] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 432] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 432] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 432] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 432] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 432] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 432] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 432] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 432] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 432] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 432] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 432] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 432] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 432] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 432] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 432] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 432] Brq: ---------------- -[DEBUG][time= 432] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 432] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 432] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 432] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 432] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 432] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 433] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 433] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 433] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 433] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 433] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 433] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 433] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 433] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 433] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 433] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 433] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 433] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 433] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 433] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 433] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 433] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 433] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 433] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 433] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 433] BPUStage3: flushS3=0 -[DEBUG][time= 433] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 433] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 433] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 433] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 433] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 433] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 433] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 433] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 433] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 433] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 433] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 433] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 433] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 433] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 433] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 433] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 433] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 433] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 433] Dispatch2: regfile 0 from 3 -[DEBUG][time= 433] Dispatch2: regfile 1 from 3 -[DEBUG][time= 433] Dispatch2: regfile 2 from 0 -[DEBUG][time= 433] Dispatch2: regfile 3 from 0 -[DEBUG][time= 433] Dispatch2: regfile 4 from 0 -[DEBUG][time= 433] Dispatch2: regfile 5 from 0 -[DEBUG][time= 433] Dispatch2: regfile 6 from 0 -[DEBUG][time= 433] Dispatch2: regfile 7 from 0 -[DEBUG][time= 433] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 433] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 433] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 433] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 433] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 433] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 433] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 433] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 433] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 433] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 433] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 433] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 433] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 433] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 433] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 433] Roq: CSR block should only happen in s_idle -[DEBUG][time= 433] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 433] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 433] Roq: -------------------------------- -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 433] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 433] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 433] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 433] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 433] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 433] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 433] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 433] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 433] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 433] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 433] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 433] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 433] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 433] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 433] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 433] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 433] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 433] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 433] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 433] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 433] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 433] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 433] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 433] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 433] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 433] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 433] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 433] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 433] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 433] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 433] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 433] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 433] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 433] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 433] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 433] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 433] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 433] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 433] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 433] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 433] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 433] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 433] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 433] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 433] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 433] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 433] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 433] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 433] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 433] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 433] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 433] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 433] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 433] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 433] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 433] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 433] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 433] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 433] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 433] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 433] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 433] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 433] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 433] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 433] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 433] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 433] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 433] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 433] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 433] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 433] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 433] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 433] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 433] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 433] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 433] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 433] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 433] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 433] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 433] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 433] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 433] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 433] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 433] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 433] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 433] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 433] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 433] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 433] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 433] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 433] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 433] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 433] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 433] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 433] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 433] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 433] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 433] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 433] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 433] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 433] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 433] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 433] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 433] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 433] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 433] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 433] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 433] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 433] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 433] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 433] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 433] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 433] Brq: ---------------- -[DEBUG][time= 433] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 433] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 433] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 433] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 433] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 433] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 434] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 434] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 434] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 434] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 434] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 434] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 434] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 434] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 434] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 434] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 434] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 434] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 434] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 434] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 434] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 434] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 434] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 434] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 434] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 434] BPUStage3: flushS3=0 -[DEBUG][time= 434] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 434] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 434] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 434] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 434] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 434] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 434] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 434] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 434] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 434] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 434] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 434] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 434] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 434] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 434] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 434] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 434] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 434] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 434] Dispatch2: regfile 0 from 3 -[DEBUG][time= 434] Dispatch2: regfile 1 from 3 -[DEBUG][time= 434] Dispatch2: regfile 2 from 0 -[DEBUG][time= 434] Dispatch2: regfile 3 from 0 -[DEBUG][time= 434] Dispatch2: regfile 4 from 0 -[DEBUG][time= 434] Dispatch2: regfile 5 from 0 -[DEBUG][time= 434] Dispatch2: regfile 6 from 0 -[DEBUG][time= 434] Dispatch2: regfile 7 from 0 -[DEBUG][time= 434] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 434] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 434] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 434] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 434] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 434] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 434] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 434] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 434] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 434] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 434] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 434] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 434] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 434] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 434] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 434] Roq: CSR block should only happen in s_idle -[DEBUG][time= 434] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 434] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 434] Roq: -------------------------------- -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 434] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 434] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 434] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 434] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 434] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 434] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 434] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 434] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 434] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 434] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 434] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 434] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 434] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 434] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 434] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 434] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 434] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 434] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 434] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 434] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 434] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 434] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 434] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 434] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 434] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 434] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 434] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 434] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 434] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 434] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 434] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 434] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 434] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 434] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 434] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 434] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 434] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 434] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 434] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 434] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 434] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 434] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 434] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 434] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 434] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 434] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 434] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 434] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 434] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 434] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 434] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 434] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 434] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 434] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 434] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 434] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 434] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 434] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 434] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 434] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 434] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 434] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 434] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 434] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 434] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 434] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 434] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 434] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 434] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 434] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 434] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 434] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 434] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 434] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 434] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 434] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 434] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 434] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 434] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 434] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 434] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 434] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 434] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 434] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 434] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 434] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 434] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 434] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 434] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 434] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 434] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 434] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 434] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 434] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 434] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 434] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 434] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 434] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 434] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 434] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 434] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 434] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 434] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 434] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 434] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 434] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 434] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 434] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 434] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 434] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 434] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 434] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 434] Brq: ---------------- -[DEBUG][time= 434] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 434] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 434] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 434] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 434] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 434] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 435] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 435] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 435] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 435] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 435] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 435] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 435] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 435] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 435] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 435] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 435] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 435] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 435] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 435] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 435] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 435] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 435] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 435] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 435] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 435] BPUStage3: flushS3=0 -[DEBUG][time= 435] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 435] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 435] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 435] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 435] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 435] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 435] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 435] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 435] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 435] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 435] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 435] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 435] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 435] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 435] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 435] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 435] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 435] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 435] Dispatch2: regfile 0 from 3 -[DEBUG][time= 435] Dispatch2: regfile 1 from 3 -[DEBUG][time= 435] Dispatch2: regfile 2 from 0 -[DEBUG][time= 435] Dispatch2: regfile 3 from 0 -[DEBUG][time= 435] Dispatch2: regfile 4 from 0 -[DEBUG][time= 435] Dispatch2: regfile 5 from 0 -[DEBUG][time= 435] Dispatch2: regfile 6 from 0 -[DEBUG][time= 435] Dispatch2: regfile 7 from 0 -[DEBUG][time= 435] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 435] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 435] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 435] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 435] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 435] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 435] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 435] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 435] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 435] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 435] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 435] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 435] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 435] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 435] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 435] Roq: CSR block should only happen in s_idle -[DEBUG][time= 435] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 435] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 435] Roq: -------------------------------- -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 435] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 435] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 435] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 435] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 435] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 435] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 435] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 435] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 435] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 435] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 435] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 435] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 435] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 435] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 435] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 435] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 435] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 435] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 435] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 435] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 435] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 435] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 435] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 435] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 435] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 435] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 435] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 435] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 435] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 435] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 435] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 435] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 435] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 435] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 435] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 435] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 435] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 435] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 435] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 435] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 435] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 435] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 435] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 435] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 435] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 435] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 435] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 435] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 435] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 435] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 435] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 435] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 435] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 435] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 435] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 435] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 435] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 435] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 435] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 435] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 435] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 435] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 435] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 435] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 435] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 435] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 435] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 435] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 435] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 435] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 435] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 435] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 435] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 435] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 435] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 435] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 435] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 435] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 435] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 435] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 435] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 435] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 435] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 435] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 435] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 435] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 435] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 435] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 435] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 435] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 435] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 435] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 435] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 435] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 435] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 435] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 435] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 435] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 435] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 435] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 435] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 435] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 435] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 435] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 435] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 435] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 435] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 435] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 435] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 435] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 435] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 435] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 435] Brq: ---------------- -[DEBUG][time= 435] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 435] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 435] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 435] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 435] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 435] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 436] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 436] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 436] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 436] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 436] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 436] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 436] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 436] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 436] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 436] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 436] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 436] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 436] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 436] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 436] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 436] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 436] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 436] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 436] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 436] BPUStage3: flushS3=0 -[DEBUG][time= 436] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 436] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 436] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 436] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 436] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 436] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 436] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 436] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 436] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 436] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 436] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 436] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 436] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 436] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 436] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 436] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 436] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 436] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 436] Dispatch2: regfile 0 from 3 -[DEBUG][time= 436] Dispatch2: regfile 1 from 3 -[DEBUG][time= 436] Dispatch2: regfile 2 from 0 -[DEBUG][time= 436] Dispatch2: regfile 3 from 0 -[DEBUG][time= 436] Dispatch2: regfile 4 from 0 -[DEBUG][time= 436] Dispatch2: regfile 5 from 0 -[DEBUG][time= 436] Dispatch2: regfile 6 from 0 -[DEBUG][time= 436] Dispatch2: regfile 7 from 0 -[DEBUG][time= 436] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 436] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 436] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 436] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 436] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 436] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 436] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 436] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 436] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 436] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 436] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 436] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 436] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 436] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 436] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 436] Roq: CSR block should only happen in s_idle -[DEBUG][time= 436] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 436] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 436] Roq: -------------------------------- -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 436] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 436] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 436] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 436] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 436] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 436] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 436] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 436] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 436] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 436] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 436] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 436] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 436] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 436] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 436] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 436] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 436] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 436] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 436] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 436] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 436] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 436] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 436] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 436] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 436] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 436] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 436] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 436] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 436] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 436] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 436] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 436] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 436] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 436] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 436] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 436] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 436] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 436] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 436] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 436] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 436] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 436] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 436] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 436] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 436] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 436] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 436] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 436] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 436] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 436] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 436] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 436] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 436] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 436] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 436] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 436] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 436] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 436] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 436] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 436] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 436] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 436] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 436] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 436] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 436] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 436] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 436] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 436] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 436] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 436] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 436] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 436] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 436] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 436] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 436] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 436] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 436] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 436] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 436] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 436] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 436] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 436] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 436] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 436] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 436] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 436] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 436] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 436] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 436] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 436] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 436] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 436] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 436] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 436] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 436] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 436] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 436] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 436] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 436] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 436] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 436] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 436] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 436] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 436] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 436] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 436] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 436] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 436] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 436] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 436] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 436] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 436] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 436] Brq: ---------------- -[DEBUG][time= 436] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 436] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 436] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 436] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 436] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 436] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 437] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 437] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 437] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 437] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 437] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 437] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 437] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 437] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 437] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 437] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 437] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 437] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 437] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 437] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 437] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 437] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 437] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 437] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 437] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 437] BPUStage3: flushS3=0 -[DEBUG][time= 437] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 437] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 437] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 437] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 437] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 437] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 437] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 437] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 437] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 437] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 437] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 437] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 437] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 437] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 437] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 437] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 437] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 437] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 437] Dispatch2: regfile 0 from 3 -[DEBUG][time= 437] Dispatch2: regfile 1 from 3 -[DEBUG][time= 437] Dispatch2: regfile 2 from 0 -[DEBUG][time= 437] Dispatch2: regfile 3 from 0 -[DEBUG][time= 437] Dispatch2: regfile 4 from 0 -[DEBUG][time= 437] Dispatch2: regfile 5 from 0 -[DEBUG][time= 437] Dispatch2: regfile 6 from 0 -[DEBUG][time= 437] Dispatch2: regfile 7 from 0 -[DEBUG][time= 437] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 437] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 437] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 437] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 437] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 437] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 437] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 437] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 437] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 437] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 437] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 437] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 437] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 437] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 437] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 437] Roq: CSR block should only happen in s_idle -[DEBUG][time= 437] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 437] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 437] Roq: -------------------------------- -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 437] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 437] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 437] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 437] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 437] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 437] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 437] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 437] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 437] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 437] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 437] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 437] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 437] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 437] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 437] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 437] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 437] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 437] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 437] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 437] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 437] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 437] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 437] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 437] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 437] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 437] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 437] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 437] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 437] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 437] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 437] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 437] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 437] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 437] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 437] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 437] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 437] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 437] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 437] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 437] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 437] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 437] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 437] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 437] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 437] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 437] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 437] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 437] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 437] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 437] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 437] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 437] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 437] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 437] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 437] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 437] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 437] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 437] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 437] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 437] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 437] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 437] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 437] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 437] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 437] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 437] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 437] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 437] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 437] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 437] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 437] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 437] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 437] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 437] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 437] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 437] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 437] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 437] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 437] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 437] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 437] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 437] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 437] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 437] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 437] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 437] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 437] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 437] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 437] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 437] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 437] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 437] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 437] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 437] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 437] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 437] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 437] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 437] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 437] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 437] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 437] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 437] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 437] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 437] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 437] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 437] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 437] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 437] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 437] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 437] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 437] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 437] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 437] Brq: ---------------- -[DEBUG][time= 437] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 437] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 437] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 437] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 437] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 437] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 438] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 438] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 438] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 438] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 438] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 438] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 438] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 438] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 438] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 438] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 438] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 438] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 438] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 438] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 438] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 438] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 438] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 438] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 438] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 438] BPUStage3: flushS3=0 -[DEBUG][time= 438] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 438] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 438] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 438] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 438] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 438] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 438] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 438] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 438] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 438] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 438] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 438] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 438] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 438] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 438] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 438] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 438] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 438] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 438] Dispatch2: regfile 0 from 3 -[DEBUG][time= 438] Dispatch2: regfile 1 from 3 -[DEBUG][time= 438] Dispatch2: regfile 2 from 0 -[DEBUG][time= 438] Dispatch2: regfile 3 from 0 -[DEBUG][time= 438] Dispatch2: regfile 4 from 0 -[DEBUG][time= 438] Dispatch2: regfile 5 from 0 -[DEBUG][time= 438] Dispatch2: regfile 6 from 0 -[DEBUG][time= 438] Dispatch2: regfile 7 from 0 -[DEBUG][time= 438] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 438] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 438] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 438] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 438] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 438] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 438] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 438] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 438] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 438] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 438] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 438] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 438] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 438] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 438] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 438] Roq: CSR block should only happen in s_idle -[DEBUG][time= 438] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 438] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 438] Roq: -------------------------------- -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 438] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 438] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 438] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 438] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 438] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 438] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 438] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 438] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 438] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 438] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 438] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 438] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 438] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 438] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 438] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 438] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 438] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 438] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 438] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 438] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 438] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 438] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 438] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 438] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 438] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 438] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 438] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 438] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 438] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 438] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 438] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 438] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 438] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 438] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 438] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 438] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 438] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 438] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 438] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 438] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 438] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 438] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 438] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 438] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 438] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 438] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 438] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 438] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 438] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 438] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 438] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 438] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 438] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 438] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 438] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 438] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 438] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 438] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 438] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 438] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 438] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 438] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 438] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 438] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 438] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 438] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 438] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 438] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 438] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 438] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 438] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 438] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 438] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 438] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 438] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 438] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 438] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 438] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 438] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 438] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 438] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 438] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 438] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 438] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 438] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 438] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 438] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 438] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 438] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 438] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 438] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 438] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 438] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 438] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 438] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 438] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 438] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 438] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 438] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 438] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 438] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 438] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 438] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 438] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 438] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 438] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 438] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 438] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 438] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 438] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 438] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 438] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 438] Brq: ---------------- -[DEBUG][time= 438] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 438] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 438] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 438] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 438] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 438] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 439] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 439] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 439] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 439] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 439] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 439] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 439] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 439] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 439] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 439] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 439] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 439] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 439] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 439] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 439] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 439] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 439] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 439] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 439] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 439] BPUStage3: flushS3=0 -[DEBUG][time= 439] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 439] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 439] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 439] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 439] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 439] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 439] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 439] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 439] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 439] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 439] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 439] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 439] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 439] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 439] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 439] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 439] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 439] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 439] Dispatch2: regfile 0 from 3 -[DEBUG][time= 439] Dispatch2: regfile 1 from 3 -[DEBUG][time= 439] Dispatch2: regfile 2 from 0 -[DEBUG][time= 439] Dispatch2: regfile 3 from 0 -[DEBUG][time= 439] Dispatch2: regfile 4 from 0 -[DEBUG][time= 439] Dispatch2: regfile 5 from 0 -[DEBUG][time= 439] Dispatch2: regfile 6 from 0 -[DEBUG][time= 439] Dispatch2: regfile 7 from 0 -[DEBUG][time= 439] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 439] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 439] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 439] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 439] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 439] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 439] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 439] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 439] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 439] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 439] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 439] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 439] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 439] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 439] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 439] Roq: CSR block should only happen in s_idle -[DEBUG][time= 439] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 439] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 439] Roq: -------------------------------- -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 439] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 439] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 439] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 439] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 439] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 439] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 439] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 439] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 439] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 439] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 439] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 439] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 439] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 439] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 439] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 439] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 439] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 439] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 439] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 439] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 439] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 439] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 439] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 439] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 439] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 439] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 439] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 439] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 439] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 439] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 439] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 439] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 439] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 439] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 439] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 439] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 439] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 439] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 439] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 439] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 439] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 439] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 439] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 439] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 439] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 439] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 439] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 439] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 439] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 439] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 439] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 439] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 439] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 439] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 439] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 439] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 439] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 439] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 439] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 439] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 439] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 439] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 439] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 439] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 439] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 439] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 439] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 439] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 439] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 439] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 439] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 439] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 439] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 439] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 439] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 439] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 439] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 439] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 439] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 439] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 439] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 439] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 439] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 439] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 439] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 439] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 439] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 439] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 439] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 439] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 439] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 439] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 439] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 439] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 439] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 439] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 439] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 439] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 439] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 439] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 439] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 439] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 439] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 439] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 439] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 439] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 439] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 439] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 439] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 439] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 439] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 439] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 439] Brq: ---------------- -[DEBUG][time= 439] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 439] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 439] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 439] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 439] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 439] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 440] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 440] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 440] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 440] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 440] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 440] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 440] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 440] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 440] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 440] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 440] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 440] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 440] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 440] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 440] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 440] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 440] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 440] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 440] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 440] BPUStage3: flushS3=0 -[DEBUG][time= 440] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 440] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 440] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 440] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 440] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 440] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 440] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 440] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 440] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 440] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 440] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 440] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 440] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 440] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 440] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 440] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 440] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 440] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 440] Dispatch2: regfile 0 from 3 -[DEBUG][time= 440] Dispatch2: regfile 1 from 3 -[DEBUG][time= 440] Dispatch2: regfile 2 from 0 -[DEBUG][time= 440] Dispatch2: regfile 3 from 0 -[DEBUG][time= 440] Dispatch2: regfile 4 from 0 -[DEBUG][time= 440] Dispatch2: regfile 5 from 0 -[DEBUG][time= 440] Dispatch2: regfile 6 from 0 -[DEBUG][time= 440] Dispatch2: regfile 7 from 0 -[DEBUG][time= 440] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 440] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 440] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 440] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 440] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 440] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 440] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 440] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 440] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 440] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 440] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 440] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 440] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 440] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 440] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 440] Roq: CSR block should only happen in s_idle -[DEBUG][time= 440] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 440] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 440] Roq: -------------------------------- -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 440] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 440] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 440] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 440] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 440] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 440] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 440] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 440] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 440] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 440] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 440] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 440] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 440] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 440] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 440] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 440] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 440] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 440] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 440] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 440] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 440] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 440] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 440] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 440] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 440] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 440] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 440] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 440] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 440] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 440] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 440] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 440] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 440] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 440] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 440] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 440] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 440] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 440] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 440] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 440] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 440] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 440] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 440] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 440] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 440] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 440] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 440] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 440] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 440] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 440] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 440] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 440] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 440] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 440] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 440] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 440] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 440] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 440] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 440] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 440] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 440] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 440] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 440] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 440] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 440] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 440] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 440] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 440] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 440] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 440] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 440] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 440] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 440] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 440] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 440] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 440] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 440] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 440] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 440] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 440] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 440] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 440] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 440] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 440] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 440] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 440] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 440] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 440] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 440] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 440] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 440] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 440] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 440] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 440] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 440] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 440] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 440] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 440] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 440] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 440] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 440] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 440] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 440] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 440] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 440] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 440] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 440] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 440] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 440] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 440] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 440] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 440] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 440] Brq: ---------------- -[DEBUG][time= 440] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 440] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 440] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 440] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 440] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 440] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 441] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 441] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 441] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 441] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 441] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 441] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 441] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 441] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 441] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 441] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 441] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 441] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 441] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 441] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 441] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 441] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 441] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 441] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 441] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 441] BPUStage3: flushS3=0 -[DEBUG][time= 441] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 441] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 441] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 441] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 441] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 441] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 441] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 441] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 441] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 441] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 441] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 441] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 441] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 441] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 441] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 441] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 441] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 441] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 441] Dispatch2: regfile 0 from 3 -[DEBUG][time= 441] Dispatch2: regfile 1 from 3 -[DEBUG][time= 441] Dispatch2: regfile 2 from 0 -[DEBUG][time= 441] Dispatch2: regfile 3 from 0 -[DEBUG][time= 441] Dispatch2: regfile 4 from 0 -[DEBUG][time= 441] Dispatch2: regfile 5 from 0 -[DEBUG][time= 441] Dispatch2: regfile 6 from 0 -[DEBUG][time= 441] Dispatch2: regfile 7 from 0 -[DEBUG][time= 441] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 441] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 441] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 441] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 441] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 441] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 441] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 441] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 441] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 441] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 441] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 441] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 441] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 441] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 441] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 441] Roq: CSR block should only happen in s_idle -[DEBUG][time= 441] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 441] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 441] Roq: -------------------------------- -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 441] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 441] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 441] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 441] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 441] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 441] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 441] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 441] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 441] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 441] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 441] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 441] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 441] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 441] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 441] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 441] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 441] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 441] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 441] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 441] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 441] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 441] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 441] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 441] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 441] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 441] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 441] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 441] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 441] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 441] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 441] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 441] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 441] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 441] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 441] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 441] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 441] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 441] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 441] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 441] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 441] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 441] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 441] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 441] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 441] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 441] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 441] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 441] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 441] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 441] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 441] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 441] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 441] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 441] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 441] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 441] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 441] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 441] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 441] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 441] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 441] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 441] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 441] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 441] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 441] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 441] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 441] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 441] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 441] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 441] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 441] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 441] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 441] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 441] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 441] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 441] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 441] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 441] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 441] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 441] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 441] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 441] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 441] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 441] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 441] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 441] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 441] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 441] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 441] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 441] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 441] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 441] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 441] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 441] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 441] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 441] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 441] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 441] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 441] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 441] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 441] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 441] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 441] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 441] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 441] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 441] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 441] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 441] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 441] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 441] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 441] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 441] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 441] Brq: ---------------- -[DEBUG][time= 441] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 441] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 441] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 441] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 441] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 441] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 442] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 442] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 442] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 442] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 442] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 442] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 442] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 442] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 442] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 442] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 442] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 442] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 442] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 442] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 442] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 442] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 442] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 442] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 442] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 442] BPUStage3: flushS3=0 -[DEBUG][time= 442] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 442] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 442] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 442] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 442] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 442] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 442] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 442] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 442] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 442] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 442] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 442] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 442] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 442] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 442] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 442] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 442] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 442] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 442] Dispatch2: regfile 0 from 3 -[DEBUG][time= 442] Dispatch2: regfile 1 from 3 -[DEBUG][time= 442] Dispatch2: regfile 2 from 0 -[DEBUG][time= 442] Dispatch2: regfile 3 from 0 -[DEBUG][time= 442] Dispatch2: regfile 4 from 0 -[DEBUG][time= 442] Dispatch2: regfile 5 from 0 -[DEBUG][time= 442] Dispatch2: regfile 6 from 0 -[DEBUG][time= 442] Dispatch2: regfile 7 from 0 -[DEBUG][time= 442] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 442] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 442] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 442] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 442] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 442] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 442] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 442] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 442] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 442] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 442] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 442] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 442] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 442] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 442] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 442] Roq: CSR block should only happen in s_idle -[DEBUG][time= 442] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 442] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 442] Roq: -------------------------------- -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 442] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 442] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 442] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 442] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 442] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 442] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 442] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 442] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 442] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 442] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 442] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 442] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 442] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 442] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 442] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 442] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 442] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 442] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 442] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 442] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 442] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 442] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 442] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 442] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 442] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 442] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 442] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 442] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 442] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 442] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 442] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 442] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 442] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 442] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 442] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 442] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 442] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 442] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 442] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 442] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 442] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 442] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 442] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 442] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 442] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 442] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 442] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 442] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 442] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 442] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 442] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 442] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 442] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 442] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 442] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 442] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 442] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 442] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 442] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 442] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 442] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 442] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 442] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 442] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 442] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 442] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 442] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 442] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 442] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 442] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 442] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 442] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 442] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 442] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 442] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 442] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 442] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 442] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 442] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 442] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 442] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 442] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 442] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 442] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 442] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 442] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 442] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 442] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 442] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 442] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 442] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 442] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 442] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 442] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 442] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 442] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 442] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 442] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 442] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 442] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 442] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 442] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 442] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 442] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 442] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 442] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 442] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 442] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 442] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 442] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 442] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 442] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 442] Brq: ---------------- -[DEBUG][time= 442] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 442] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 442] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 442] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 442] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 442] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 443] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 443] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 443] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 443] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 443] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 443] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 443] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 443] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 443] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 443] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 443] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 443] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 443] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 443] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 443] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 443] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 443] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 443] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 443] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 443] BPUStage3: flushS3=0 -[DEBUG][time= 443] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 443] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 443] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 443] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 443] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 443] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 443] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 443] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 443] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 443] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 443] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 443] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 443] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 443] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 443] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 443] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 443] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 443] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 443] Dispatch2: regfile 0 from 3 -[DEBUG][time= 443] Dispatch2: regfile 1 from 3 -[DEBUG][time= 443] Dispatch2: regfile 2 from 0 -[DEBUG][time= 443] Dispatch2: regfile 3 from 0 -[DEBUG][time= 443] Dispatch2: regfile 4 from 0 -[DEBUG][time= 443] Dispatch2: regfile 5 from 0 -[DEBUG][time= 443] Dispatch2: regfile 6 from 0 -[DEBUG][time= 443] Dispatch2: regfile 7 from 0 -[DEBUG][time= 443] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 443] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 443] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 443] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 443] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 443] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 443] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 443] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 443] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 443] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 443] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 443] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 443] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 443] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 443] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 443] Roq: CSR block should only happen in s_idle -[DEBUG][time= 443] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 443] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 443] Roq: -------------------------------- -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 443] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 443] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 443] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 443] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 443] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 443] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 443] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 443] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 443] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 443] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 443] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 443] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 443] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 443] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 443] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 443] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 443] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 443] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 443] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 443] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 443] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 443] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 443] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 443] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 443] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 443] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 443] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 443] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 443] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 443] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 443] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 443] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 443] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 443] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 443] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 443] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 443] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 443] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 443] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 443] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 443] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 443] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 443] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 443] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 443] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 443] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 443] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 443] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 443] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 443] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 443] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 443] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 443] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 443] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 443] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 443] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 443] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 443] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 443] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 443] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 443] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 443] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 443] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 443] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 443] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 443] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 443] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 443] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 443] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 443] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 443] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 443] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 443] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 443] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 443] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 443] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 443] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 443] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 443] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 443] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 443] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 443] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 443] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 443] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 443] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 443] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 443] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 443] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 443] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 443] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 443] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 443] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 443] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 443] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 443] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 443] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 443] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 443] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 443] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 443] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 443] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 443] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 443] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 443] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 443] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 443] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 443] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 443] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 443] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 443] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 443] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 443] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 443] Brq: ---------------- -[DEBUG][time= 443] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 443] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 443] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 443] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 443] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 443] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 444] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 444] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 444] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 444] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 444] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 444] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 444] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 444] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 444] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 444] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 444] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 444] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 444] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 444] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 444] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 444] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 444] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 444] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 444] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 444] BPUStage3: flushS3=0 -[DEBUG][time= 444] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 444] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 444] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 444] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 444] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 444] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 444] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 444] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 444] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 444] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 444] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 444] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 444] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 444] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 444] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 444] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 444] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 444] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 444] Dispatch2: regfile 0 from 3 -[DEBUG][time= 444] Dispatch2: regfile 1 from 3 -[DEBUG][time= 444] Dispatch2: regfile 2 from 0 -[DEBUG][time= 444] Dispatch2: regfile 3 from 0 -[DEBUG][time= 444] Dispatch2: regfile 4 from 0 -[DEBUG][time= 444] Dispatch2: regfile 5 from 0 -[DEBUG][time= 444] Dispatch2: regfile 6 from 0 -[DEBUG][time= 444] Dispatch2: regfile 7 from 0 -[DEBUG][time= 444] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 444] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 444] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 444] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 444] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 444] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 444] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 444] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 444] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 444] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 444] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 444] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 444] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 444] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 444] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 444] Roq: CSR block should only happen in s_idle -[DEBUG][time= 444] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 444] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 444] Roq: -------------------------------- -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 444] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 444] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 444] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 444] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 444] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 444] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 444] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 444] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 444] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 444] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 444] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 444] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 444] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 444] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 444] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 444] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 444] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 444] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 444] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 444] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 444] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 444] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 444] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 444] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 444] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 444] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 444] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 444] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 444] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 444] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 444] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 444] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 444] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 444] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 444] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 444] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 444] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 444] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 444] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 444] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 444] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 444] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 444] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 444] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 444] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 444] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 444] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 444] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 444] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 444] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 444] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 444] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 444] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 444] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 444] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 444] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 444] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 444] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 444] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 444] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 444] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 444] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 444] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 444] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 444] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 444] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 444] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 444] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 444] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 444] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 444] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 444] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 444] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 444] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 444] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 444] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 444] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 444] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 444] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 444] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 444] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 444] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 444] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 444] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 444] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 444] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 444] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 444] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 444] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 444] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 444] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 444] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 444] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 444] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 444] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 444] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 444] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 444] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 444] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 444] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 444] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 444] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 444] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 444] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 444] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 444] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 444] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 444] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 444] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 444] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 444] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 444] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 444] Brq: ---------------- -[DEBUG][time= 444] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 444] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 444] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 444] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 444] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 444] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 445] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 445] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 445] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 445] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 445] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 445] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 445] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 445] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 445] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 445] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 445] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 445] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 445] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 445] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 445] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 445] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 445] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 445] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 445] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 445] BPUStage3: flushS3=0 -[DEBUG][time= 445] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 445] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 445] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 445] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 445] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 445] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 445] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 445] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 445] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 445] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 445] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 445] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 445] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 445] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 445] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 445] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 445] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 445] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 445] Dispatch2: regfile 0 from 3 -[DEBUG][time= 445] Dispatch2: regfile 1 from 3 -[DEBUG][time= 445] Dispatch2: regfile 2 from 0 -[DEBUG][time= 445] Dispatch2: regfile 3 from 0 -[DEBUG][time= 445] Dispatch2: regfile 4 from 0 -[DEBUG][time= 445] Dispatch2: regfile 5 from 0 -[DEBUG][time= 445] Dispatch2: regfile 6 from 0 -[DEBUG][time= 445] Dispatch2: regfile 7 from 0 -[DEBUG][time= 445] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 445] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 445] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 445] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 445] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 445] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 445] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 445] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 445] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 445] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 445] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 445] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 445] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 445] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 445] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 445] Roq: CSR block should only happen in s_idle -[DEBUG][time= 445] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 445] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 445] Roq: -------------------------------- -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 445] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 445] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 445] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 445] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 445] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 445] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 445] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 445] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 445] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 445] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 445] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 445] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 445] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 445] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 445] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 445] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 445] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 445] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 445] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 445] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 445] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 445] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 445] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 445] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 445] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 445] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 445] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 445] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 445] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 445] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 445] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 445] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 445] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 445] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 445] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 445] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 445] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 445] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 445] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 445] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 445] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 445] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 445] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 445] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 445] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 445] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 445] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 445] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 445] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 445] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 445] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 445] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 445] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 445] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 445] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 445] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 445] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 445] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 445] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 445] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 445] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 445] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 445] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 445] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 445] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 445] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 445] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 445] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 445] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 445] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 445] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 445] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 445] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 445] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 445] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 445] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 445] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 445] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 445] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 445] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 445] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 445] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 445] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 445] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 445] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 445] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 445] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 445] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 445] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 445] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 445] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 445] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 445] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 445] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 445] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 445] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 445] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 445] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 445] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 445] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 445] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 445] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 445] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 445] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 445] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 445] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 445] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 445] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 445] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 445] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 445] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 445] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 445] Brq: ---------------- -[DEBUG][time= 445] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 445] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 445] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 445] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 445] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 445] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 446] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 446] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 446] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 446] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 446] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 446] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 446] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 446] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 446] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 446] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 446] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 446] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 446] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 446] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 446] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 446] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 446] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 446] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 446] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 446] BPUStage3: flushS3=0 -[DEBUG][time= 446] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 446] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 446] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 446] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 446] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 446] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 446] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 446] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 446] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 446] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 446] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 446] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 446] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 446] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 446] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 446] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 446] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 446] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 446] Dispatch2: regfile 0 from 3 -[DEBUG][time= 446] Dispatch2: regfile 1 from 3 -[DEBUG][time= 446] Dispatch2: regfile 2 from 0 -[DEBUG][time= 446] Dispatch2: regfile 3 from 0 -[DEBUG][time= 446] Dispatch2: regfile 4 from 0 -[DEBUG][time= 446] Dispatch2: regfile 5 from 0 -[DEBUG][time= 446] Dispatch2: regfile 6 from 0 -[DEBUG][time= 446] Dispatch2: regfile 7 from 0 -[DEBUG][time= 446] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 446] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 446] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 446] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 446] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 446] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 446] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 446] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 446] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 446] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 446] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 446] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 446] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 446] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 446] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 446] Roq: CSR block should only happen in s_idle -[DEBUG][time= 446] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 446] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 446] Roq: -------------------------------- -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 446] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 446] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 446] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 446] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 446] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 446] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 446] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 446] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 446] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 446] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 446] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 446] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 446] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 446] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 446] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 446] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 446] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 446] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 446] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 446] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 446] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 446] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 446] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 446] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 446] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 446] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 446] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 446] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 446] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 446] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 446] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 446] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 446] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 446] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 446] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 446] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 446] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 446] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 446] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 446] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 446] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 446] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 446] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 446] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 446] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 446] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 446] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 446] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 446] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 446] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 446] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 446] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 446] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 446] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 446] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 446] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 446] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 446] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 446] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 446] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 446] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 446] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 446] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 446] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 446] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 446] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 446] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 446] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 446] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 446] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 446] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 446] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 446] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 446] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 446] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 446] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 446] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 446] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 446] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 446] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 446] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 446] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 446] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 446] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 446] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 446] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 446] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 446] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 446] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 446] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 446] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 446] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 446] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 446] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 446] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 446] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 446] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 446] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 446] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 446] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 446] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 446] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 446] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 446] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 446] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 446] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 446] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 446] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 446] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 446] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 446] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 446] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 446] Brq: ---------------- -[DEBUG][time= 446] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 446] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 446] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 446] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 446] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 446] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 447] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 447] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 447] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 447] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 447] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 447] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 447] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 447] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 447] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 447] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 447] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 447] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 447] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 447] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 447] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 447] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 447] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 447] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 447] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 447] BPUStage3: flushS3=0 -[DEBUG][time= 447] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 447] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 447] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 447] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 447] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 447] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 447] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 447] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 447] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 447] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 447] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 447] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 447] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 447] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 447] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 447] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 447] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 447] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 447] Dispatch2: regfile 0 from 3 -[DEBUG][time= 447] Dispatch2: regfile 1 from 3 -[DEBUG][time= 447] Dispatch2: regfile 2 from 0 -[DEBUG][time= 447] Dispatch2: regfile 3 from 0 -[DEBUG][time= 447] Dispatch2: regfile 4 from 0 -[DEBUG][time= 447] Dispatch2: regfile 5 from 0 -[DEBUG][time= 447] Dispatch2: regfile 6 from 0 -[DEBUG][time= 447] Dispatch2: regfile 7 from 0 -[DEBUG][time= 447] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 447] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 447] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 447] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 447] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 447] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 447] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 447] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 447] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 447] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 447] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 447] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 447] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 447] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 447] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 447] Roq: CSR block should only happen in s_idle -[DEBUG][time= 447] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 447] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 447] Roq: -------------------------------- -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 447] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 447] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 447] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 447] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 447] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 447] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 447] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 447] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 447] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 447] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 447] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 447] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 447] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 447] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 447] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 447] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 447] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 447] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 447] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 447] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 447] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 447] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 447] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 447] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 447] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 447] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 447] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 447] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 447] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 447] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 447] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 447] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 447] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 447] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 447] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 447] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 447] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 447] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 447] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 447] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 447] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 447] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 447] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 447] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 447] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 447] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 447] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 447] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 447] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 447] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 447] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 447] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 447] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 447] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 447] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 447] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 447] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 447] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 447] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 447] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 447] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 447] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 447] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 447] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 447] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 447] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 447] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 447] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 447] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 447] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 447] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 447] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 447] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 447] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 447] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 447] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 447] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 447] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 447] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 447] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 447] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 447] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 447] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 447] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 447] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 447] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 447] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 447] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 447] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 447] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 447] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 447] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 447] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 447] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 447] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 447] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 447] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 447] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 447] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 447] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 447] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 447] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 447] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 447] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 447] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 447] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 447] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 447] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 447] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 447] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 447] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 447] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 447] Brq: ---------------- -[DEBUG][time= 447] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 447] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 447] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 447] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 447] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 447] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 448] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 448] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 448] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 448] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 448] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 448] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 448] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 448] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 448] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 448] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 448] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 448] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 448] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 448] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 448] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 448] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 448] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 448] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 448] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 448] BPUStage3: flushS3=0 -[DEBUG][time= 448] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 448] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 448] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 448] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 448] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 448] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 448] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 448] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 448] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 448] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 448] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 448] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 448] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 448] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 448] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 448] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 448] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 448] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 448] Dispatch2: regfile 0 from 3 -[DEBUG][time= 448] Dispatch2: regfile 1 from 3 -[DEBUG][time= 448] Dispatch2: regfile 2 from 0 -[DEBUG][time= 448] Dispatch2: regfile 3 from 0 -[DEBUG][time= 448] Dispatch2: regfile 4 from 0 -[DEBUG][time= 448] Dispatch2: regfile 5 from 0 -[DEBUG][time= 448] Dispatch2: regfile 6 from 0 -[DEBUG][time= 448] Dispatch2: regfile 7 from 0 -[DEBUG][time= 448] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 448] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 448] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 448] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 448] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 448] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 448] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 448] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 448] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 448] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 448] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 448] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 448] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 448] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 448] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 448] Roq: CSR block should only happen in s_idle -[DEBUG][time= 448] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 448] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 448] Roq: -------------------------------- -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 448] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 448] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 448] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 448] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 448] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 448] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 448] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 448] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 448] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 448] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 448] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 448] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 448] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 448] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 448] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 448] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 448] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 448] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 448] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 448] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 448] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 448] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 448] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 448] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 448] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 448] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 448] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 448] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 448] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 448] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 448] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 448] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 448] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 448] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 448] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 448] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 448] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 448] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 448] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 448] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 448] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 448] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 448] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 448] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 448] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 448] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 448] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 448] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 448] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 448] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 448] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 448] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 448] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 448] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 448] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 448] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 448] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 448] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 448] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 448] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 448] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 448] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 448] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 448] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 448] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 448] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 448] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 448] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 448] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 448] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 448] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 448] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 448] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 448] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 448] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 448] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 448] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 448] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 448] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 448] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 448] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 448] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 448] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 448] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 448] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 448] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 448] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 448] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 448] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 448] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 448] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 448] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 448] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 448] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 448] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 448] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 448] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 448] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 448] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 448] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 448] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 448] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 448] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 448] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 448] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 448] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 448] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 448] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 448] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 448] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 448] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 448] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 448] Brq: ---------------- -[DEBUG][time= 448] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 448] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 448] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 448] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 448] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 448] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 449] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 449] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 449] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 449] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 449] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 449] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 449] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 449] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 449] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 449] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 449] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 449] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 449] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 449] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 449] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 449] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 449] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 449] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 449] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 449] BPUStage3: flushS3=0 -[DEBUG][time= 449] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 449] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 449] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 449] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 449] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 449] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 449] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 449] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 449] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 449] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 449] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 449] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 449] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 449] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 449] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 449] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 449] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 449] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 449] Dispatch2: regfile 0 from 3 -[DEBUG][time= 449] Dispatch2: regfile 1 from 3 -[DEBUG][time= 449] Dispatch2: regfile 2 from 0 -[DEBUG][time= 449] Dispatch2: regfile 3 from 0 -[DEBUG][time= 449] Dispatch2: regfile 4 from 0 -[DEBUG][time= 449] Dispatch2: regfile 5 from 0 -[DEBUG][time= 449] Dispatch2: regfile 6 from 0 -[DEBUG][time= 449] Dispatch2: regfile 7 from 0 -[DEBUG][time= 449] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 449] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 449] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 449] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 449] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 449] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 449] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 449] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 449] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 449] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 449] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 449] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 449] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 449] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 449] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 449] Roq: CSR block should only happen in s_idle -[DEBUG][time= 449] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 449] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 449] Roq: -------------------------------- -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 449] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 449] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 449] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 449] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 449] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 449] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 449] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 449] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 449] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 449] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 449] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 449] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 449] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 449] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 449] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 449] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 449] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 449] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 449] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 449] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 449] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 449] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 449] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 449] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 449] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 449] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 449] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 449] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 449] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 449] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 449] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 449] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 449] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 449] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 449] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 449] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 449] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 449] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 449] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 449] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 449] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 449] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 449] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 449] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 449] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 449] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 449] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 449] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 449] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 449] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 449] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 449] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 449] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 449] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 449] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 449] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 449] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 449] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 449] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 449] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 449] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 449] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 449] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 449] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 449] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 449] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 449] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 449] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 449] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 449] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 449] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 449] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 449] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 449] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 449] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 449] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 449] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 449] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 449] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 449] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 449] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 449] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 449] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 449] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 449] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 449] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 449] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 449] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 449] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 449] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 449] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 449] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 449] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 449] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 449] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 449] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 449] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 449] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 449] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 449] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 449] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 449] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 449] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 449] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 449] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 449] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 449] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 449] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 449] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 449] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 449] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 449] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 449] Brq: ---------------- -[DEBUG][time= 449] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 449] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 449] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 449] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 449] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 449] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 450] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 450] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 450] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 450] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 450] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 450] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 450] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 450] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 450] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 450] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 450] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 450] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 450] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 450] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 450] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 450] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 450] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 450] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 450] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 450] BPUStage3: flushS3=0 -[DEBUG][time= 450] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 450] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 450] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 450] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 450] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 450] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 450] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 450] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 450] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 450] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 450] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 450] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 450] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 450] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 450] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 450] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 450] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 450] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 450] Dispatch2: regfile 0 from 3 -[DEBUG][time= 450] Dispatch2: regfile 1 from 3 -[DEBUG][time= 450] Dispatch2: regfile 2 from 0 -[DEBUG][time= 450] Dispatch2: regfile 3 from 0 -[DEBUG][time= 450] Dispatch2: regfile 4 from 0 -[DEBUG][time= 450] Dispatch2: regfile 5 from 0 -[DEBUG][time= 450] Dispatch2: regfile 6 from 0 -[DEBUG][time= 450] Dispatch2: regfile 7 from 0 -[DEBUG][time= 450] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 450] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 450] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 450] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 450] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 450] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 450] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 450] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 450] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 450] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 450] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 450] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 450] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 450] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 450] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 450] Roq: CSR block should only happen in s_idle -[DEBUG][time= 450] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 450] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 450] Roq: -------------------------------- -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 450] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 450] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 450] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 450] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 450] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 450] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 450] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 450] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 450] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 450] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 450] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 450] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 450] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 450] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 450] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 450] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 450] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 450] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 450] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 450] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 450] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 450] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 450] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 450] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 450] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 450] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 450] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 450] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 450] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 450] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 450] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 450] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 450] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 450] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 450] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 450] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 450] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 450] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 450] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 450] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 450] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 450] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 450] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 450] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 450] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 450] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 450] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 450] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 450] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 450] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 450] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 450] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 450] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 450] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 450] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 450] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 450] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 450] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 450] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 450] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 450] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 450] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 450] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 450] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 450] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 450] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 450] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 450] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 450] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 450] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 450] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 450] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 450] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 450] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 450] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 450] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 450] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 450] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 450] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 450] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 450] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 450] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 450] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 450] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 450] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 450] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 450] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 450] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 450] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 450] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 450] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 450] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 450] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 450] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 450] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 450] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 450] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 450] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 450] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 450] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 450] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 450] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 450] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 450] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 450] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 450] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 450] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 450] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 450] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 450] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 450] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 450] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 450] Brq: ---------------- -[DEBUG][time= 450] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 450] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 450] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 450] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 450] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 450] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 451] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 451] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 451] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 451] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 451] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 451] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 451] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 451] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 451] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 451] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 451] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 451] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 451] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 451] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 451] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 451] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 451] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 451] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 451] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 451] BPUStage3: flushS3=0 -[DEBUG][time= 451] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 451] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 451] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 451] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 451] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 451] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 451] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 451] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 451] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 451] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 451] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 451] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 451] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 451] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 451] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 451] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 451] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 451] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 451] Dispatch2: regfile 0 from 3 -[DEBUG][time= 451] Dispatch2: regfile 1 from 3 -[DEBUG][time= 451] Dispatch2: regfile 2 from 0 -[DEBUG][time= 451] Dispatch2: regfile 3 from 0 -[DEBUG][time= 451] Dispatch2: regfile 4 from 0 -[DEBUG][time= 451] Dispatch2: regfile 5 from 0 -[DEBUG][time= 451] Dispatch2: regfile 6 from 0 -[DEBUG][time= 451] Dispatch2: regfile 7 from 0 -[DEBUG][time= 451] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 451] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 451] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 451] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 451] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 451] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 451] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 451] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 451] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 451] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 451] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 451] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 451] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 451] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 451] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 451] Roq: CSR block should only happen in s_idle -[DEBUG][time= 451] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 451] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 451] Roq: -------------------------------- -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 451] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 451] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 451] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 451] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 451] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 451] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 451] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 451] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 451] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 451] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 451] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 451] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 451] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 451] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 451] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 451] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 451] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 451] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 451] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 451] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 451] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 451] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 451] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 451] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 451] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 451] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 451] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 451] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 451] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 451] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 451] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 451] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 451] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 451] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 451] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 451] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 451] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 451] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 451] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 451] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 451] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 451] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 451] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 451] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 451] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 451] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 451] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 451] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 451] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 451] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 451] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 451] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 451] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 451] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 451] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 451] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 451] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 451] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 451] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 451] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 451] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 451] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 451] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 451] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 451] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 451] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 451] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 451] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 451] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 451] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 451] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 451] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 451] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 451] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 451] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 451] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 451] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 451] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 451] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 451] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 451] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 451] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 451] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 451] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 451] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 451] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 451] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 451] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 451] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 451] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 451] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 451] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 451] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 451] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 451] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 451] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 451] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 451] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 451] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 451] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 451] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 451] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 451] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 451] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 451] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 451] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 451] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 451] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 451] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 451] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 451] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 451] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 451] Brq: ---------------- -[DEBUG][time= 451] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 451] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 451] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 451] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 451] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 451] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 452] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 452] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 452] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 452] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 452] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 452] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 452] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 452] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 452] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 452] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 452] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 452] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 452] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 452] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 452] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 452] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 452] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 452] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 452] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 452] BPUStage3: flushS3=0 -[DEBUG][time= 452] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 452] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 452] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 452] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 452] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 452] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 452] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 452] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 452] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 452] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 452] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 452] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 452] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 452] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 452] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 452] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 452] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 452] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 452] Dispatch2: regfile 0 from 3 -[DEBUG][time= 452] Dispatch2: regfile 1 from 3 -[DEBUG][time= 452] Dispatch2: regfile 2 from 0 -[DEBUG][time= 452] Dispatch2: regfile 3 from 0 -[DEBUG][time= 452] Dispatch2: regfile 4 from 0 -[DEBUG][time= 452] Dispatch2: regfile 5 from 0 -[DEBUG][time= 452] Dispatch2: regfile 6 from 0 -[DEBUG][time= 452] Dispatch2: regfile 7 from 0 -[DEBUG][time= 452] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 452] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 452] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 452] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 452] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 452] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 452] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 452] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 452] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 452] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 452] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 452] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 452] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 452] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 452] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 452] Roq: CSR block should only happen in s_idle -[DEBUG][time= 452] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 452] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 452] Roq: -------------------------------- -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 452] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 452] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 452] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 452] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 452] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 452] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 452] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 452] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 452] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 452] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 452] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 452] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 452] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 452] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 452] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 452] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 452] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 452] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 452] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 452] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 452] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 452] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 452] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 452] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 452] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 452] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 452] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 452] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 452] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 452] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 452] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 452] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 452] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 452] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 452] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 452] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 452] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 452] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 452] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 452] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 452] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 452] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 452] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 452] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 452] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 452] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 452] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 452] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 452] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 452] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 452] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 452] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 452] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 452] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 452] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 452] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 452] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 452] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 452] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 452] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 452] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 452] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 452] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 452] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 452] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 452] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 452] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 452] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 452] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 452] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 452] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 452] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 452] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 452] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 452] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 452] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 452] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 452] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 452] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 452] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 452] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 452] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 452] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 452] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 452] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 452] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 452] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 452] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 452] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 452] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 452] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 452] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 452] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 452] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 452] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 452] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 452] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 452] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 452] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 452] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 452] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 452] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 452] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 452] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 452] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 452] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 452] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 452] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 452] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 452] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 452] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 452] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 452] Brq: ---------------- -[DEBUG][time= 452] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 452] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 452] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 452] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 452] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 452] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 453] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 453] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 453] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 453] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 453] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 453] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 453] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 453] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 453] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 453] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 453] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 453] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 453] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 453] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 453] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 453] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 453] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 453] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 453] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 453] BPUStage3: flushS3=0 -[DEBUG][time= 453] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 453] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 453] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 453] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 453] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 453] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 453] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 453] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 453] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 453] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 453] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 453] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 453] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 453] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 453] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 453] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 453] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 453] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 453] Dispatch2: regfile 0 from 3 -[DEBUG][time= 453] Dispatch2: regfile 1 from 3 -[DEBUG][time= 453] Dispatch2: regfile 2 from 0 -[DEBUG][time= 453] Dispatch2: regfile 3 from 0 -[DEBUG][time= 453] Dispatch2: regfile 4 from 0 -[DEBUG][time= 453] Dispatch2: regfile 5 from 0 -[DEBUG][time= 453] Dispatch2: regfile 6 from 0 -[DEBUG][time= 453] Dispatch2: regfile 7 from 0 -[DEBUG][time= 453] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 453] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 453] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 453] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 453] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 453] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 453] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 453] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 453] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 453] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 453] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 453] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 453] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 453] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 453] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 453] Roq: CSR block should only happen in s_idle -[DEBUG][time= 453] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 453] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 453] Roq: -------------------------------- -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 453] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 453] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 453] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 453] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 453] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 453] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 453] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 453] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 453] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 453] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 453] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 453] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 453] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 453] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 453] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 453] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 453] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 453] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 453] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 453] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 453] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 453] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 453] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 453] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 453] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 453] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 453] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 453] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 453] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 453] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 453] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 453] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 453] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 453] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 453] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 453] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 453] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 453] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 453] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 453] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 453] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 453] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 453] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 453] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 453] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 453] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 453] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 453] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 453] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 453] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 453] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 453] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 453] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 453] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 453] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 453] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 453] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 453] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 453] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 453] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 453] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 453] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 453] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 453] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 453] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 453] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 453] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 453] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 453] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 453] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 453] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 453] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 453] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 453] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 453] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 453] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 453] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 453] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 453] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 453] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 453] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 453] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 453] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 453] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 453] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 453] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 453] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 453] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 453] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 453] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 453] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 453] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 453] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 453] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 453] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 453] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 453] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 453] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 453] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 453] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 453] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 453] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 453] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 453] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 453] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 453] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 453] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 453] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 453] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 453] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 453] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 453] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 453] Brq: ---------------- -[DEBUG][time= 453] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 453] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 453] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 453] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 453] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 453] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 454] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 454] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 454] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 454] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 454] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 454] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 454] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 454] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 454] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 454] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 454] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 454] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 454] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 454] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 454] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 454] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 454] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 454] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 454] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 454] BPUStage3: flushS3=0 -[DEBUG][time= 454] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 454] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 454] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 454] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 454] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 454] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 454] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 454] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 454] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 454] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 454] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 454] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 454] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 454] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 454] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 454] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 454] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 454] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 454] Dispatch2: regfile 0 from 3 -[DEBUG][time= 454] Dispatch2: regfile 1 from 3 -[DEBUG][time= 454] Dispatch2: regfile 2 from 0 -[DEBUG][time= 454] Dispatch2: regfile 3 from 0 -[DEBUG][time= 454] Dispatch2: regfile 4 from 0 -[DEBUG][time= 454] Dispatch2: regfile 5 from 0 -[DEBUG][time= 454] Dispatch2: regfile 6 from 0 -[DEBUG][time= 454] Dispatch2: regfile 7 from 0 -[DEBUG][time= 454] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 454] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 454] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 454] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 454] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 454] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 454] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 454] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 454] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 454] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 454] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 454] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 454] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 454] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 454] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 454] Roq: CSR block should only happen in s_idle -[DEBUG][time= 454] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 454] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 454] Roq: -------------------------------- -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 454] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 454] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 454] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 454] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 454] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 454] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 454] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 454] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 454] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 454] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 454] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 454] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 454] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 454] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 454] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 454] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 454] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 454] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 454] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 454] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 454] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 454] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 454] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 454] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 454] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 454] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 454] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 454] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 454] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 454] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 454] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 454] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 454] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 454] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 454] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 454] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 454] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 454] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 454] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 454] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 454] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 454] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 454] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 454] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 454] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 454] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 454] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 454] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 454] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 454] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 454] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 454] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 454] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 454] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 454] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 454] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 454] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 454] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 454] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 454] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 454] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 454] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 454] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 454] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 454] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 454] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 454] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 454] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 454] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 454] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 454] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 454] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 454] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 454] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 454] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 454] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 454] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 454] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 454] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 454] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 454] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 454] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 454] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 454] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 454] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 454] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 454] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 454] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 454] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 454] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 454] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 454] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 454] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 454] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 454] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 454] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 454] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 454] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 454] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 454] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 454] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 454] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 454] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 454] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 454] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 454] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 454] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 454] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 454] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 454] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 454] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 454] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 454] Brq: ---------------- -[DEBUG][time= 454] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 454] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 454] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 454] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 454] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 454] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 455] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 455] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 455] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 455] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 455] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 455] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 455] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 455] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 455] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 455] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 455] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 455] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 455] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 455] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 455] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 455] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 455] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 455] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 455] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 455] BPUStage3: flushS3=0 -[DEBUG][time= 455] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 455] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 455] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 455] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 455] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 455] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 455] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 455] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 455] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 455] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 455] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 455] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 455] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 455] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 455] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 455] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 455] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 455] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 455] Dispatch2: regfile 0 from 3 -[DEBUG][time= 455] Dispatch2: regfile 1 from 3 -[DEBUG][time= 455] Dispatch2: regfile 2 from 0 -[DEBUG][time= 455] Dispatch2: regfile 3 from 0 -[DEBUG][time= 455] Dispatch2: regfile 4 from 0 -[DEBUG][time= 455] Dispatch2: regfile 5 from 0 -[DEBUG][time= 455] Dispatch2: regfile 6 from 0 -[DEBUG][time= 455] Dispatch2: regfile 7 from 0 -[DEBUG][time= 455] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 455] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 455] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 455] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 455] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 455] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 455] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 455] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 455] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 455] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 455] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 455] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 455] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 455] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 455] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 455] Roq: CSR block should only happen in s_idle -[DEBUG][time= 455] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 455] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 455] Roq: -------------------------------- -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 455] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 455] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 455] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 455] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 455] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 455] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 455] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 455] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 455] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 455] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 455] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 455] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 455] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 455] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 455] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 455] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 455] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 455] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 455] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 455] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 455] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 455] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 455] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 455] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 455] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 455] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 455] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 455] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 455] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 455] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 455] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 455] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 455] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 455] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 455] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 455] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 455] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 455] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 455] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 455] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 455] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 455] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 455] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 455] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 455] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 455] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 455] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 455] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 455] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 455] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 455] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 455] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 455] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 455] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 455] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 455] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 455] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 455] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 455] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 455] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 455] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 455] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 455] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 455] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 455] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 455] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 455] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 455] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 455] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 455] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 455] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 455] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 455] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 455] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 455] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 455] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 455] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 455] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 455] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 455] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 455] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 455] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 455] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 455] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 455] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 455] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 455] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 455] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 455] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 455] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 455] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 455] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 455] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 455] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 455] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 455] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 455] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 455] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 455] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 455] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 455] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 455] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 455] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 455] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 455] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 455] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 455] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 455] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 455] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 455] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 455] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 455] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 455] Brq: ---------------- -[DEBUG][time= 455] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 455] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 455] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 455] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 455] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 455] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 456] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 456] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 456] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 456] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 456] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 456] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 456] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 456] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 456] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 456] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 456] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 456] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 456] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 456] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 456] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 456] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 456] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 456] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 456] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 456] BPUStage3: flushS3=0 -[DEBUG][time= 456] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 456] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 456] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 456] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 456] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 456] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 456] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 456] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 456] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 456] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 456] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 456] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 456] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 456] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 456] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 456] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 456] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 456] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 456] Dispatch2: regfile 0 from 3 -[DEBUG][time= 456] Dispatch2: regfile 1 from 3 -[DEBUG][time= 456] Dispatch2: regfile 2 from 0 -[DEBUG][time= 456] Dispatch2: regfile 3 from 0 -[DEBUG][time= 456] Dispatch2: regfile 4 from 0 -[DEBUG][time= 456] Dispatch2: regfile 5 from 0 -[DEBUG][time= 456] Dispatch2: regfile 6 from 0 -[DEBUG][time= 456] Dispatch2: regfile 7 from 0 -[DEBUG][time= 456] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 456] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 456] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 456] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 456] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 456] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 456] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 456] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 456] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 456] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 456] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 456] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 456] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 456] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 456] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 456] Roq: CSR block should only happen in s_idle -[DEBUG][time= 456] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 456] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 456] Roq: -------------------------------- -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 456] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 456] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 456] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 456] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 456] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 456] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 456] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 456] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 456] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 456] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 456] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 456] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 456] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 456] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 456] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 456] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 456] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 456] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 456] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 456] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 456] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 456] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 456] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 456] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 456] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 456] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 456] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 456] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 456] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 456] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 456] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 456] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 456] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 456] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 456] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 456] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 456] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 456] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 456] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 456] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 456] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 456] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 456] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 456] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 456] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 456] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 456] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 456] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 456] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 456] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 456] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 456] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 456] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 456] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 456] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 456] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 456] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 456] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 456] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 456] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 456] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 456] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 456] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 456] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 456] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 456] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 456] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 456] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 456] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 456] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 456] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 456] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 456] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 456] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 456] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 456] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 456] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 456] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 456] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 456] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 456] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 456] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 456] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 456] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 456] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 456] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 456] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 456] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 456] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 456] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 456] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 456] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 456] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 456] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 456] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 456] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 456] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 456] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 456] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 456] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 456] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 456] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 456] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 456] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 456] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 456] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 456] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 456] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 456] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 456] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 456] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 456] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 456] Brq: ---------------- -[DEBUG][time= 456] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 456] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 456] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 456] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 456] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 456] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 457] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 457] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 457] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 457] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 457] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 457] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 457] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 457] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 457] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 457] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 457] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 457] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 457] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 457] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 457] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 457] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 457] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 457] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 457] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 457] BPUStage3: flushS3=0 -[DEBUG][time= 457] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 457] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 457] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 457] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 457] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 457] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 457] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 457] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 457] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 457] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 457] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 457] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 457] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 457] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 457] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 457] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 457] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 457] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 457] Dispatch2: regfile 0 from 3 -[DEBUG][time= 457] Dispatch2: regfile 1 from 3 -[DEBUG][time= 457] Dispatch2: regfile 2 from 0 -[DEBUG][time= 457] Dispatch2: regfile 3 from 0 -[DEBUG][time= 457] Dispatch2: regfile 4 from 0 -[DEBUG][time= 457] Dispatch2: regfile 5 from 0 -[DEBUG][time= 457] Dispatch2: regfile 6 from 0 -[DEBUG][time= 457] Dispatch2: regfile 7 from 0 -[DEBUG][time= 457] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 457] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 457] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 457] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 457] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 457] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 457] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 457] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 457] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 457] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 457] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 457] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 457] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 457] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 457] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 457] Roq: CSR block should only happen in s_idle -[DEBUG][time= 457] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 457] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 457] Roq: -------------------------------- -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 457] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 457] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 457] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 457] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 457] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 457] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 457] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 457] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 457] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 457] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 457] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 457] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 457] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 457] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 457] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 457] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 457] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 457] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 457] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 457] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 457] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 457] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 457] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 457] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 457] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 457] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 457] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 457] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 457] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 457] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 457] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 457] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 457] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 457] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 457] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 457] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 457] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 457] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 457] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 457] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 457] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 457] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 457] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 457] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 457] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 457] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 457] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 457] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 457] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 457] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 457] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 457] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 457] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 457] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 457] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 457] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 457] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 457] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 457] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 457] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 457] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 457] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 457] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 457] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 457] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 457] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 457] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 457] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 457] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 457] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 457] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 457] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 457] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 457] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 457] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 457] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 457] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 457] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 457] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 457] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 457] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 457] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 457] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 457] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 457] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 457] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 457] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 457] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 457] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 457] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 457] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 457] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 457] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 457] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 457] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 457] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 457] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 457] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 457] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 457] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 457] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 457] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 457] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 457] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 457] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 457] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 457] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 457] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 457] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 457] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 457] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 457] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 457] Brq: ---------------- -[DEBUG][time= 457] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 457] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 457] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 457] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 457] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 457] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 458] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 458] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 458] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 458] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 458] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 458] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 458] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 458] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 458] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 458] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 458] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 458] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 458] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 458] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 458] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 458] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 458] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 458] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 458] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 458] BPUStage3: flushS3=0 -[DEBUG][time= 458] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 458] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 458] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 458] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 458] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 458] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 458] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 458] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 458] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 458] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 458] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 458] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 458] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 458] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 458] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 458] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 458] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 458] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 458] Dispatch2: regfile 0 from 3 -[DEBUG][time= 458] Dispatch2: regfile 1 from 3 -[DEBUG][time= 458] Dispatch2: regfile 2 from 0 -[DEBUG][time= 458] Dispatch2: regfile 3 from 0 -[DEBUG][time= 458] Dispatch2: regfile 4 from 0 -[DEBUG][time= 458] Dispatch2: regfile 5 from 0 -[DEBUG][time= 458] Dispatch2: regfile 6 from 0 -[DEBUG][time= 458] Dispatch2: regfile 7 from 0 -[DEBUG][time= 458] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 458] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 458] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 458] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 458] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 458] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 458] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 458] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 458] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 458] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 458] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 458] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 458] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 458] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 458] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 458] Roq: CSR block should only happen in s_idle -[DEBUG][time= 458] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 458] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 458] Roq: -------------------------------- -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 458] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 458] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 458] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 458] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 458] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 458] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 458] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 458] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 458] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 458] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 458] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 458] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 458] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 458] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 458] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 458] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 458] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 458] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 458] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 458] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 458] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 458] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 458] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 458] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 458] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 458] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 458] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 458] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 458] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 458] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 458] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 458] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 458] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 458] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 458] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 458] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 458] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 458] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 458] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 458] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 458] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 458] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 458] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 458] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 458] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 458] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 458] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 458] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 458] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 458] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 458] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 458] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 458] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 458] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 458] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 458] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 458] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 458] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 458] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 458] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 458] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 458] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 458] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 458] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 458] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 458] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 458] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 458] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 458] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 458] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 458] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 458] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 458] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 458] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 458] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 458] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 458] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 458] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 458] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 458] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 458] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 458] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 458] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 458] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 458] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 458] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 458] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 458] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 458] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 458] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 458] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 458] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 458] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 458] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 458] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 458] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 458] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 458] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 458] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 458] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 458] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 458] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 458] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 458] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 458] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 458] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 458] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 458] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 458] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 458] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 458] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 458] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 458] Brq: ---------------- -[DEBUG][time= 458] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 458] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 458] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 458] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 458] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 458] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 459] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 459] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 459] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 459] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 459] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 459] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 459] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 459] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 459] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 459] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 459] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 459] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 459] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 459] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 459] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 459] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 459] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 459] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 459] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 459] BPUStage3: flushS3=0 -[DEBUG][time= 459] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 459] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 459] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 459] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 459] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 459] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 459] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 459] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 459] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 459] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 459] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 459] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 459] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 459] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 459] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 459] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 459] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 459] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 459] Dispatch2: regfile 0 from 3 -[DEBUG][time= 459] Dispatch2: regfile 1 from 3 -[DEBUG][time= 459] Dispatch2: regfile 2 from 0 -[DEBUG][time= 459] Dispatch2: regfile 3 from 0 -[DEBUG][time= 459] Dispatch2: regfile 4 from 0 -[DEBUG][time= 459] Dispatch2: regfile 5 from 0 -[DEBUG][time= 459] Dispatch2: regfile 6 from 0 -[DEBUG][time= 459] Dispatch2: regfile 7 from 0 -[DEBUG][time= 459] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 459] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 459] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 459] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 459] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 459] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 459] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 459] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 459] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 459] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 459] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 459] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 459] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 459] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 459] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 459] Roq: CSR block should only happen in s_idle -[DEBUG][time= 459] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 459] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 459] Roq: -------------------------------- -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 459] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 459] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 459] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 459] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 459] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 459] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 459] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 459] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 459] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 459] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 459] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 459] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 459] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 459] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 459] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 459] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 459] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 459] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 459] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 459] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 459] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 459] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 459] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 459] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 459] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 459] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 459] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 459] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 459] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 459] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 459] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 459] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 459] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 459] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 459] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 459] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 459] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 459] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 459] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 459] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 459] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 459] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 459] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 459] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 459] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 459] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 459] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 459] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 459] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 459] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 459] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 459] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 459] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 459] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 459] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 459] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 459] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 459] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 459] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 459] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 459] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 459] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 459] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 459] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 459] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 459] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 459] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 459] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 459] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 459] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 459] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 459] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 459] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 459] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 459] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 459] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 459] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 459] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 459] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 459] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 459] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 459] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 459] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 459] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 459] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 459] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 459] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 459] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 459] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 459] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 459] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 459] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 459] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 459] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 459] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 459] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 459] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 459] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 459] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 459] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 459] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 459] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 459] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 459] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 459] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 459] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 459] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 459] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 459] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 459] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 459] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 459] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 459] Brq: ---------------- -[DEBUG][time= 459] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 459] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 459] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 459] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 459] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 459] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 460] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 460] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 460] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 460] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 460] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 460] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 460] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 460] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 460] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 460] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 460] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 460] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 460] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 460] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 460] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 460] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 460] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 460] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 460] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 460] BPUStage3: flushS3=0 -[DEBUG][time= 460] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 460] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 460] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 460] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 460] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 460] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 460] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 460] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 460] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 460] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 460] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 460] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 460] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 460] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 460] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 460] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 460] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 460] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 460] Dispatch2: regfile 0 from 3 -[DEBUG][time= 460] Dispatch2: regfile 1 from 3 -[DEBUG][time= 460] Dispatch2: regfile 2 from 0 -[DEBUG][time= 460] Dispatch2: regfile 3 from 0 -[DEBUG][time= 460] Dispatch2: regfile 4 from 0 -[DEBUG][time= 460] Dispatch2: regfile 5 from 0 -[DEBUG][time= 460] Dispatch2: regfile 6 from 0 -[DEBUG][time= 460] Dispatch2: regfile 7 from 0 -[DEBUG][time= 460] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 460] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 460] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 460] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 460] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 460] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 460] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 460] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 460] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 460] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 460] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 460] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 460] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 460] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 460] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 460] Roq: CSR block should only happen in s_idle -[DEBUG][time= 460] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 460] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 460] Roq: -------------------------------- -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 460] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 460] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 460] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 460] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 460] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 460] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 460] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 460] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 460] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 460] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 460] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 460] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 460] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 460] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 460] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 460] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 460] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 460] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 460] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 460] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 460] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 460] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 460] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 460] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 460] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 460] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 460] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 460] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 460] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 460] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 460] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 460] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 460] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 460] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 460] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 460] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 460] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 460] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 460] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 460] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 460] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 460] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 460] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 460] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 460] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 460] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 460] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 460] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 460] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 460] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 460] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 460] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 460] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 460] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 460] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 460] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 460] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 460] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 460] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 460] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 460] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 460] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 460] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 460] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 460] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 460] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 460] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 460] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 460] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 460] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 460] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 460] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 460] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 460] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 460] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 460] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 460] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 460] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 460] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 460] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 460] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 460] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 460] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 460] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 460] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 460] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 460] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 460] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 460] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 460] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 460] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 460] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 460] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 460] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 460] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 460] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 460] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 460] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 460] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 460] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 460] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 460] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 460] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 460] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 460] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 460] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 460] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 460] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 460] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 460] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 460] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 460] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 460] Brq: ---------------- -[DEBUG][time= 460] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 460] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 460] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 460] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 460] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 460] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 461] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 461] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 461] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 461] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 461] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 461] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 461] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 461] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 461] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 461] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 461] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 461] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 461] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 461] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 461] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 461] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 461] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 461] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 461] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 461] BPUStage3: flushS3=0 -[DEBUG][time= 461] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 461] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 461] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 461] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 461] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 461] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 461] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 461] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 461] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 461] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 461] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 461] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 461] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 461] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 461] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 461] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 461] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 461] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 461] Dispatch2: regfile 0 from 3 -[DEBUG][time= 461] Dispatch2: regfile 1 from 3 -[DEBUG][time= 461] Dispatch2: regfile 2 from 0 -[DEBUG][time= 461] Dispatch2: regfile 3 from 0 -[DEBUG][time= 461] Dispatch2: regfile 4 from 0 -[DEBUG][time= 461] Dispatch2: regfile 5 from 0 -[DEBUG][time= 461] Dispatch2: regfile 6 from 0 -[DEBUG][time= 461] Dispatch2: regfile 7 from 0 -[DEBUG][time= 461] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 461] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 461] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 461] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 461] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 461] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 461] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 461] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 461] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 461] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 461] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 461] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 461] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 461] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 461] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 461] Roq: CSR block should only happen in s_idle -[DEBUG][time= 461] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 461] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 461] Roq: -------------------------------- -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 461] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 461] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 461] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 461] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 461] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 461] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 461] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 461] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 461] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 461] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 461] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 461] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 461] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 461] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 461] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 461] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 461] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 461] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 461] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 461] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 461] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 461] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 461] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 461] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 461] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 461] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 461] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 461] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 461] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 461] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 461] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 461] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 461] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 461] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 461] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 461] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 461] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 461] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 461] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 461] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 461] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 461] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 461] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 461] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 461] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 461] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 461] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 461] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 461] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 461] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 461] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 461] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 461] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 461] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 461] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 461] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 461] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 461] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 461] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 461] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 461] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 461] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 461] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 461] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 461] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 461] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 461] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 461] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 461] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 461] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 461] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 461] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 461] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 461] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 461] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 461] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 461] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 461] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 461] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 461] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 461] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 461] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 461] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 461] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 461] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 461] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 461] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 461] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 461] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 461] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 461] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 461] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 461] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 461] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 461] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 461] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 461] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 461] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 461] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 461] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 461] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 461] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 461] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 461] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 461] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 461] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 461] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 461] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 461] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 461] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 461] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 461] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 461] Brq: ---------------- -[DEBUG][time= 461] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 461] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 461] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 461] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 461] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 461] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 462] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 462] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 462] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 462] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 462] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 462] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 462] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 462] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 462] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 462] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 462] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 462] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 462] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 462] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 462] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 462] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 462] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 462] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 462] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 462] BPUStage3: flushS3=0 -[DEBUG][time= 462] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 462] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 462] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 462] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 462] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 462] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 462] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 462] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 462] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 462] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 462] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 462] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 462] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 462] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 462] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 462] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 462] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 462] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 462] Dispatch2: regfile 0 from 3 -[DEBUG][time= 462] Dispatch2: regfile 1 from 3 -[DEBUG][time= 462] Dispatch2: regfile 2 from 0 -[DEBUG][time= 462] Dispatch2: regfile 3 from 0 -[DEBUG][time= 462] Dispatch2: regfile 4 from 0 -[DEBUG][time= 462] Dispatch2: regfile 5 from 0 -[DEBUG][time= 462] Dispatch2: regfile 6 from 0 -[DEBUG][time= 462] Dispatch2: regfile 7 from 0 -[DEBUG][time= 462] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 462] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 462] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 462] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 462] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 462] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 462] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 462] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 462] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 462] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 462] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 462] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 462] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 462] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 462] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 462] Roq: CSR block should only happen in s_idle -[DEBUG][time= 462] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 462] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 462] Roq: -------------------------------- -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 462] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 462] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 462] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 462] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 462] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 462] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 462] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 462] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 462] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 462] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 462] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 462] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 462] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 462] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 462] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 462] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 462] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 462] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 462] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 462] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 462] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 462] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 462] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 462] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 462] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 462] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 462] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 462] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 462] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 462] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 462] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 462] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 462] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 462] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 462] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 462] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 462] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 462] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 462] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 462] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 462] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 462] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 462] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 462] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 462] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 462] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 462] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 462] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 462] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 462] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 462] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 462] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 462] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 462] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 462] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 462] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 462] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 462] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 462] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 462] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 462] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 462] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 462] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 462] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 462] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 462] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 462] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 462] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 462] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 462] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 462] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 462] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 462] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 462] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 462] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 462] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 462] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 462] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 462] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 462] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 462] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 462] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 462] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 462] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 462] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 462] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 462] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 462] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 462] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 462] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 462] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 462] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 462] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 462] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 462] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 462] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 462] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 462] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 462] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 462] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 462] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 462] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 462] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 462] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 462] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 462] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 462] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 462] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 462] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 462] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 462] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 462] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 462] Brq: ---------------- -[DEBUG][time= 462] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 462] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 462] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 462] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 462] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 462] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 463] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 463] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 463] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 463] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 463] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 463] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 463] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 463] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 463] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 463] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 463] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 463] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 463] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 463] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 463] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 463] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 463] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 463] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 463] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 463] BPUStage3: flushS3=0 -[DEBUG][time= 463] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 463] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 463] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 463] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 463] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 463] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 463] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 463] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 463] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 463] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 463] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 463] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 463] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 463] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 463] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 463] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 463] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 463] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 463] Dispatch2: regfile 0 from 3 -[DEBUG][time= 463] Dispatch2: regfile 1 from 3 -[DEBUG][time= 463] Dispatch2: regfile 2 from 0 -[DEBUG][time= 463] Dispatch2: regfile 3 from 0 -[DEBUG][time= 463] Dispatch2: regfile 4 from 0 -[DEBUG][time= 463] Dispatch2: regfile 5 from 0 -[DEBUG][time= 463] Dispatch2: regfile 6 from 0 -[DEBUG][time= 463] Dispatch2: regfile 7 from 0 -[DEBUG][time= 463] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 463] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 463] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 463] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 463] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 463] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 463] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 463] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 463] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 463] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 463] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 463] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 463] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 463] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 463] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 463] Roq: CSR block should only happen in s_idle -[DEBUG][time= 463] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 463] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 463] Roq: -------------------------------- -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 463] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 463] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 463] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 463] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 463] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 463] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 463] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 463] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 463] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 463] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 463] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 463] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 463] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 463] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 463] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 463] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 463] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 463] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 463] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 463] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 463] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 463] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 463] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 463] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 463] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 463] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 463] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 463] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 463] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 463] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 463] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 463] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 463] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 463] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 463] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 463] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 463] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 463] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 463] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 463] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 463] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 463] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 463] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 463] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 463] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 463] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 463] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 463] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 463] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 463] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 463] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 463] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 463] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 463] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 463] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 463] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 463] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 463] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 463] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 463] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 463] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 463] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 463] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 463] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 463] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 463] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 463] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 463] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 463] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 463] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 463] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 463] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 463] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 463] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 463] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 463] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 463] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 463] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 463] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 463] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 463] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 463] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 463] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 463] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 463] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 463] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 463] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 463] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 463] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 463] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 463] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 463] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 463] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 463] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 463] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 463] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 463] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 463] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 463] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 463] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 463] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 463] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 463] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 463] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 463] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 463] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 463] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 463] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 463] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 463] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 463] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 463] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 463] Brq: ---------------- -[DEBUG][time= 463] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 463] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 463] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 463] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 463] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 463] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 464] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 464] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 464] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 464] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 464] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 464] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 464] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 464] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 464] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 464] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 464] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 464] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 464] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 464] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 464] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 464] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 464] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 464] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 464] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 464] BPUStage3: flushS3=0 -[DEBUG][time= 464] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 464] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 464] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 464] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 464] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 464] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 464] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 464] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 464] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 464] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 464] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 464] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 464] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 464] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 464] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 464] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 464] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 464] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 464] Dispatch2: regfile 0 from 3 -[DEBUG][time= 464] Dispatch2: regfile 1 from 3 -[DEBUG][time= 464] Dispatch2: regfile 2 from 0 -[DEBUG][time= 464] Dispatch2: regfile 3 from 0 -[DEBUG][time= 464] Dispatch2: regfile 4 from 0 -[DEBUG][time= 464] Dispatch2: regfile 5 from 0 -[DEBUG][time= 464] Dispatch2: regfile 6 from 0 -[DEBUG][time= 464] Dispatch2: regfile 7 from 0 -[DEBUG][time= 464] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 464] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 464] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 464] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 464] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 464] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 464] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 464] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 464] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 464] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 464] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 464] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 464] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 464] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 464] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 464] Roq: CSR block should only happen in s_idle -[DEBUG][time= 464] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 464] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 464] Roq: -------------------------------- -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 464] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 464] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 464] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 464] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 464] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 464] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 464] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 464] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 464] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 464] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 464] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 464] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 464] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 464] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 464] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 464] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 464] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 464] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 464] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 464] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 464] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 464] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 464] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 464] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 464] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 464] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 464] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 464] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 464] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 464] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 464] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 464] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 464] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 464] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 464] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 464] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 464] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 464] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 464] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 464] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 464] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 464] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 464] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 464] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 464] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 464] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 464] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 464] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 464] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 464] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 464] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 464] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 464] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 464] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 464] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 464] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 464] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 464] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 464] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 464] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 464] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 464] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 464] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 464] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 464] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 464] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 464] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 464] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 464] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 464] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 464] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 464] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 464] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 464] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 464] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 464] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 464] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 464] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 464] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 464] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 464] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 464] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 464] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 464] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 464] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 464] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 464] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 464] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 464] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 464] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 464] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 464] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 464] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 464] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 464] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 464] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 464] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 464] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 464] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 464] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 464] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 464] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 464] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 464] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 464] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 464] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 464] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 464] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 464] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 464] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 464] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 464] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 464] Brq: ---------------- -[DEBUG][time= 464] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 464] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 464] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 464] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 464] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 464] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 465] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 465] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 465] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 465] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 465] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 465] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 465] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 465] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 465] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 465] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 465] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 465] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 465] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 465] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 465] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 465] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 465] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 465] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 465] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 465] BPUStage3: flushS3=0 -[DEBUG][time= 465] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 465] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 465] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 465] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 465] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 465] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 465] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 465] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 465] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 465] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 465] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 465] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 465] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 465] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 465] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 465] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 465] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 465] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 465] Dispatch2: regfile 0 from 3 -[DEBUG][time= 465] Dispatch2: regfile 1 from 3 -[DEBUG][time= 465] Dispatch2: regfile 2 from 0 -[DEBUG][time= 465] Dispatch2: regfile 3 from 0 -[DEBUG][time= 465] Dispatch2: regfile 4 from 0 -[DEBUG][time= 465] Dispatch2: regfile 5 from 0 -[DEBUG][time= 465] Dispatch2: regfile 6 from 0 -[DEBUG][time= 465] Dispatch2: regfile 7 from 0 -[DEBUG][time= 465] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 465] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 465] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 465] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 465] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 465] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 465] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 465] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 465] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 465] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 465] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 465] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 465] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 465] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 465] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 465] Roq: CSR block should only happen in s_idle -[DEBUG][time= 465] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 465] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 465] Roq: -------------------------------- -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 465] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 465] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 465] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 465] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 465] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 465] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 465] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 465] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 465] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 465] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 465] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 465] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 465] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 465] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 465] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 465] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 465] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 465] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 465] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 465] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 465] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 465] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 465] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 465] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 465] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 465] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 465] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 465] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 465] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 465] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 465] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 465] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 465] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 465] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 465] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 465] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 465] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 465] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 465] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 465] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 465] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 465] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 465] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 465] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 465] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 465] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 465] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 465] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 465] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 465] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 465] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 465] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 465] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 465] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 465] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 465] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 465] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 465] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 465] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 465] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 465] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 465] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 465] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 465] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 465] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 465] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 465] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 465] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 465] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 465] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 465] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 465] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 465] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 465] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 465] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 465] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 465] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 465] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 465] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 465] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 465] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 465] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 465] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 465] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 465] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 465] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 465] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 465] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 465] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 465] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 465] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 465] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 465] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 465] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 465] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 465] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 465] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 465] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 465] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 465] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 465] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 465] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 465] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 465] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 465] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 465] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 465] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 465] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 465] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 465] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 465] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 465] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 465] Brq: ---------------- -[DEBUG][time= 465] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 465] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 465] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 465] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 465] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 465] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 466] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 466] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 466] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 466] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 466] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 466] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 466] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 466] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 466] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 466] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 466] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 466] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 466] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 466] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 466] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 466] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 466] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 466] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 466] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 466] BPUStage3: flushS3=0 -[DEBUG][time= 466] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 466] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 466] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 466] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 466] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 466] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 466] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 466] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 466] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 466] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 466] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 466] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 466] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 466] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 466] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 466] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 466] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 466] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 466] Dispatch2: regfile 0 from 3 -[DEBUG][time= 466] Dispatch2: regfile 1 from 3 -[DEBUG][time= 466] Dispatch2: regfile 2 from 0 -[DEBUG][time= 466] Dispatch2: regfile 3 from 0 -[DEBUG][time= 466] Dispatch2: regfile 4 from 0 -[DEBUG][time= 466] Dispatch2: regfile 5 from 0 -[DEBUG][time= 466] Dispatch2: regfile 6 from 0 -[DEBUG][time= 466] Dispatch2: regfile 7 from 0 -[DEBUG][time= 466] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 466] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 466] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 466] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 466] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 466] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 466] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 466] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 466] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 466] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 466] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 466] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 466] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 466] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 466] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 466] Roq: CSR block should only happen in s_idle -[DEBUG][time= 466] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 466] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 466] Roq: -------------------------------- -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 466] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 466] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 466] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 466] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 466] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 466] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 466] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 466] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 466] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 466] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 466] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 466] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 466] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 466] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 466] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 466] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 466] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 466] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 466] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 466] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 466] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 466] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 466] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 466] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 466] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 466] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 466] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 466] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 466] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 466] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 466] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 466] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 466] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 466] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 466] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 466] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 466] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 466] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 466] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 466] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 466] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 466] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 466] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 466] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 466] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 466] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 466] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 466] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 466] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 466] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 466] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 466] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 466] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 466] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 466] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 466] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 466] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 466] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 466] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 466] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 466] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 466] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 466] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 466] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 466] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 466] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 466] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 466] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 466] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 466] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 466] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 466] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 466] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 466] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 466] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 466] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 466] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 466] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 466] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 466] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 466] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 466] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 466] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 466] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 466] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 466] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 466] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 466] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 466] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 466] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 466] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 466] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 466] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 466] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 466] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 466] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 466] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 466] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 466] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 466] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 466] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 466] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 466] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 466] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 466] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 466] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 466] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 466] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 466] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 466] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 466] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 466] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 466] Brq: ---------------- -[DEBUG][time= 466] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 466] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 466] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 466] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 466] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 466] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 467] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 467] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 467] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 467] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 467] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 467] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 467] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 467] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 467] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 467] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 467] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 467] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 467] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 467] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 467] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 467] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 467] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 467] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 467] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 467] BPUStage3: flushS3=0 -[DEBUG][time= 467] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 467] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 467] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 467] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 467] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 467] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 467] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 467] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 467] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 467] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 467] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 467] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 467] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 467] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 467] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 467] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 467] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 467] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 467] Dispatch2: regfile 0 from 3 -[DEBUG][time= 467] Dispatch2: regfile 1 from 3 -[DEBUG][time= 467] Dispatch2: regfile 2 from 0 -[DEBUG][time= 467] Dispatch2: regfile 3 from 0 -[DEBUG][time= 467] Dispatch2: regfile 4 from 0 -[DEBUG][time= 467] Dispatch2: regfile 5 from 0 -[DEBUG][time= 467] Dispatch2: regfile 6 from 0 -[DEBUG][time= 467] Dispatch2: regfile 7 from 0 -[DEBUG][time= 467] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 467] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 467] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 467] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 467] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 467] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 467] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 467] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 467] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 467] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 467] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 467] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 467] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 467] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 467] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 467] Roq: CSR block should only happen in s_idle -[DEBUG][time= 467] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 467] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 467] Roq: -------------------------------- -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 467] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 467] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 467] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 467] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 467] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 467] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 467] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 467] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 467] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 467] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 467] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 467] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 467] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 467] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 467] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 467] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 467] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 467] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 467] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 467] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 467] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 467] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 467] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 467] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 467] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 467] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 467] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 467] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 467] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 467] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 467] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 467] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 467] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 467] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 467] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 467] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 467] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 467] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 467] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 467] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 467] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 467] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 467] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 467] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 467] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 467] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 467] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 467] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 467] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 467] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 467] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 467] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 467] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 467] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 467] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 467] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 467] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 467] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 467] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 467] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 467] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 467] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 467] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 467] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 467] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 467] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 467] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 467] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 467] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 467] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 467] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 467] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 467] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 467] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 467] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 467] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 467] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 467] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 467] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 467] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 467] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 467] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 467] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 467] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 467] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 467] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 467] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 467] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 467] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 467] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 467] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 467] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 467] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 467] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 467] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 467] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 467] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 467] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 467] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 467] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 467] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 467] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 467] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 467] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 467] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 467] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 467] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 467] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 467] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 467] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 467] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 467] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 467] Brq: ---------------- -[DEBUG][time= 467] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 467] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 467] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 467] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 467] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 467] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 468] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 468] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 468] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 468] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 468] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 468] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 468] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 468] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 468] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 468] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 468] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 468] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 468] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 468] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 468] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 468] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 468] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 468] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 468] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 468] BPUStage3: flushS3=0 -[DEBUG][time= 468] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 468] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 468] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 468] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 468] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 468] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 468] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 468] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 468] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 468] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 468] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 468] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 468] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 468] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 468] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 468] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 468] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 468] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 468] Dispatch2: regfile 0 from 3 -[DEBUG][time= 468] Dispatch2: regfile 1 from 3 -[DEBUG][time= 468] Dispatch2: regfile 2 from 0 -[DEBUG][time= 468] Dispatch2: regfile 3 from 0 -[DEBUG][time= 468] Dispatch2: regfile 4 from 0 -[DEBUG][time= 468] Dispatch2: regfile 5 from 0 -[DEBUG][time= 468] Dispatch2: regfile 6 from 0 -[DEBUG][time= 468] Dispatch2: regfile 7 from 0 -[DEBUG][time= 468] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 468] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 468] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 468] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 468] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 468] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 468] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 468] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 468] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 468] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 468] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 468] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 468] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 468] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 468] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 468] Roq: CSR block should only happen in s_idle -[DEBUG][time= 468] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 468] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 468] Roq: -------------------------------- -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 468] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 468] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 468] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 468] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 468] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 468] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 468] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 468] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 468] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 468] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 468] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 468] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 468] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 468] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 468] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 468] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 468] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 468] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 468] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 468] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 468] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 468] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 468] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 468] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 468] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 468] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 468] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 468] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 468] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 468] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 468] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 468] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 468] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 468] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 468] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 468] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 468] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 468] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 468] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 468] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 468] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 468] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 468] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 468] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 468] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 468] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 468] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 468] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 468] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 468] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 468] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 468] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 468] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 468] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 468] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 468] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 468] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 468] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 468] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 468] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 468] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 468] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 468] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 468] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 468] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 468] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 468] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 468] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 468] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 468] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 468] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 468] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 468] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 468] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 468] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 468] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 468] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 468] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 468] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 468] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 468] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 468] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 468] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 468] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 468] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 468] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 468] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 468] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 468] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 468] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 468] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 468] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 468] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 468] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 468] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 468] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 468] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 468] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 468] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 468] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 468] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 468] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 468] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 468] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 468] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 468] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 468] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 468] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 468] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 468] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 468] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 468] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 468] Brq: ---------------- -[DEBUG][time= 468] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 468] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 468] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 468] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 468] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 468] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 469] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 469] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 469] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 469] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 469] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 469] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 469] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 469] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 469] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 469] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 469] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 469] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 469] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 469] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 469] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 469] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 469] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 469] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 469] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 469] BPUStage3: flushS3=0 -[DEBUG][time= 469] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 469] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 469] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 469] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 469] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 469] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 469] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 469] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 469] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 469] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 469] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 469] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 469] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 469] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 469] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 469] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 469] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 469] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 469] Dispatch2: regfile 0 from 3 -[DEBUG][time= 469] Dispatch2: regfile 1 from 3 -[DEBUG][time= 469] Dispatch2: regfile 2 from 0 -[DEBUG][time= 469] Dispatch2: regfile 3 from 0 -[DEBUG][time= 469] Dispatch2: regfile 4 from 0 -[DEBUG][time= 469] Dispatch2: regfile 5 from 0 -[DEBUG][time= 469] Dispatch2: regfile 6 from 0 -[DEBUG][time= 469] Dispatch2: regfile 7 from 0 -[DEBUG][time= 469] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 469] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 469] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 469] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 469] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 469] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 469] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 469] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 469] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 469] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 469] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 469] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 469] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 469] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 469] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 469] Roq: CSR block should only happen in s_idle -[DEBUG][time= 469] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 469] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 469] Roq: -------------------------------- -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 469] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 469] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 469] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 469] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 469] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 469] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 469] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 469] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 469] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 469] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 469] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 469] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 469] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 469] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 469] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 469] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 469] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 469] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 469] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 469] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 469] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 469] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 469] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 469] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 469] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 469] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 469] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 469] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 469] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 469] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 469] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 469] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 469] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 469] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 469] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 469] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 469] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 469] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 469] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 469] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 469] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 469] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 469] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 469] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 469] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 469] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 469] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 469] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 469] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 469] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 469] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 469] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 469] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 469] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 469] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 469] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 469] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 469] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 469] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 469] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 469] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 469] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 469] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 469] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 469] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 469] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 469] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 469] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 469] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 469] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 469] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 469] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 469] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 469] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 469] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 469] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 469] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 469] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 469] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 469] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 469] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 469] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 469] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 469] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 469] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 469] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 469] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 469] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 469] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 469] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 469] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 469] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 469] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 469] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 469] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 469] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 469] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 469] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 469] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 469] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 469] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 469] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 469] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 469] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 469] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 469] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 469] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 469] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 469] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 469] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 469] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 469] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 469] Brq: ---------------- -[DEBUG][time= 469] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 469] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 469] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 469] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 469] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 469] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 470] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 470] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 470] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 470] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 470] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 470] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 470] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 470] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 470] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 470] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 470] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 470] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 470] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 470] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 470] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 470] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 470] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 470] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 470] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 470] BPUStage3: flushS3=0 -[DEBUG][time= 470] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 470] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 470] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 470] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 470] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 470] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 470] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 470] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 470] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 470] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 470] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 470] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 470] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 470] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 470] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 470] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 470] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 470] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 470] Dispatch2: regfile 0 from 3 -[DEBUG][time= 470] Dispatch2: regfile 1 from 3 -[DEBUG][time= 470] Dispatch2: regfile 2 from 0 -[DEBUG][time= 470] Dispatch2: regfile 3 from 0 -[DEBUG][time= 470] Dispatch2: regfile 4 from 0 -[DEBUG][time= 470] Dispatch2: regfile 5 from 0 -[DEBUG][time= 470] Dispatch2: regfile 6 from 0 -[DEBUG][time= 470] Dispatch2: regfile 7 from 0 -[DEBUG][time= 470] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 470] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 470] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 470] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 470] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 470] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 470] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 470] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 470] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 470] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 470] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 470] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 470] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 470] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 470] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 470] Roq: CSR block should only happen in s_idle -[DEBUG][time= 470] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 470] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 470] Roq: -------------------------------- -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 470] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 470] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 470] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 470] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 470] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 470] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 470] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 470] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 470] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 470] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 470] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 470] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 470] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 470] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 470] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 470] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 470] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 470] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 470] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 470] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 470] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 470] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 470] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 470] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 470] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 470] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 470] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 470] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 470] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 470] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 470] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 470] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 470] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 470] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 470] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 470] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 470] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 470] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 470] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 470] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 470] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 470] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 470] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 470] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 470] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 470] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 470] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 470] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 470] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 470] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 470] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 470] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 470] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 470] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 470] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 470] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 470] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 470] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 470] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 470] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 470] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 470] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 470] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 470] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 470] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 470] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 470] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 470] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 470] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 470] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 470] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 470] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 470] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 470] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 470] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 470] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 470] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 470] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 470] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 470] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 470] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 470] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 470] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 470] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 470] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 470] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 470] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 470] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 470] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 470] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 470] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 470] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 470] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 470] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 470] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 470] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 470] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 470] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 470] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 470] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 470] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 470] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 470] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 470] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 470] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 470] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 470] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 470] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 470] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 470] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 470] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 470] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 470] Brq: ---------------- -[DEBUG][time= 470] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 470] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 470] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 470] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 470] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 470] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 471] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 471] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 471] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 471] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 471] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 471] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 471] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 471] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 471] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 471] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 471] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 471] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 471] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 471] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 471] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 471] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 471] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 471] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 471] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 471] BPUStage3: flushS3=0 -[DEBUG][time= 471] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 471] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 471] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 471] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 471] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 471] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 471] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 471] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 471] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 471] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 471] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 471] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 471] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 471] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 471] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 471] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 471] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 471] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 471] Dispatch2: regfile 0 from 3 -[DEBUG][time= 471] Dispatch2: regfile 1 from 3 -[DEBUG][time= 471] Dispatch2: regfile 2 from 0 -[DEBUG][time= 471] Dispatch2: regfile 3 from 0 -[DEBUG][time= 471] Dispatch2: regfile 4 from 0 -[DEBUG][time= 471] Dispatch2: regfile 5 from 0 -[DEBUG][time= 471] Dispatch2: regfile 6 from 0 -[DEBUG][time= 471] Dispatch2: regfile 7 from 0 -[DEBUG][time= 471] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 471] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 471] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 471] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 471] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 471] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 471] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 471] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 471] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 471] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 471] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 471] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 471] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 471] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 471] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 471] Roq: CSR block should only happen in s_idle -[DEBUG][time= 471] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 471] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 471] Roq: -------------------------------- -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 471] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 471] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 471] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 471] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 471] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 471] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 471] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 471] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 471] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 471] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 471] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 471] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 471] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 471] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 471] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 471] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 471] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 471] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 471] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 471] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 471] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 471] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 471] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 471] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 471] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 471] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 471] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 471] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 471] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 471] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 471] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 471] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 471] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 471] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 471] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 471] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 471] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 471] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 471] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 471] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 471] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 471] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 471] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 471] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 471] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 471] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 471] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 471] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 471] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 471] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 471] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 471] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 471] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 471] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 471] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 471] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 471] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 471] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 471] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 471] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 471] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 471] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 471] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 471] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 471] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 471] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 471] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 471] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 471] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 471] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 471] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 471] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 471] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 471] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 471] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 471] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 471] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 471] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 471] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 471] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 471] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 471] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 471] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 471] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 471] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 471] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 471] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 471] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 471] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 471] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 471] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 471] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 471] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 471] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 471] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 471] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 471] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 471] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 471] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 471] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 471] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 471] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 471] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 471] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 471] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 471] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 471] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 471] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 471] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 471] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 471] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 471] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 471] Brq: ---------------- -[DEBUG][time= 471] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 471] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 471] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 471] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 471] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 471] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 472] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 472] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 472] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 472] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 472] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 472] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 472] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 472] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 472] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 472] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 472] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 472] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 472] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 472] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 472] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 472] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 472] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 472] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 472] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 472] BPUStage3: flushS3=0 -[DEBUG][time= 472] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 472] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 472] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 472] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 472] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 472] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 472] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 472] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 472] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 472] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 472] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 472] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 472] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 472] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 472] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 472] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 472] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 472] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 472] Dispatch2: regfile 0 from 3 -[DEBUG][time= 472] Dispatch2: regfile 1 from 3 -[DEBUG][time= 472] Dispatch2: regfile 2 from 0 -[DEBUG][time= 472] Dispatch2: regfile 3 from 0 -[DEBUG][time= 472] Dispatch2: regfile 4 from 0 -[DEBUG][time= 472] Dispatch2: regfile 5 from 0 -[DEBUG][time= 472] Dispatch2: regfile 6 from 0 -[DEBUG][time= 472] Dispatch2: regfile 7 from 0 -[DEBUG][time= 472] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 472] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 472] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 472] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 472] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 472] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 472] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 472] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 472] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 472] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 472] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 472] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 472] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 472] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 472] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 472] Roq: CSR block should only happen in s_idle -[DEBUG][time= 472] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 472] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 472] Roq: -------------------------------- -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 472] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 472] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 472] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 472] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 472] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 472] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 472] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 472] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 472] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 472] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 472] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 472] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 472] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 472] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 472] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 472] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 472] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 472] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 472] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 472] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 472] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 472] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 472] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 472] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 472] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 472] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 472] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 472] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 472] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 472] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 472] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 472] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 472] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 472] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 472] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 472] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 472] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 472] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 472] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 472] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 472] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 472] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 472] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 472] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 472] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 472] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 472] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 472] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 472] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 472] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 472] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 472] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 472] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 472] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 472] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 472] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 472] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 472] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 472] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 472] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 472] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 472] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 472] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 472] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 472] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 472] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 472] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 472] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 472] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 472] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 472] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 472] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 472] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 472] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 472] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 472] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 472] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 472] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 472] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 472] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 472] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 472] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 472] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 472] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 472] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 472] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 472] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 472] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 472] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 472] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 472] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 472] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 472] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 472] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 472] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 472] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 472] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 472] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 472] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 472] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 472] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 472] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 472] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 472] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 472] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 472] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 472] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 472] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 472] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 472] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 472] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 472] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 472] Brq: ---------------- -[DEBUG][time= 472] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 472] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 472] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 472] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 472] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 472] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 473] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 473] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 473] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 473] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 473] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 473] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 473] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 473] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 473] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 473] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 473] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 473] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 473] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 473] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 473] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 473] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 473] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 473] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 473] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 473] BPUStage3: flushS3=0 -[DEBUG][time= 473] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 473] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 473] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 473] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 473] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 473] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 473] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 473] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 473] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 473] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 473] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 473] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 473] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 473] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 473] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 473] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 473] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 473] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 473] Dispatch2: regfile 0 from 3 -[DEBUG][time= 473] Dispatch2: regfile 1 from 3 -[DEBUG][time= 473] Dispatch2: regfile 2 from 0 -[DEBUG][time= 473] Dispatch2: regfile 3 from 0 -[DEBUG][time= 473] Dispatch2: regfile 4 from 0 -[DEBUG][time= 473] Dispatch2: regfile 5 from 0 -[DEBUG][time= 473] Dispatch2: regfile 6 from 0 -[DEBUG][time= 473] Dispatch2: regfile 7 from 0 -[DEBUG][time= 473] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 473] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 473] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 473] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 473] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 473] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 473] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 473] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 473] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 473] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 473] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 473] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 473] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 473] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 473] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 473] Roq: CSR block should only happen in s_idle -[DEBUG][time= 473] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 473] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 473] Roq: -------------------------------- -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 473] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 473] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 473] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 473] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 473] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 473] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 473] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 473] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 473] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 473] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 473] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 473] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 473] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 473] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 473] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 473] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 473] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 473] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 473] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 473] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 473] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 473] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 473] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 473] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 473] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 473] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 473] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 473] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 473] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 473] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 473] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 473] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 473] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 473] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 473] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 473] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 473] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 473] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 473] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 473] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 473] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 473] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 473] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 473] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 473] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 473] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 473] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 473] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 473] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 473] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 473] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 473] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 473] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 473] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 473] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 473] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 473] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 473] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 473] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 473] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 473] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 473] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 473] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 473] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 473] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 473] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 473] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 473] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 473] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 473] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 473] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 473] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 473] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 473] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 473] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 473] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 473] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 473] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 473] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 473] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 473] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 473] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 473] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 473] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 473] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 473] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 473] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 473] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 473] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 473] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 473] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 473] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 473] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 473] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 473] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 473] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 473] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 473] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 473] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 473] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 473] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 473] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 473] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 473] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 473] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 473] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 473] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 473] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 473] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 473] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 473] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 473] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 473] Brq: ---------------- -[DEBUG][time= 473] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 473] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 473] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 473] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 473] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 473] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 474] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 474] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 474] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 474] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 474] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 474] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 474] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 474] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 474] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 474] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 474] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 474] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 474] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 474] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 474] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 474] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 474] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 474] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 474] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 474] BPUStage3: flushS3=0 -[DEBUG][time= 474] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 474] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 474] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 474] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 474] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 474] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 474] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 474] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 474] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 474] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 474] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 474] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 474] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 474] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 474] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 474] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 474] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 474] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 474] Dispatch2: regfile 0 from 3 -[DEBUG][time= 474] Dispatch2: regfile 1 from 3 -[DEBUG][time= 474] Dispatch2: regfile 2 from 0 -[DEBUG][time= 474] Dispatch2: regfile 3 from 0 -[DEBUG][time= 474] Dispatch2: regfile 4 from 0 -[DEBUG][time= 474] Dispatch2: regfile 5 from 0 -[DEBUG][time= 474] Dispatch2: regfile 6 from 0 -[DEBUG][time= 474] Dispatch2: regfile 7 from 0 -[DEBUG][time= 474] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 474] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 474] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 474] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 474] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 474] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 474] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 474] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 474] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 474] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 474] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 474] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 474] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 474] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 474] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 474] Roq: CSR block should only happen in s_idle -[DEBUG][time= 474] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 474] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 474] Roq: -------------------------------- -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 474] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 474] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 474] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 474] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 474] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 474] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 474] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 474] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 474] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 474] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 474] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 474] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 474] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 474] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 474] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 474] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 474] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 474] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 474] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 474] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 474] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 474] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 474] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 474] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 474] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 474] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 474] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 474] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 474] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 474] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 474] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 474] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 474] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 474] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 474] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 474] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 474] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 474] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 474] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 474] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 474] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 474] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 474] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 474] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 474] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 474] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 474] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 474] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 474] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 474] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 474] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 474] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 474] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 474] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 474] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 474] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 474] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 474] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 474] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 474] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 474] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 474] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 474] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 474] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 474] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 474] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 474] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 474] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 474] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 474] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 474] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 474] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 474] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 474] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 474] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 474] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 474] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 474] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 474] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 474] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 474] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 474] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 474] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 474] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 474] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 474] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 474] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 474] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 474] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 474] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 474] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 474] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 474] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 474] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 474] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 474] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 474] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 474] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 474] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 474] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 474] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 474] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 474] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 474] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 474] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 474] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 474] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 474] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 474] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 474] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 474] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 474] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 474] Brq: ---------------- -[DEBUG][time= 474] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 474] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 474] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 474] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 474] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 474] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 475] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 475] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 475] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 475] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 475] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 475] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 475] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 475] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 475] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 475] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 475] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 475] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 475] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 475] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 475] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 475] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 475] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 475] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 475] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 475] BPUStage3: flushS3=0 -[DEBUG][time= 475] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 475] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 475] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 475] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 475] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 475] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 475] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 475] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 475] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 475] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 475] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 475] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 475] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 475] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 475] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 475] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 475] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 475] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 475] Dispatch2: regfile 0 from 3 -[DEBUG][time= 475] Dispatch2: regfile 1 from 3 -[DEBUG][time= 475] Dispatch2: regfile 2 from 0 -[DEBUG][time= 475] Dispatch2: regfile 3 from 0 -[DEBUG][time= 475] Dispatch2: regfile 4 from 0 -[DEBUG][time= 475] Dispatch2: regfile 5 from 0 -[DEBUG][time= 475] Dispatch2: regfile 6 from 0 -[DEBUG][time= 475] Dispatch2: regfile 7 from 0 -[DEBUG][time= 475] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 475] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 475] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 475] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 475] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 475] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 475] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 475] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 475] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 475] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 475] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 475] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 475] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 475] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 475] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 475] Roq: CSR block should only happen in s_idle -[DEBUG][time= 475] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 475] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 475] Roq: -------------------------------- -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 475] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 475] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 475] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 475] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 475] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 475] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 475] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 475] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 475] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 475] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 475] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 475] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 475] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 475] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 475] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 475] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 475] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 475] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 475] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 475] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 475] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 475] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 475] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 475] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 475] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 475] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 475] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 475] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 475] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 475] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 475] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 475] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 475] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 475] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 475] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 475] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 475] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 475] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 475] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 475] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 475] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 475] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 475] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 475] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 475] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 475] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 475] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 475] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 475] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 475] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 475] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 475] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 475] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 475] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 475] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 475] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 475] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 475] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 475] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 475] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 475] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 475] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 475] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 475] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 475] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 475] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 475] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 475] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 475] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 475] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 475] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 475] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 475] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 475] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 475] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 475] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 475] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 475] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 475] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 475] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 475] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 475] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 475] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 475] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 475] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 475] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 475] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 475] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 475] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 475] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 475] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 475] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 475] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 475] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 475] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 475] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 475] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 475] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 475] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 475] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 475] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 475] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 475] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 475] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 475] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 475] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 475] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 475] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 475] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 475] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 475] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 475] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 475] Brq: ---------------- -[DEBUG][time= 475] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 475] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 475] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 475] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 475] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 475] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 476] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 476] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 476] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 476] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 476] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 476] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 476] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 476] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 476] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 476] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 476] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 476] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 476] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 476] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 476] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 476] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 476] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 476] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 476] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 476] BPUStage3: flushS3=0 -[DEBUG][time= 476] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 476] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 476] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 476] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 476] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 476] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 476] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 476] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 476] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 476] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 476] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 476] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 476] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 476] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 476] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 476] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 476] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 476] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 476] Dispatch2: regfile 0 from 3 -[DEBUG][time= 476] Dispatch2: regfile 1 from 3 -[DEBUG][time= 476] Dispatch2: regfile 2 from 0 -[DEBUG][time= 476] Dispatch2: regfile 3 from 0 -[DEBUG][time= 476] Dispatch2: regfile 4 from 0 -[DEBUG][time= 476] Dispatch2: regfile 5 from 0 -[DEBUG][time= 476] Dispatch2: regfile 6 from 0 -[DEBUG][time= 476] Dispatch2: regfile 7 from 0 -[DEBUG][time= 476] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 476] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 476] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 476] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 476] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 476] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 476] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 476] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 476] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 476] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 476] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 476] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 476] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 476] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 476] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 476] Roq: CSR block should only happen in s_idle -[DEBUG][time= 476] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 476] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 476] Roq: -------------------------------- -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 476] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 476] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 476] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 476] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 476] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 476] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 476] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 476] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 476] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 476] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 476] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 476] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 476] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 476] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 476] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 476] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 476] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 476] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 476] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 476] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 476] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 476] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 476] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 476] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 476] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 476] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 476] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 476] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 476] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 476] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 476] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 476] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 476] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 476] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 476] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 476] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 476] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 476] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 476] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 476] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 476] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 476] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 476] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 476] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 476] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 476] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 476] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 476] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 476] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 476] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 476] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 476] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 476] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 476] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 476] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 476] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 476] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 476] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 476] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 476] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 476] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 476] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 476] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 476] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 476] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 476] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 476] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 476] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 476] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 476] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 476] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 476] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 476] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 476] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 476] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 476] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 476] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 476] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 476] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 476] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 476] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 476] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 476] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 476] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 476] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 476] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 476] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 476] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 476] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 476] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 476] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 476] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 476] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 476] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 476] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 476] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 476] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 476] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 476] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 476] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 476] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 476] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 476] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 476] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 476] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 476] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 476] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 476] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 476] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 476] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 476] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 476] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 476] Brq: ---------------- -[DEBUG][time= 476] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 476] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 476] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 476] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 476] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 476] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 477] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 477] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 477] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 477] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 477] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 477] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 477] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 477] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 477] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 477] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 477] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 477] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 477] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 477] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 477] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 477] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 477] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 477] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 477] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 477] BPUStage3: flushS3=0 -[DEBUG][time= 477] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 477] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 477] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 477] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 477] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 477] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 477] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 477] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 477] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 477] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 477] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 477] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 477] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 477] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 477] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 477] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 477] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 477] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 477] Dispatch2: regfile 0 from 3 -[DEBUG][time= 477] Dispatch2: regfile 1 from 3 -[DEBUG][time= 477] Dispatch2: regfile 2 from 0 -[DEBUG][time= 477] Dispatch2: regfile 3 from 0 -[DEBUG][time= 477] Dispatch2: regfile 4 from 0 -[DEBUG][time= 477] Dispatch2: regfile 5 from 0 -[DEBUG][time= 477] Dispatch2: regfile 6 from 0 -[DEBUG][time= 477] Dispatch2: regfile 7 from 0 -[DEBUG][time= 477] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 477] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 477] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 477] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 477] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 477] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 477] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 477] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 477] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 477] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 477] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 477] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 477] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 477] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 477] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 477] Roq: CSR block should only happen in s_idle -[DEBUG][time= 477] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 477] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 477] Roq: -------------------------------- -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 477] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 477] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 477] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 477] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 477] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 477] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 477] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 477] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 477] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 477] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 477] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 477] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 477] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 477] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 477] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 477] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 477] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 477] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 477] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 477] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 477] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 477] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 477] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 477] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 477] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 477] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 477] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 477] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 477] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 477] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 477] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 477] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 477] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 477] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 477] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 477] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 477] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 477] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 477] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 477] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 477] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 477] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 477] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 477] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 477] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 477] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 477] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 477] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 477] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 477] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 477] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 477] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 477] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 477] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 477] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 477] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 477] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 477] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 477] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 477] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 477] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 477] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 477] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 477] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 477] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 477] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 477] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 477] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 477] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 477] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 477] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 477] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 477] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 477] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 477] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 477] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 477] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 477] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 477] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 477] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 477] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 477] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 477] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 477] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 477] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 477] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 477] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 477] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 477] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 477] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 477] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 477] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 477] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 477] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 477] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 477] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 477] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 477] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 477] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 477] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 477] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 477] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 477] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 477] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 477] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 477] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 477] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 477] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 477] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 477] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 477] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 477] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 477] Brq: ---------------- -[DEBUG][time= 477] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 477] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 477] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 477] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 477] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 477] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 478] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 478] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 478] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 478] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 478] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 478] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 478] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 478] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 478] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 478] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 478] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 478] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 478] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 478] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 478] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 478] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 478] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 478] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 478] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 478] BPUStage3: flushS3=0 -[DEBUG][time= 478] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 478] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 478] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 478] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 478] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 478] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 478] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 478] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 478] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 478] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 478] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 478] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 478] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 478] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 478] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 478] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 478] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 478] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 478] Dispatch2: regfile 0 from 3 -[DEBUG][time= 478] Dispatch2: regfile 1 from 3 -[DEBUG][time= 478] Dispatch2: regfile 2 from 0 -[DEBUG][time= 478] Dispatch2: regfile 3 from 0 -[DEBUG][time= 478] Dispatch2: regfile 4 from 0 -[DEBUG][time= 478] Dispatch2: regfile 5 from 0 -[DEBUG][time= 478] Dispatch2: regfile 6 from 0 -[DEBUG][time= 478] Dispatch2: regfile 7 from 0 -[DEBUG][time= 478] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 478] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 478] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 478] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 478] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 478] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 478] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 478] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 478] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 478] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 478] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 478] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 478] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 478] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 478] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 478] Roq: CSR block should only happen in s_idle -[DEBUG][time= 478] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 478] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 478] Roq: -------------------------------- -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 478] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 478] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 478] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 478] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 478] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 478] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 478] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 478] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 478] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 478] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 478] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 478] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 478] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 478] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 478] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 478] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 478] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 478] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 478] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 478] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 478] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 478] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 478] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 478] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 478] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 478] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 478] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 478] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 478] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 478] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 478] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 478] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 478] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 478] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 478] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 478] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 478] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 478] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 478] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 478] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 478] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 478] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 478] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 478] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 478] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 478] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 478] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 478] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 478] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 478] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 478] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 478] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 478] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 478] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 478] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 478] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 478] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 478] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 478] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 478] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 478] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 478] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 478] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 478] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 478] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 478] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 478] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 478] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 478] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 478] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 478] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 478] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 478] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 478] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 478] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 478] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 478] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 478] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 478] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 478] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 478] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 478] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 478] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 478] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 478] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 478] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 478] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 478] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 478] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 478] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 478] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 478] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 478] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 478] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 478] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 478] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 478] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 478] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 478] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 478] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 478] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 478] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 478] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 478] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 478] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 478] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 478] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 478] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 478] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 478] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 478] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 478] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 478] Brq: ---------------- -[DEBUG][time= 478] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 478] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 478] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 478] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 478] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 478] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 479] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 479] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 479] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 479] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 479] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 479] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 479] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 479] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 479] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 479] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 479] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 479] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 479] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 479] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 479] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 479] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 479] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 479] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 479] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 479] BPUStage3: flushS3=0 -[DEBUG][time= 479] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 479] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 479] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 479] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 479] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 479] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 479] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 479] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 479] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 479] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 479] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 479] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 479] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 479] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 479] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 479] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 479] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 479] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 479] Dispatch2: regfile 0 from 3 -[DEBUG][time= 479] Dispatch2: regfile 1 from 3 -[DEBUG][time= 479] Dispatch2: regfile 2 from 0 -[DEBUG][time= 479] Dispatch2: regfile 3 from 0 -[DEBUG][time= 479] Dispatch2: regfile 4 from 0 -[DEBUG][time= 479] Dispatch2: regfile 5 from 0 -[DEBUG][time= 479] Dispatch2: regfile 6 from 0 -[DEBUG][time= 479] Dispatch2: regfile 7 from 0 -[DEBUG][time= 479] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 479] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 479] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 479] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 479] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 479] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 479] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 479] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 479] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 479] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 479] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 479] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 479] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 479] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 479] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 479] Roq: CSR block should only happen in s_idle -[DEBUG][time= 479] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 479] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 479] Roq: -------------------------------- -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 479] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 479] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 479] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 479] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 479] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 479] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 479] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 479] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 479] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 479] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 479] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 479] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 479] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 479] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 479] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 479] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 479] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 479] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 479] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 479] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 479] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 479] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 479] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 479] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 479] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 479] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 479] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 479] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 479] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 479] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 479] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 479] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 479] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 479] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 479] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 479] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 479] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 479] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 479] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 479] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 479] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 479] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 479] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 479] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 479] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 479] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 479] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 479] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 479] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 479] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 479] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 479] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 479] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 479] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 479] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 479] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 479] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 479] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 479] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 479] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 479] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 479] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 479] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 479] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 479] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 479] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 479] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 479] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 479] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 479] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 479] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 479] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 479] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 479] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 479] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 479] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 479] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 479] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 479] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 479] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 479] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 479] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 479] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 479] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 479] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 479] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 479] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 479] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 479] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 479] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 479] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 479] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 479] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 479] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 479] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 479] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 479] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 479] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 479] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 479] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 479] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 479] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 479] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 479] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 479] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 479] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 479] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 479] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 479] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 479] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 479] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 479] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 479] Brq: ---------------- -[DEBUG][time= 479] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 479] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 479] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 479] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 479] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 479] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 480] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 480] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 480] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 480] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 480] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 480] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 480] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 480] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 480] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 480] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 480] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 480] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 480] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 480] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 480] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 480] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 480] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 480] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 480] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 480] BPUStage3: flushS3=0 -[DEBUG][time= 480] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 480] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 480] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 480] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 480] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 480] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 480] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 480] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 480] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 480] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 480] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 480] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 480] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 480] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 480] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 480] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 480] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 480] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 480] Dispatch2: regfile 0 from 3 -[DEBUG][time= 480] Dispatch2: regfile 1 from 3 -[DEBUG][time= 480] Dispatch2: regfile 2 from 0 -[DEBUG][time= 480] Dispatch2: regfile 3 from 0 -[DEBUG][time= 480] Dispatch2: regfile 4 from 0 -[DEBUG][time= 480] Dispatch2: regfile 5 from 0 -[DEBUG][time= 480] Dispatch2: regfile 6 from 0 -[DEBUG][time= 480] Dispatch2: regfile 7 from 0 -[DEBUG][time= 480] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 480] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 480] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 480] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 480] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 480] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 480] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 480] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 480] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 480] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 480] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 480] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 480] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 480] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 480] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 480] Roq: CSR block should only happen in s_idle -[DEBUG][time= 480] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 480] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 480] Roq: -------------------------------- -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 480] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 480] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 480] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 480] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 480] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 480] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 480] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 480] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 480] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 480] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 480] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 480] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 480] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 480] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 480] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 480] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 480] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 480] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 480] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 480] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 480] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 480] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 480] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 480] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 480] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 480] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 480] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 480] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 480] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 480] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 480] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 480] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 480] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 480] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 480] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 480] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 480] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 480] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 480] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 480] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 480] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 480] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 480] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 480] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 480] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 480] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 480] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 480] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 480] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 480] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 480] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 480] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 480] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 480] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 480] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 480] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 480] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 480] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 480] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 480] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 480] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 480] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 480] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 480] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 480] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 480] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 480] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 480] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 480] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 480] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 480] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 480] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 480] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 480] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 480] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 480] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 480] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 480] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 480] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 480] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 480] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 480] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 480] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 480] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 480] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 480] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 480] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 480] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 480] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 480] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 480] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 480] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 480] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 480] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 480] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 480] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 480] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 480] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 480] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 480] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 480] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 480] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 480] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 480] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 480] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 480] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 480] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 480] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 480] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 480] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 480] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 480] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 480] Brq: ---------------- -[DEBUG][time= 480] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 480] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 480] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 480] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 480] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 480] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 481] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 481] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 481] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 481] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 481] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 481] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 481] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 481] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 481] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 481] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 481] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 481] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 481] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 481] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 481] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 481] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 481] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 481] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 481] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 481] BPUStage3: flushS3=0 -[DEBUG][time= 481] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 481] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 481] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 481] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 481] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 481] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 481] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 481] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 481] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 481] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 481] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 481] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 481] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 481] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 481] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 481] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 481] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 481] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 481] Dispatch2: regfile 0 from 3 -[DEBUG][time= 481] Dispatch2: regfile 1 from 3 -[DEBUG][time= 481] Dispatch2: regfile 2 from 0 -[DEBUG][time= 481] Dispatch2: regfile 3 from 0 -[DEBUG][time= 481] Dispatch2: regfile 4 from 0 -[DEBUG][time= 481] Dispatch2: regfile 5 from 0 -[DEBUG][time= 481] Dispatch2: regfile 6 from 0 -[DEBUG][time= 481] Dispatch2: regfile 7 from 0 -[DEBUG][time= 481] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 481] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 481] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 481] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 481] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 481] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 481] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 481] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 481] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 481] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 481] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 481] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 481] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 481] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 481] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 481] Roq: CSR block should only happen in s_idle -[DEBUG][time= 481] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 481] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 481] Roq: -------------------------------- -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 481] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 481] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 481] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 481] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 481] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 481] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 481] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 481] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 481] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 481] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 481] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 481] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 481] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 481] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 481] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 481] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 481] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 481] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 481] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 481] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 481] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 481] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 481] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 481] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 481] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 481] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 481] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 481] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 481] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 481] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 481] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 481] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 481] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 481] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 481] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 481] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 481] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 481] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 481] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 481] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 481] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 481] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 481] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 481] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 481] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 481] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 481] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 481] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 481] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 481] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 481] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 481] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 481] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 481] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 481] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 481] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 481] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 481] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 481] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 481] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 481] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 481] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 481] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 481] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 481] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 481] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 481] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 481] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 481] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 481] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 481] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 481] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 481] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 481] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 481] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 481] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 481] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 481] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 481] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 481] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 481] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 481] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 481] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 481] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 481] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 481] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 481] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 481] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 481] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 481] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 481] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 481] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 481] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 481] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 481] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 481] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 481] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 481] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 481] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 481] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 481] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 481] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 481] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 481] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 481] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 481] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 481] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 481] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 481] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 481] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 481] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 481] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 481] Brq: ---------------- -[DEBUG][time= 481] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 481] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 481] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 481] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 481] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 481] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 482] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 482] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 482] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 482] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 482] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 482] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 482] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 482] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 482] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 482] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 482] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 482] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 482] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 482] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 482] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 482] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 482] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 482] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 482] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 482] BPUStage3: flushS3=0 -[DEBUG][time= 482] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 482] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 482] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 482] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 482] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 482] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 482] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 482] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 482] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 482] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 482] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 482] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 482] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 482] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 482] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 482] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 482] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 482] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 482] Dispatch2: regfile 0 from 3 -[DEBUG][time= 482] Dispatch2: regfile 1 from 3 -[DEBUG][time= 482] Dispatch2: regfile 2 from 0 -[DEBUG][time= 482] Dispatch2: regfile 3 from 0 -[DEBUG][time= 482] Dispatch2: regfile 4 from 0 -[DEBUG][time= 482] Dispatch2: regfile 5 from 0 -[DEBUG][time= 482] Dispatch2: regfile 6 from 0 -[DEBUG][time= 482] Dispatch2: regfile 7 from 0 -[DEBUG][time= 482] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 482] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 482] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 482] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 482] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 482] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 482] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 482] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 482] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 482] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 482] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 482] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 482] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 482] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 482] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 482] Roq: CSR block should only happen in s_idle -[DEBUG][time= 482] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 482] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 482] Roq: -------------------------------- -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 482] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 482] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 482] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 482] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 482] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 482] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 482] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 482] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 482] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 482] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 482] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 482] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 482] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 482] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 482] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 482] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 482] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 482] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 482] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 482] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 482] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 482] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 482] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 482] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 482] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 482] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 482] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 482] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 482] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 482] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 482] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 482] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 482] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 482] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 482] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 482] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 482] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 482] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 482] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 482] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 482] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 482] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 482] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 482] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 482] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 482] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 482] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 482] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 482] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 482] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 482] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 482] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 482] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 482] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 482] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 482] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 482] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 482] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 482] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 482] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 482] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 482] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 482] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 482] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 482] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 482] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 482] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 482] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 482] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 482] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 482] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 482] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 482] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 482] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 482] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 482] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 482] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 482] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 482] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 482] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 482] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 482] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 482] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 482] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 482] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 482] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 482] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 482] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 482] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 482] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 482] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 482] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 482] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 482] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 482] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 482] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 482] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 482] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 482] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 482] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 482] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 482] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 482] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 482] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 482] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 482] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 482] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 482] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 482] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 482] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 482] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 482] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 482] Brq: ---------------- -[DEBUG][time= 482] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 482] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 482] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 482] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 482] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 482] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 483] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 483] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 483] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 483] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 483] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 483] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 483] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 483] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 483] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 483] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 483] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 483] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 483] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 483] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 483] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 483] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 483] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 483] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 483] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 483] BPUStage3: flushS3=0 -[DEBUG][time= 483] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 483] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 483] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 483] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 483] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 483] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 483] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 483] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 483] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 483] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 483] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 483] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 483] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 483] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 483] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 483] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 483] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 483] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 483] Dispatch2: regfile 0 from 3 -[DEBUG][time= 483] Dispatch2: regfile 1 from 3 -[DEBUG][time= 483] Dispatch2: regfile 2 from 0 -[DEBUG][time= 483] Dispatch2: regfile 3 from 0 -[DEBUG][time= 483] Dispatch2: regfile 4 from 0 -[DEBUG][time= 483] Dispatch2: regfile 5 from 0 -[DEBUG][time= 483] Dispatch2: regfile 6 from 0 -[DEBUG][time= 483] Dispatch2: regfile 7 from 0 -[DEBUG][time= 483] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 483] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 483] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 483] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 483] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 483] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 483] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 483] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 483] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 483] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 483] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 483] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 483] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 483] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 483] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 483] Roq: CSR block should only happen in s_idle -[DEBUG][time= 483] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 483] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 483] Roq: -------------------------------- -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 483] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 483] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 483] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 483] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 483] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 483] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 483] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 483] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 483] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 483] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 483] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 483] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 483] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 483] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 483] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 483] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 483] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 483] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 483] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 483] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 483] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 483] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 483] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 483] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 483] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 483] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 483] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 483] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 483] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 483] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 483] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 483] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 483] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 483] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 483] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 483] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 483] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 483] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 483] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 483] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 483] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 483] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 483] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 483] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 483] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 483] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 483] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 483] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 483] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 483] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 483] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 483] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 483] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 483] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 483] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 483] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 483] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 483] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 483] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 483] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 483] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 483] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 483] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 483] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 483] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 483] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 483] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 483] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 483] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 483] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 483] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 483] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 483] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 483] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 483] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 483] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 483] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 483] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 483] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 483] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 483] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 483] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 483] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 483] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 483] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 483] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 483] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 483] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 483] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 483] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 483] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 483] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 483] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 483] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 483] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 483] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 483] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 483] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 483] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 483] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 483] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 483] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 483] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 483] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 483] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 483] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 483] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 483] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 483] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 483] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 483] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 483] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 483] Brq: ---------------- -[DEBUG][time= 483] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 483] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 483] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 483] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 483] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 483] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 484] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 484] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 484] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 484] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 484] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 484] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 484] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 484] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 484] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 484] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 484] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 484] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 484] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 484] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 484] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 484] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 484] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 484] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 484] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 484] BPUStage3: flushS3=0 -[DEBUG][time= 484] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 484] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 484] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 484] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 484] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 484] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 484] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 484] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 484] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 484] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 484] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 484] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 484] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 484] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 484] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 484] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 484] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 484] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 484] Dispatch2: regfile 0 from 3 -[DEBUG][time= 484] Dispatch2: regfile 1 from 3 -[DEBUG][time= 484] Dispatch2: regfile 2 from 0 -[DEBUG][time= 484] Dispatch2: regfile 3 from 0 -[DEBUG][time= 484] Dispatch2: regfile 4 from 0 -[DEBUG][time= 484] Dispatch2: regfile 5 from 0 -[DEBUG][time= 484] Dispatch2: regfile 6 from 0 -[DEBUG][time= 484] Dispatch2: regfile 7 from 0 -[DEBUG][time= 484] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 484] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 484] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 484] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 484] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 484] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 484] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 484] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 484] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 484] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 484] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 484] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 484] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 484] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 484] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 484] Roq: CSR block should only happen in s_idle -[DEBUG][time= 484] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 484] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 484] Roq: -------------------------------- -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 484] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 484] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 484] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 484] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 484] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 484] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 484] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 484] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 484] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 484] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 484] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 484] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 484] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 484] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 484] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 484] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 484] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 484] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 484] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 484] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 484] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 484] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 484] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 484] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 484] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 484] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 484] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 484] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 484] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 484] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 484] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 484] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 484] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 484] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 484] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 484] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 484] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 484] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 484] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 484] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 484] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 484] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 484] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 484] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 484] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 484] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 484] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 484] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 484] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 484] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 484] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 484] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 484] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 484] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 484] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 484] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 484] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 484] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 484] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 484] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 484] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 484] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 484] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 484] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 484] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 484] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 484] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 484] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 484] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 484] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 484] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 484] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 484] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 484] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 484] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 484] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 484] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 484] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 484] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 484] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 484] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 484] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 484] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 484] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 484] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 484] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 484] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 484] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 484] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 484] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 484] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 484] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 484] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 484] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 484] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 484] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 484] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 484] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 484] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 484] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 484] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 484] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 484] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 484] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 484] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 484] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 484] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 484] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 484] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 484] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 484] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 484] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 484] Brq: ---------------- -[DEBUG][time= 484] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 484] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 484] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 484] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 484] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 484] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 485] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 485] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 485] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 485] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 485] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 485] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 485] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 485] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 485] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 485] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 485] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 485] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 485] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 485] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 485] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 485] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 485] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 485] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 485] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 485] BPUStage3: flushS3=0 -[DEBUG][time= 485] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 485] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 485] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 485] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 485] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 485] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 485] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 485] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 485] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 485] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 485] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 485] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 485] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 485] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 485] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 485] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 485] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 485] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 485] Dispatch2: regfile 0 from 3 -[DEBUG][time= 485] Dispatch2: regfile 1 from 3 -[DEBUG][time= 485] Dispatch2: regfile 2 from 0 -[DEBUG][time= 485] Dispatch2: regfile 3 from 0 -[DEBUG][time= 485] Dispatch2: regfile 4 from 0 -[DEBUG][time= 485] Dispatch2: regfile 5 from 0 -[DEBUG][time= 485] Dispatch2: regfile 6 from 0 -[DEBUG][time= 485] Dispatch2: regfile 7 from 0 -[DEBUG][time= 485] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 485] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 485] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 485] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 485] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 485] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 485] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 485] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 485] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 485] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 485] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 485] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 485] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 485] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 485] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 485] Roq: CSR block should only happen in s_idle -[DEBUG][time= 485] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 485] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 485] Roq: -------------------------------- -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 485] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 485] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 485] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 485] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 485] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 485] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 485] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 485] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 485] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 485] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 485] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 485] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 485] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 485] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 485] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 485] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 485] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 485] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 485] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 485] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 485] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 485] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 485] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 485] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 485] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 485] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 485] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 485] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 485] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 485] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 485] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 485] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 485] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 485] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 485] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 485] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 485] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 485] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 485] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 485] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 485] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 485] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 485] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 485] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 485] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 485] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 485] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 485] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 485] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 485] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 485] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 485] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 485] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 485] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 485] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 485] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 485] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 485] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 485] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 485] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 485] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 485] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 485] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 485] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 485] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 485] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 485] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 485] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 485] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 485] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 485] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 485] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 485] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 485] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 485] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 485] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 485] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 485] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 485] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 485] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 485] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 485] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 485] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 485] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 485] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 485] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 485] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 485] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 485] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 485] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 485] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 485] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 485] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 485] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 485] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 485] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 485] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 485] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 485] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 485] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 485] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 485] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 485] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 485] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 485] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 485] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 485] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 485] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 485] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 485] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 485] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 485] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 485] Brq: ---------------- -[DEBUG][time= 485] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 485] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 485] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 485] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 485] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 485] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 486] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 486] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 486] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 486] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 486] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 486] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 486] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 486] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 486] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 486] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 486] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 486] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 486] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 486] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 486] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 486] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 486] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 486] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 486] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 486] BPUStage3: flushS3=0 -[DEBUG][time= 486] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 486] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 486] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 486] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 486] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 486] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 486] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 486] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 486] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 486] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 486] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 486] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 486] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 486] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 486] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 486] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 486] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 486] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 486] Dispatch2: regfile 0 from 3 -[DEBUG][time= 486] Dispatch2: regfile 1 from 3 -[DEBUG][time= 486] Dispatch2: regfile 2 from 0 -[DEBUG][time= 486] Dispatch2: regfile 3 from 0 -[DEBUG][time= 486] Dispatch2: regfile 4 from 0 -[DEBUG][time= 486] Dispatch2: regfile 5 from 0 -[DEBUG][time= 486] Dispatch2: regfile 6 from 0 -[DEBUG][time= 486] Dispatch2: regfile 7 from 0 -[DEBUG][time= 486] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 486] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 486] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 486] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 486] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 486] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 486] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 486] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 486] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 486] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 486] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 486] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 486] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 486] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 486] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 486] Roq: CSR block should only happen in s_idle -[DEBUG][time= 486] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 486] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 486] Roq: -------------------------------- -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 486] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 486] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 486] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 486] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 486] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 486] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 486] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 486] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 486] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 486] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 486] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 486] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 486] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 486] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 486] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 486] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 486] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 486] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 486] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 486] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 486] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 486] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 486] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 486] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 486] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 486] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 486] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 486] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 486] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 486] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 486] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 486] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 486] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 486] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 486] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 486] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 486] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 486] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 486] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 486] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 486] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 486] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 486] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 486] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 486] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 486] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 486] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 486] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 486] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 486] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 486] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 486] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 486] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 486] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 486] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 486] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 486] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 486] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 486] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 486] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 486] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 486] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 486] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 486] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 486] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 486] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 486] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 486] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 486] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 486] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 486] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 486] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 486] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 486] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 486] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 486] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 486] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 486] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 486] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 486] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 486] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 486] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 486] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 486] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 486] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 486] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 486] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 486] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 486] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 486] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 486] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 486] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 486] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 486] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 486] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 486] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 486] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 486] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 486] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 486] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 486] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 486] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 486] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 486] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 486] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 486] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 486] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 486] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 486] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 486] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 486] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 486] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 486] Brq: ---------------- -[DEBUG][time= 486] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 486] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 486] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 486] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 486] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 486] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 487] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 487] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 487] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 487] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 487] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 487] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 487] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 487] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 487] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 487] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 487] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 487] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 487] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 487] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 487] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 487] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 487] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 487] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 487] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 487] BPUStage3: flushS3=0 -[DEBUG][time= 487] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 487] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 487] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 487] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 487] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 487] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 487] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 487] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 487] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 487] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 487] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 487] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 487] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 487] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 487] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 487] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 487] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 487] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 487] Dispatch2: regfile 0 from 3 -[DEBUG][time= 487] Dispatch2: regfile 1 from 3 -[DEBUG][time= 487] Dispatch2: regfile 2 from 0 -[DEBUG][time= 487] Dispatch2: regfile 3 from 0 -[DEBUG][time= 487] Dispatch2: regfile 4 from 0 -[DEBUG][time= 487] Dispatch2: regfile 5 from 0 -[DEBUG][time= 487] Dispatch2: regfile 6 from 0 -[DEBUG][time= 487] Dispatch2: regfile 7 from 0 -[DEBUG][time= 487] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 487] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 487] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 487] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 487] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 487] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 487] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 487] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 487] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 487] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 487] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 487] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 487] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 487] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 487] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 487] Roq: CSR block should only happen in s_idle -[DEBUG][time= 487] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 487] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 487] Roq: -------------------------------- -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 487] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 487] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 487] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 487] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 487] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 487] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 487] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 487] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 487] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 487] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 487] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 487] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 487] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 487] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 487] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 487] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 487] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 487] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 487] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 487] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 487] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 487] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 487] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 487] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 487] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 487] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 487] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 487] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 487] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 487] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 487] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 487] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 487] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 487] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 487] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 487] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 487] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 487] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 487] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 487] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 487] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 487] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 487] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 487] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 487] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 487] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 487] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 487] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 487] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 487] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 487] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 487] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 487] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 487] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 487] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 487] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 487] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 487] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 487] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 487] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 487] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 487] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 487] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 487] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 487] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 487] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 487] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 487] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 487] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 487] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 487] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 487] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 487] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 487] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 487] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 487] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 487] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 487] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 487] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 487] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 487] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 487] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 487] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 487] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 487] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 487] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 487] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 487] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 487] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 487] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 487] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 487] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 487] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 487] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 487] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 487] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 487] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 487] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 487] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 487] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 487] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 487] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 487] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 487] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 487] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 487] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 487] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 487] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 487] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 487] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 487] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 487] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 487] Brq: ---------------- -[DEBUG][time= 487] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 487] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 487] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 487] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 487] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 487] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 488] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 488] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 488] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 488] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 488] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 488] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 488] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 488] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 488] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 488] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 488] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 488] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 488] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 488] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 488] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 488] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 488] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 488] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 488] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 488] BPUStage3: flushS3=0 -[DEBUG][time= 488] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 488] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 488] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 488] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 488] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 488] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 488] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 488] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 488] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 488] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 488] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 488] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 488] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 488] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 488] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 488] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 488] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 488] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 488] Dispatch2: regfile 0 from 3 -[DEBUG][time= 488] Dispatch2: regfile 1 from 3 -[DEBUG][time= 488] Dispatch2: regfile 2 from 0 -[DEBUG][time= 488] Dispatch2: regfile 3 from 0 -[DEBUG][time= 488] Dispatch2: regfile 4 from 0 -[DEBUG][time= 488] Dispatch2: regfile 5 from 0 -[DEBUG][time= 488] Dispatch2: regfile 6 from 0 -[DEBUG][time= 488] Dispatch2: regfile 7 from 0 -[DEBUG][time= 488] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 488] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 488] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 488] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 488] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 488] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 488] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 488] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 488] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 488] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 488] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 488] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 488] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 488] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 488] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 488] Roq: CSR block should only happen in s_idle -[DEBUG][time= 488] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 488] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 488] Roq: -------------------------------- -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 488] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 488] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 488] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 488] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 488] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 488] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 488] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 488] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 488] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 488] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 488] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 488] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 488] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 488] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 488] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 488] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 488] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 488] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 488] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 488] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 488] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 488] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 488] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 488] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 488] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 488] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 488] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 488] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 488] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 488] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 488] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 488] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 488] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 488] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 488] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 488] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 488] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 488] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 488] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 488] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 488] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 488] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 488] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 488] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 488] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 488] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 488] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 488] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 488] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 488] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 488] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 488] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 488] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 488] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 488] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 488] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 488] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 488] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 488] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 488] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 488] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 488] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 488] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 488] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 488] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 488] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 488] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 488] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 488] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 488] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 488] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 488] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 488] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 488] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 488] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 488] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 488] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 488] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 488] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 488] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 488] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 488] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 488] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 488] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 488] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 488] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 488] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 488] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 488] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 488] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 488] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 488] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 488] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 488] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 488] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 488] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 488] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 488] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 488] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 488] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 488] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 488] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 488] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 488] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 488] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 488] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 488] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 488] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 488] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 488] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 488] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 488] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 488] Brq: ---------------- -[DEBUG][time= 488] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 488] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 488] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 488] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 488] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 488] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 489] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 489] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 489] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 489] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 489] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 489] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 489] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 489] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 489] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 489] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 489] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 489] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 489] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 489] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 489] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 489] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 489] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 489] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 489] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 489] BPUStage3: flushS3=0 -[DEBUG][time= 489] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 489] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 489] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 489] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 489] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 489] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 489] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 489] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 489] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 489] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 489] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 489] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 489] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 489] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 489] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 489] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 489] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 489] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 489] Dispatch2: regfile 0 from 3 -[DEBUG][time= 489] Dispatch2: regfile 1 from 3 -[DEBUG][time= 489] Dispatch2: regfile 2 from 0 -[DEBUG][time= 489] Dispatch2: regfile 3 from 0 -[DEBUG][time= 489] Dispatch2: regfile 4 from 0 -[DEBUG][time= 489] Dispatch2: regfile 5 from 0 -[DEBUG][time= 489] Dispatch2: regfile 6 from 0 -[DEBUG][time= 489] Dispatch2: regfile 7 from 0 -[DEBUG][time= 489] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 489] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 489] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 489] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 489] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 489] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 489] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 489] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 489] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 489] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 489] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 489] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 489] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 489] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 489] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 489] Roq: CSR block should only happen in s_idle -[DEBUG][time= 489] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 489] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 489] Roq: -------------------------------- -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 489] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 489] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 489] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 489] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 489] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 489] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 489] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 489] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 489] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 489] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 489] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 489] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 489] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 489] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 489] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 489] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 489] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 489] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 489] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 489] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 489] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 489] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 489] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 489] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 489] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 489] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 489] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 489] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 489] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 489] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 489] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 489] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 489] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 489] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 489] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 489] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 489] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 489] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 489] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 489] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 489] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 489] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 489] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 489] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 489] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 489] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 489] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 489] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 489] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 489] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 489] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 489] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 489] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 489] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 489] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 489] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 489] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 489] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 489] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 489] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 489] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 489] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 489] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 489] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 489] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 489] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 489] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 489] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 489] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 489] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 489] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 489] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 489] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 489] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 489] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 489] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 489] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 489] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 489] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 489] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 489] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 489] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 489] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 489] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 489] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 489] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 489] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 489] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 489] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 489] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 489] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 489] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 489] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 489] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 489] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 489] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 489] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 489] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 489] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 489] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 489] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 489] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 489] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 489] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 489] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 489] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 489] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 489] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 489] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 489] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 489] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 489] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 489] Brq: ---------------- -[DEBUG][time= 489] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 489] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 489] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 489] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 489] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 489] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 490] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 490] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 490] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 490] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 490] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 490] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 490] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 490] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 490] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 490] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 490] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 490] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 490] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 490] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 490] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 490] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 490] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 490] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 490] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 490] BPUStage3: flushS3=0 -[DEBUG][time= 490] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 490] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 490] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 490] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 490] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 490] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 490] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 490] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 490] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 490] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 490] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 490] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 490] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 490] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 490] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 490] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 490] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 490] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 490] Dispatch2: regfile 0 from 3 -[DEBUG][time= 490] Dispatch2: regfile 1 from 3 -[DEBUG][time= 490] Dispatch2: regfile 2 from 0 -[DEBUG][time= 490] Dispatch2: regfile 3 from 0 -[DEBUG][time= 490] Dispatch2: regfile 4 from 0 -[DEBUG][time= 490] Dispatch2: regfile 5 from 0 -[DEBUG][time= 490] Dispatch2: regfile 6 from 0 -[DEBUG][time= 490] Dispatch2: regfile 7 from 0 -[DEBUG][time= 490] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 490] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 490] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 490] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 490] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 490] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 490] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 490] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 490] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 490] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 490] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 490] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 490] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 490] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 490] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 490] Roq: CSR block should only happen in s_idle -[DEBUG][time= 490] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 490] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 490] Roq: -------------------------------- -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 490] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 490] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 490] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 490] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 490] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 490] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 490] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 490] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 490] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 490] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 490] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 490] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 490] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 490] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 490] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 490] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 490] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 490] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 490] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 490] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 490] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 490] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 490] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 490] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 490] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 490] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 490] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 490] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 490] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 490] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 490] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 490] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 490] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 490] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 490] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 490] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 490] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 490] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 490] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 490] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 490] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 490] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 490] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 490] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 490] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 490] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 490] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 490] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 490] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 490] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 490] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 490] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 490] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 490] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 490] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 490] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 490] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 490] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 490] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 490] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 490] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 490] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 490] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 490] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 490] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 490] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 490] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 490] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 490] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 490] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 490] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 490] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 490] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 490] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 490] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 490] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 490] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 490] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 490] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 490] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 490] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 490] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 490] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 490] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 490] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 490] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 490] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 490] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 490] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 490] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 490] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 490] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 490] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 490] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 490] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 490] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 490] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 490] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 490] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 490] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 490] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 490] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 490] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 490] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 490] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 490] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 490] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 490] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 490] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 490] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 490] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 490] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 490] Brq: ---------------- -[DEBUG][time= 490] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 490] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 490] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 490] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 490] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 490] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 491] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 491] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 491] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 491] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 491] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 491] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 491] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 491] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 491] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 491] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 491] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 491] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 491] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 491] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 491] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 491] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 491] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 491] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 491] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 491] BPUStage3: flushS3=0 -[DEBUG][time= 491] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 491] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 491] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 491] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 491] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 491] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 491] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 491] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 491] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 491] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 491] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 491] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 491] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 491] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 491] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 491] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 491] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 491] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 491] Dispatch2: regfile 0 from 3 -[DEBUG][time= 491] Dispatch2: regfile 1 from 3 -[DEBUG][time= 491] Dispatch2: regfile 2 from 0 -[DEBUG][time= 491] Dispatch2: regfile 3 from 0 -[DEBUG][time= 491] Dispatch2: regfile 4 from 0 -[DEBUG][time= 491] Dispatch2: regfile 5 from 0 -[DEBUG][time= 491] Dispatch2: regfile 6 from 0 -[DEBUG][time= 491] Dispatch2: regfile 7 from 0 -[DEBUG][time= 491] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 491] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 491] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 491] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 491] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 491] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 491] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 491] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 491] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 491] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 491] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 491] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 491] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 491] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 491] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 491] Roq: CSR block should only happen in s_idle -[DEBUG][time= 491] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 491] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 491] Roq: -------------------------------- -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 491] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 491] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 491] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 491] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 491] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 491] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 491] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 491] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 491] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 491] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 491] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 491] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 491] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 491] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 491] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 491] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 491] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 491] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 491] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 491] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 491] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 491] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 491] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 491] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 491] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 491] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 491] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 491] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 491] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 491] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 491] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 491] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 491] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 491] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 491] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 491] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 491] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 491] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 491] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 491] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 491] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 491] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 491] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 491] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 491] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 491] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 491] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 491] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 491] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 491] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 491] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 491] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 491] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 491] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 491] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 491] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 491] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 491] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 491] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 491] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 491] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 491] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 491] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 491] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 491] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 491] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 491] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 491] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 491] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 491] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 491] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 491] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 491] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 491] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 491] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 491] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 491] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 491] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 491] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 491] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 491] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 491] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 491] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 491] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 491] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 491] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 491] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 491] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 491] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 491] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 491] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 491] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 491] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 491] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 491] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 491] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 491] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 491] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 491] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 491] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 491] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 491] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 491] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 491] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 491] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 491] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 491] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 491] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 491] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 491] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 491] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 491] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 491] Brq: ---------------- -[DEBUG][time= 491] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 491] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 491] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 491] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 491] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 491] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 492] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 492] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 492] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 492] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 492] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 492] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 492] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 492] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 492] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 492] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 492] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 492] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 492] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 492] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 492] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 492] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 492] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 492] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 492] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 492] BPUStage3: flushS3=0 -[DEBUG][time= 492] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 492] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 492] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 492] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 492] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 492] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 492] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 492] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 492] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 492] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 492] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 492] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 492] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 492] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 492] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 492] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 492] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 492] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 492] Dispatch2: regfile 0 from 3 -[DEBUG][time= 492] Dispatch2: regfile 1 from 3 -[DEBUG][time= 492] Dispatch2: regfile 2 from 0 -[DEBUG][time= 492] Dispatch2: regfile 3 from 0 -[DEBUG][time= 492] Dispatch2: regfile 4 from 0 -[DEBUG][time= 492] Dispatch2: regfile 5 from 0 -[DEBUG][time= 492] Dispatch2: regfile 6 from 0 -[DEBUG][time= 492] Dispatch2: regfile 7 from 0 -[DEBUG][time= 492] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 492] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 492] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 492] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 492] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 492] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 492] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 492] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 492] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 492] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 492] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 492] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 492] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 492] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 492] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 492] Roq: CSR block should only happen in s_idle -[DEBUG][time= 492] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 492] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 492] Roq: -------------------------------- -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 492] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 492] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 492] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 492] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 492] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 492] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 492] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 492] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 492] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 492] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 492] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 492] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 492] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 492] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 492] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 492] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 492] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 492] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 492] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 492] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 492] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 492] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 492] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 492] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 492] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 492] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 492] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 492] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 492] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 492] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 492] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 492] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 492] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 492] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 492] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 492] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 492] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 492] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 492] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 492] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 492] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 492] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 492] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 492] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 492] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 492] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 492] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 492] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 492] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 492] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 492] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 492] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 492] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 492] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 492] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 492] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 492] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 492] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 492] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 492] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 492] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 492] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 492] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 492] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 492] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 492] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 492] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 492] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 492] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 492] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 492] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 492] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 492] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 492] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 492] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 492] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 492] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 492] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 492] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 492] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 492] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 492] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 492] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 492] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 492] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 492] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 492] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 492] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 492] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 492] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 492] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 492] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 492] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 492] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 492] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 492] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 492] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 492] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 492] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 492] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 492] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 492] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 492] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 492] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 492] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 492] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 492] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 492] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 492] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 492] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 492] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 492] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 492] Brq: ---------------- -[DEBUG][time= 492] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 492] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 492] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 492] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 492] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 492] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 493] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 493] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 493] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 493] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 493] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 493] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 493] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 493] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 493] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 493] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 493] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 493] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 493] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 493] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 493] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 493] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 493] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 493] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 493] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 493] BPUStage3: flushS3=0 -[DEBUG][time= 493] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 493] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 493] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 493] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 493] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 493] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 493] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 493] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 493] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 493] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 493] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 493] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 493] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 493] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 493] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 493] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 493] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 493] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 493] Dispatch2: regfile 0 from 3 -[DEBUG][time= 493] Dispatch2: regfile 1 from 3 -[DEBUG][time= 493] Dispatch2: regfile 2 from 0 -[DEBUG][time= 493] Dispatch2: regfile 3 from 0 -[DEBUG][time= 493] Dispatch2: regfile 4 from 0 -[DEBUG][time= 493] Dispatch2: regfile 5 from 0 -[DEBUG][time= 493] Dispatch2: regfile 6 from 0 -[DEBUG][time= 493] Dispatch2: regfile 7 from 0 -[DEBUG][time= 493] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 493] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 493] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 493] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 493] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 493] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 493] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 493] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 493] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 493] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 493] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 493] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 493] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 493] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 493] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 493] Roq: CSR block should only happen in s_idle -[DEBUG][time= 493] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 493] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 493] Roq: -------------------------------- -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 493] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 493] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 493] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 493] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 493] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 493] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 493] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 493] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 493] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 493] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 493] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 493] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 493] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 493] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 493] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 493] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 493] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 493] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 493] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 493] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 493] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 493] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 493] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 493] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 493] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 493] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 493] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 493] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 493] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 493] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 493] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 493] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 493] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 493] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 493] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 493] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 493] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 493] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 493] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 493] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 493] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 493] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 493] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 493] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 493] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 493] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 493] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 493] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 493] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 493] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 493] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 493] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 493] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 493] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 493] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 493] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 493] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 493] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 493] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 493] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 493] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 493] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 493] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 493] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 493] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 493] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 493] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 493] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 493] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 493] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 493] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 493] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 493] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 493] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 493] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 493] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 493] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 493] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 493] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 493] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 493] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 493] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 493] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 493] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 493] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 493] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 493] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 493] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 493] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 493] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 493] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 493] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 493] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 493] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 493] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 493] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 493] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 493] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 493] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 493] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 493] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 493] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 493] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 493] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 493] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 493] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 493] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 493] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 493] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 493] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 493] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 493] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 493] Brq: ---------------- -[DEBUG][time= 493] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 493] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 493] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 493] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 493] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 493] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 494] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 494] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 494] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 494] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 494] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 494] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 494] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 494] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 494] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 494] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 494] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 494] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 494] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 494] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 494] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 494] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 494] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 494] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 494] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 494] BPUStage3: flushS3=0 -[DEBUG][time= 494] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 494] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 494] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 494] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 494] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 494] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 494] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 494] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 494] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 494] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 494] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 494] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 494] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 494] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 494] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 494] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 494] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 494] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 494] Dispatch2: regfile 0 from 3 -[DEBUG][time= 494] Dispatch2: regfile 1 from 3 -[DEBUG][time= 494] Dispatch2: regfile 2 from 0 -[DEBUG][time= 494] Dispatch2: regfile 3 from 0 -[DEBUG][time= 494] Dispatch2: regfile 4 from 0 -[DEBUG][time= 494] Dispatch2: regfile 5 from 0 -[DEBUG][time= 494] Dispatch2: regfile 6 from 0 -[DEBUG][time= 494] Dispatch2: regfile 7 from 0 -[DEBUG][time= 494] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 494] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 494] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 494] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 494] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 494] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 494] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 494] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 494] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 494] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 494] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 494] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 494] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 494] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 494] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 494] Roq: CSR block should only happen in s_idle -[DEBUG][time= 494] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 494] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 494] Roq: -------------------------------- -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 494] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 494] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 494] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 494] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 494] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 494] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 494] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 494] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 494] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 494] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 494] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 494] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 494] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 494] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 494] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 494] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 494] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 494] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 494] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 494] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 494] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 494] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 494] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 494] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 494] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 494] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 494] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 494] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 494] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 494] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 494] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 494] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 494] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 494] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 494] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 494] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 494] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 494] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 494] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 494] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 494] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 494] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 494] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 494] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 494] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 494] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 494] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 494] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 494] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 494] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 494] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 494] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 494] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 494] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 494] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 494] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 494] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 494] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 494] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 494] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 494] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 494] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 494] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 494] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 494] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 494] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 494] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 494] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 494] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 494] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 494] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 494] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 494] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 494] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 494] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 494] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 494] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 494] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 494] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 494] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 494] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 494] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 494] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 494] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 494] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 494] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 494] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 494] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 494] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 494] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 494] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 494] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 494] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 494] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 494] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 494] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 494] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 494] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 494] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 494] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 494] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 494] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 494] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 494] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 494] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 494] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 494] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 494] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 494] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 494] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 494] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 494] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 494] Brq: ---------------- -[DEBUG][time= 494] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 494] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 494] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 494] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 494] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 494] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 495] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 495] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 495] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 495] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 495] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 495] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 495] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 495] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 495] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 495] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 495] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 495] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 495] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 495] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 495] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 495] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 495] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 495] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 495] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 495] BPUStage3: flushS3=0 -[DEBUG][time= 495] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 495] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 495] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 495] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 495] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 495] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 495] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 495] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 495] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 495] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 495] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 495] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 495] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 495] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 495] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 495] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 495] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 495] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 495] Dispatch2: regfile 0 from 3 -[DEBUG][time= 495] Dispatch2: regfile 1 from 3 -[DEBUG][time= 495] Dispatch2: regfile 2 from 0 -[DEBUG][time= 495] Dispatch2: regfile 3 from 0 -[DEBUG][time= 495] Dispatch2: regfile 4 from 0 -[DEBUG][time= 495] Dispatch2: regfile 5 from 0 -[DEBUG][time= 495] Dispatch2: regfile 6 from 0 -[DEBUG][time= 495] Dispatch2: regfile 7 from 0 -[DEBUG][time= 495] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 495] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 495] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 495] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 495] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 495] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 495] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 495] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 495] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 495] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 495] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 495] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 495] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 495] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 495] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 495] Roq: CSR block should only happen in s_idle -[DEBUG][time= 495] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 495] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 495] Roq: -------------------------------- -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 495] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 495] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 495] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 495] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 495] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 495] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 495] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 495] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 495] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 495] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 495] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 495] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 495] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 495] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 495] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 495] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 495] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 495] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 495] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 495] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 495] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 495] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 495] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 495] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 495] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 495] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 495] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 495] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 495] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 495] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 495] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 495] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 495] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 495] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 495] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 495] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 495] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 495] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 495] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 495] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 495] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 495] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 495] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 495] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 495] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 495] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 495] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 495] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 495] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 495] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 495] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 495] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 495] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 495] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 495] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 495] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 495] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 495] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 495] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 495] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 495] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 495] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 495] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 495] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 495] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 495] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 495] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 495] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 495] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 495] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 495] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 495] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 495] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 495] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 495] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 495] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 495] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 495] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 495] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 495] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 495] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 495] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 495] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 495] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 495] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 495] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 495] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 495] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 495] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 495] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 495] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 495] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 495] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 495] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 495] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 495] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 495] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 495] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 495] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 495] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 495] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 495] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 495] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 495] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 495] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 495] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 495] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 495] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 495] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 495] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 495] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 495] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 495] Brq: ---------------- -[DEBUG][time= 495] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 495] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 495] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 495] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 495] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 495] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 496] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 496] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 496] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 496] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 496] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 496] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 496] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 496] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 496] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 496] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 496] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 496] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 496] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 496] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 496] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 496] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 496] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 496] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 496] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 496] BPUStage3: flushS3=0 -[DEBUG][time= 496] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 496] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 496] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 496] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 496] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 496] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 496] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 496] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 496] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 496] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 496] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 496] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 496] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 496] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 496] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 496] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 496] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 496] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 496] Dispatch2: regfile 0 from 3 -[DEBUG][time= 496] Dispatch2: regfile 1 from 3 -[DEBUG][time= 496] Dispatch2: regfile 2 from 0 -[DEBUG][time= 496] Dispatch2: regfile 3 from 0 -[DEBUG][time= 496] Dispatch2: regfile 4 from 0 -[DEBUG][time= 496] Dispatch2: regfile 5 from 0 -[DEBUG][time= 496] Dispatch2: regfile 6 from 0 -[DEBUG][time= 496] Dispatch2: regfile 7 from 0 -[DEBUG][time= 496] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 496] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 496] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 496] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 496] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 496] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 496] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 496] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 496] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 496] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 496] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 496] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 496] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 496] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 496] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 496] Roq: CSR block should only happen in s_idle -[DEBUG][time= 496] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 496] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 496] Roq: -------------------------------- -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 496] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 496] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 496] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 496] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 496] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 496] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 496] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 496] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 496] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 496] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 496] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 496] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 496] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 496] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 496] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 496] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 496] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 496] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 496] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 496] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 496] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 496] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 496] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 496] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 496] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 496] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 496] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 496] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 496] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 496] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 496] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 496] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 496] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 496] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 496] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 496] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 496] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 496] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 496] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 496] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 496] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 496] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 496] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 496] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 496] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 496] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 496] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 496] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 496] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 496] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 496] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 496] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 496] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 496] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 496] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 496] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 496] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 496] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 496] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 496] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 496] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 496] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 496] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 496] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 496] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 496] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 496] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 496] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 496] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 496] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 496] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 496] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 496] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 496] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 496] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 496] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 496] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 496] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 496] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 496] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 496] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 496] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 496] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 496] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 496] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 496] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 496] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 496] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 496] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 496] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 496] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 496] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 496] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 496] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 496] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 496] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 496] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 496] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 496] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 496] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 496] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 496] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 496] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 496] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 496] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 496] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 496] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 496] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 496] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 496] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 496] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 496] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 496] Brq: ---------------- -[DEBUG][time= 496] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 496] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 496] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 496] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 496] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 496] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 497] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 497] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 497] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 497] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 497] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 497] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 497] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 497] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 497] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 497] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 497] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 497] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 497] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 497] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 497] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 497] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 497] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 497] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 497] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 497] BPUStage3: flushS3=0 -[DEBUG][time= 497] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 497] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 497] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 497] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 497] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 497] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 497] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 497] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 497] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 497] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 497] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 497] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 497] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 497] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 497] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 497] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 497] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 497] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 497] Dispatch2: regfile 0 from 3 -[DEBUG][time= 497] Dispatch2: regfile 1 from 3 -[DEBUG][time= 497] Dispatch2: regfile 2 from 0 -[DEBUG][time= 497] Dispatch2: regfile 3 from 0 -[DEBUG][time= 497] Dispatch2: regfile 4 from 0 -[DEBUG][time= 497] Dispatch2: regfile 5 from 0 -[DEBUG][time= 497] Dispatch2: regfile 6 from 0 -[DEBUG][time= 497] Dispatch2: regfile 7 from 0 -[DEBUG][time= 497] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 497] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 497] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 497] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 497] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 497] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 497] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 497] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 497] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 497] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 497] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 497] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 497] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 497] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 497] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 497] Roq: CSR block should only happen in s_idle -[DEBUG][time= 497] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 497] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 497] Roq: -------------------------------- -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 497] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 497] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 497] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 497] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 497] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 497] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 497] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 497] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 497] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 497] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 497] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 497] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 497] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 497] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 497] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 497] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 497] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 497] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 497] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 497] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 497] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 497] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 497] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 497] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 497] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 497] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 497] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 497] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 497] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 497] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 497] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 497] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 497] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 497] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 497] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 497] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 497] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 497] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 497] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 497] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 497] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 497] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 497] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 497] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 497] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 497] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 497] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 497] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 497] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 497] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 497] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 497] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 497] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 497] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 497] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 497] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 497] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 497] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 497] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 497] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 497] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 497] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 497] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 497] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 497] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 497] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 497] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 497] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 497] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 497] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 497] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 497] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 497] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 497] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 497] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 497] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 497] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 497] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 497] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 497] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 497] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 497] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 497] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 497] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 497] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 497] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 497] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 497] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 497] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 497] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 497] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 497] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 497] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 497] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 497] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 497] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 497] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 497] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 497] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 497] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 497] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 497] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 497] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 497] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 497] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 497] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 497] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 497] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 497] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 497] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 497] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 497] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 497] Brq: ---------------- -[DEBUG][time= 497] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 497] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 497] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 497] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 497] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 497] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 498] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 498] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 498] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 498] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 498] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 498] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 498] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 498] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 498] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 498] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 498] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 498] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 498] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 498] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 498] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 498] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 498] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 498] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 498] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 498] BPUStage3: flushS3=0 -[DEBUG][time= 498] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 498] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 498] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 498] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 498] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 498] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 498] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 498] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 498] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 498] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 498] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 498] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 498] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 498] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 498] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 498] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 498] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 498] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 498] Dispatch2: regfile 0 from 3 -[DEBUG][time= 498] Dispatch2: regfile 1 from 3 -[DEBUG][time= 498] Dispatch2: regfile 2 from 0 -[DEBUG][time= 498] Dispatch2: regfile 3 from 0 -[DEBUG][time= 498] Dispatch2: regfile 4 from 0 -[DEBUG][time= 498] Dispatch2: regfile 5 from 0 -[DEBUG][time= 498] Dispatch2: regfile 6 from 0 -[DEBUG][time= 498] Dispatch2: regfile 7 from 0 -[DEBUG][time= 498] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 498] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 498] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 498] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 498] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 498] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 498] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 498] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 498] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 498] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 498] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 498] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 498] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 498] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 498] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 498] Roq: CSR block should only happen in s_idle -[DEBUG][time= 498] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 498] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 498] Roq: -------------------------------- -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 498] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 498] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 498] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 498] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 498] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 498] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 498] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 498] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 498] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 498] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 498] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 498] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 498] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 498] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 498] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 498] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 498] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 498] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 498] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 498] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 498] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 498] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 498] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 498] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 498] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 498] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 498] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 498] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 498] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 498] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 498] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 498] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 498] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 498] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 498] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 498] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 498] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 498] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 498] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 498] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 498] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 498] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 498] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 498] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 498] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 498] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 498] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 498] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 498] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 498] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 498] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 498] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 498] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 498] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 498] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 498] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 498] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 498] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 498] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 498] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 498] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 498] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 498] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 498] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 498] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 498] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 498] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 498] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 498] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 498] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 498] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 498] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 498] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 498] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 498] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 498] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 498] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 498] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 498] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 498] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 498] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 498] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 498] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 498] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 498] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 498] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 498] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 498] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 498] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 498] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 498] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 498] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 498] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 498] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 498] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 498] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 498] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 498] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 498] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 498] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 498] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 498] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 498] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 498] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 498] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 498] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 498] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 498] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 498] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 498] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 498] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 498] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 498] Brq: ---------------- -[DEBUG][time= 498] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 498] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 498] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 498] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 498] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 498] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 499] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 499] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 499] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 499] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 499] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 499] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 499] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 499] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 499] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 499] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 499] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 499] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 499] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 499] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 499] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 499] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 499] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 499] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 499] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 499] BPUStage3: flushS3=0 -[DEBUG][time= 499] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 499] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 499] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 499] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 499] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 499] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 499] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 499] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 499] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 499] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 499] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 499] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 499] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 499] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 499] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 499] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 499] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 499] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 499] Dispatch2: regfile 0 from 3 -[DEBUG][time= 499] Dispatch2: regfile 1 from 3 -[DEBUG][time= 499] Dispatch2: regfile 2 from 0 -[DEBUG][time= 499] Dispatch2: regfile 3 from 0 -[DEBUG][time= 499] Dispatch2: regfile 4 from 0 -[DEBUG][time= 499] Dispatch2: regfile 5 from 0 -[DEBUG][time= 499] Dispatch2: regfile 6 from 0 -[DEBUG][time= 499] Dispatch2: regfile 7 from 0 -[DEBUG][time= 499] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 499] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 499] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 499] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 499] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 499] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 499] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 499] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 499] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 499] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 499] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 499] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 499] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 499] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 499] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 499] Roq: CSR block should only happen in s_idle -[DEBUG][time= 499] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 499] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 499] Roq: -------------------------------- -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 499] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 499] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 499] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 499] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 499] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 499] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 499] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 499] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 499] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 499] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 499] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 499] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 499] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 499] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 499] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 499] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 499] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 499] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 499] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 499] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 499] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 499] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 499] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 499] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 499] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 499] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 499] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 499] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 499] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 499] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 499] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 499] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 499] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 499] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 499] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 499] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 499] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 499] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 499] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 499] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 499] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 499] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 499] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 499] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 499] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 499] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 499] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 499] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 499] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 499] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 499] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 499] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 499] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 499] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 499] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 499] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 499] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 499] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 499] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 499] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 499] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 499] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 499] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 499] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 499] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 499] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 499] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 499] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 499] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 499] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 499] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 499] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 499] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 499] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 499] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 499] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 499] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 499] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 499] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 499] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 499] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 499] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 499] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 499] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 499] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 499] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 499] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 499] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 499] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 499] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 499] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 499] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 499] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 499] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 499] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 499] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 499] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 499] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 499] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 499] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 499] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 499] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 499] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 499] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 499] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 499] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 499] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 499] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 499] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 499] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 499] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 499] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 499] Brq: ---------------- -[DEBUG][time= 499] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 499] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 499] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 499] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 499] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 499] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 500] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 500] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 500] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 500] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 500] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 500] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 500] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 500] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 500] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 500] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 500] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 500] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 500] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 500] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 500] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 500] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 500] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 500] BPUStage1: in:(0 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 500] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 500] BPUStage3: flushS3=0 -[DEBUG][time= 500] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 500] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 500] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 500] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 500] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 500] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 500] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 500] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 500] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 500] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 500] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 500] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 500] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 500] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 500] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 500] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 500] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 500] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 500] Dispatch2: regfile 0 from 3 -[DEBUG][time= 500] Dispatch2: regfile 1 from 3 -[DEBUG][time= 500] Dispatch2: regfile 2 from 0 -[DEBUG][time= 500] Dispatch2: regfile 3 from 0 -[DEBUG][time= 500] Dispatch2: regfile 4 from 0 -[DEBUG][time= 500] Dispatch2: regfile 5 from 0 -[DEBUG][time= 500] Dispatch2: regfile 6 from 0 -[DEBUG][time= 500] Dispatch2: regfile 7 from 0 -[DEBUG][time= 500] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 500] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 500] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 500] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 500] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 500] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 500] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 500] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 500] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 500] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 500] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 500] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 500] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 500] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 500] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 500] Roq: CSR block should only happen in s_idle -[DEBUG][time= 500] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 500] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 500] Roq: -------------------------------- -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 500] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 500] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 500] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 500] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 500] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147483680 -[DEBUG][time= 500] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 500] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 500] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 500] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:0 -[DEBUG][time= 500] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 500] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 500] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:0 if1_pc:0x0080000020 || if2_ready:0 -[DEBUG][time= 500] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:0 -[DEBUG][time= 500] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 500] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 500] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:0 -[DEBUG][time= 500] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 500] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 500] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:0 -[DEBUG][time= 500] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 500] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 500] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 500] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 500] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 500] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 500] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 500] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 500] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 500] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 500] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 500] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 500] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 500] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 500] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 500] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 500] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 500] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 500] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 500] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 500] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 500] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 500] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 500] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 500] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 500] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 500] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 500] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 500] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 500] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 500] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 500] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 500] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 500] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 500] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 500] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 500] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 500] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 500] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 500] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 500] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 500] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 500] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 500] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 500] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 500] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 500] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 500] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 500] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 500] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 500] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 500] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 500] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 500] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 500] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 500] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 500] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 500] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 500] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 500] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 500] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 500] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 500] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 500] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 500] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 500] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 500] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 500] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 500] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 500] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 500] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 500] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 500] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 500] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 500] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 500] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 500] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 500] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 500] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 500] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 500] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 500] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 500] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 500] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 500] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 500] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 500] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 500] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 500] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 500] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 500] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 500] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 500] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 500] Brq: ---------------- -[DEBUG][time= 500] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 500] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 500] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 500] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 500] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 500] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 501] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 501] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 501] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 501] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 501] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 501] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 501] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 501] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 501] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 501] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 501] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 501] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 501] BPUStage2: in:(0 0) pc=0080000020 out:(1 0) pc=0080000020 -[DEBUG][time= 501] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 501] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 501] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 501] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 501] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 501] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 501] BPUStage1: outPred:(0) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 501] BPUStage3: flushS3=0 -[DEBUG][time= 501] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 501] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 501] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 501] JBTAC: read: pc=0x0080000040, histXORAddr=0x0080000040, bank=0, row= 4, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 501] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[INFO ][time= 501] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 501] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 501] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 501] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 501] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 501] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 501] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 501] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 501] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 501] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 501] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 501] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 501] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 501] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 501] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 501] Dispatch2: regfile 0 from 3 -[DEBUG][time= 501] Dispatch2: regfile 1 from 3 -[DEBUG][time= 501] Dispatch2: regfile 2 from 0 -[DEBUG][time= 501] Dispatch2: regfile 3 from 0 -[DEBUG][time= 501] Dispatch2: regfile 4 from 0 -[DEBUG][time= 501] Dispatch2: regfile 5 from 0 -[DEBUG][time= 501] Dispatch2: regfile 6 from 0 -[DEBUG][time= 501] Dispatch2: regfile 7 from 0 -[DEBUG][time= 501] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 501] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 501] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 501] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 501] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 501] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 501] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 501] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 501] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 501] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 501] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 501] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 501] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 501] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 501] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 501] Roq: CSR block should only happen in s_idle -[DEBUG][time= 501] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 501] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 501] Roq: -------------------------------- -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 501] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 501] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 501] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 501] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 501] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 501] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 501] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 501] FakeCache: [Stage2_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 501] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 501] FakeCache: [Stage3_data] instr1:0xd18fd040 instr2:0x369f1b11 -[DEBUG][time= 501] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 501] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 501] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 501] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 501] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 501] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000000 if3_npc:0x0080000020 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 501] IFU: [IF4]if4_valid:0 || if4_pc:0x5b8bac50b7 if4_npc:0x28e20a5cbc -[DEBUG][time= 501] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 501] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 501] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 501] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 501] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 501] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 501] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 501] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 501] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 501] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 501] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 501] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 501] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 501] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 501] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 501] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 501] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 501] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 501] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 501] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 501] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 501] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 501] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 501] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 501] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 501] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 501] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 501] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 501] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 501] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 501] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 501] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 501] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 501] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 501] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 501] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 501] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 501] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 501] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 501] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 501] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 501] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 501] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 501] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 501] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 501] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 501] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 501] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 501] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 501] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 501] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 501] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 501] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 501] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 501] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 501] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 501] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 501] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 501] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 501] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 501] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 501] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 501] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 501] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 501] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 501] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 501] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 501] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 501] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 501] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 501] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 501] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 501] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 501] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 501] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 501] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 501] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 501] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 501] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 501] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 501] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 501] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 501] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 501] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 501] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 501] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 501] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 501] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 501] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 501] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 501] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 501] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 501] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 501] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 501] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 501] Brq: ---------------- -[DEBUG][time= 501] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 501] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 501] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 501] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 501] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 501] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 502] Tage: req: pc=0x0080000060, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 502] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 502] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 502] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 502] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 502] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 502] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 502] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 502] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 502] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 502] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 502] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 502] BPUStage2: in:(1 1) pc=0080000040 out:(1 1) pc=0080000020 -[DEBUG][time= 502] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 502] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 502] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 502] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 502] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 502] BPUStage1: in:(1 1) pc=0080000060 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 502] BPUStage1: outPred:(1) pc=0x0080000040, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 502] BPUStage3: [RAS]:pc=0x0080000020, rasWritePosition= 1, rasWriteAddr=0x0080000020 -[DEBUG][time= 502] BPUStage3: in:(1 1) pc=0080000020 -[DEBUG][time= 502] BPUStage3: out:1 pc=0080000000 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000020 -[DEBUG][time= 502] BPUStage3: flushS3=0 -[DEBUG][time= 502] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 502] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 502] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 502] JBTAC: read: pc=0x0080000060, histXORAddr=0x0080000060, bank=0, row= 6, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 502] JBTAC: read_resp: pc=0x0080000040, bank=0, row= 4, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 502] Ibuffer: Enque: -[DEBUG][time= 502] Ibuffer: 00000000000000000000010000010011 -[DEBUG][time= 502] Ibuffer: 00000000000000001001000100010111 -[DEBUG][time= 502] Ibuffer: 11111111110000010000000100010011 -[DEBUG][time= 502] Ibuffer: 00000111010000000000000011101111 -[DEBUG][time= 502] Ibuffer: 00000000000000000001011100010111 -[DEBUG][time= 502] Ibuffer: 11101010000001110000011100010011 -[DEBUG][time= 502] Ibuffer: 00000000000000000000011110010011 -[DEBUG][time= 502] Ibuffer: 00000000010100000000100000010011 -[DEBUG][time= 502] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 502] BTB: read: pc=0x0080000060, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 502] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 502] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=6][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 502] BTB: bankIdxInOrder:[DEBUG][time= 502] BTB: 0 [DEBUG][time= 502] BTB: 1 [DEBUG][time= 502] BTB: 2 [DEBUG][time= 502] BTB: 3 [DEBUG][time= 502] BTB: 4 [DEBUG][time= 502] BTB: 5 [DEBUG][time= 502] BTB: 6 [DEBUG][time= 502] BTB: 7 [DEBUG][time= 502] BTB: 8 [DEBUG][time= 502] BTB: 9 [DEBUG][time= 502] BTB: 10 [DEBUG][time= 502] BTB: 11 [DEBUG][time= 502] BTB: 12 [DEBUG][time= 502] BTB: 13 [DEBUG][time= 502] BTB: 14 [DEBUG][time= 502] BTB: 15 [DEBUG][time= 502] BTB: -[INFO ][time= 502] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 502] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 502] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 502] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 502] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 502] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 502] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 502] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 502] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 502] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 502] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 502] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 502] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 502] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 502] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 502] Dispatch2: regfile 0 from 3 -[DEBUG][time= 502] Dispatch2: regfile 1 from 3 -[DEBUG][time= 502] Dispatch2: regfile 2 from 0 -[DEBUG][time= 502] Dispatch2: regfile 3 from 0 -[DEBUG][time= 502] Dispatch2: regfile 4 from 0 -[DEBUG][time= 502] Dispatch2: regfile 5 from 0 -[DEBUG][time= 502] Dispatch2: regfile 6 from 0 -[DEBUG][time= 502] Dispatch2: regfile 7 from 0 -[DEBUG][time= 502] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 502] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 502] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 502] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 502] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 502] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 502] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 502] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 502] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 502] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 502] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 502] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 502] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 502] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 502] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 502] Roq: CSR block should only happen in s_idle -[DEBUG][time= 502] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 502] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 502] Roq: -------------------------------- -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 502] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 502] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 502] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 502] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 502] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 502] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 502] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 502] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 502] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 502] FakeCache: [Stage3_data] instr1:0x00000413 instr2:0x00009117 -[DEBUG][time= 502] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 502] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000060 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 502] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 502] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 502] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 502] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 502] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000000 if4_npc:0x0080000020 -[DEBUG][time= 502] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000020 -[DEBUG][time= 502] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] starPC:0x0080000000 GroupPC:0x0080000000n -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction 00000413 pnpc:0x0080000004 -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction 00009117 pnpc:0x0080000008 -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction ffc10113 pnpc:0x008000000c -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction 074000ef pnpc:0x0080000010 -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction 00001717 pnpc:0x0080000014 -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction ea070713 pnpc:0x0080000018 -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction 00000793 pnpc:0x008000001c -[DEBUG][time= 502] IFU: [IFU-Out-FetchPacket] instruction 00500813 pnpc:0x0080000020 -[DEBUG][time= 502] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 502] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 502] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 502] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 502] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 502] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 502] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 502] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 502] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 502] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 502] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 502] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 502] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 502] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 502] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 502] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 502] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 502] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 502] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 502] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 502] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 502] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 502] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 502] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 502] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 502] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 502] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 502] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 502] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 502] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 502] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 502] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 502] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 502] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 502] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 502] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 502] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 502] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 502] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 502] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 502] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 502] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 502] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 502] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 502] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 502] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 502] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 502] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 502] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 502] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 502] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 502] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 502] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 502] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 502] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 502] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 502] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 502] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 502] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 502] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 502] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 502] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 502] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 502] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 502] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 502] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 502] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 502] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 502] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 502] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 502] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 502] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 502] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 502] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 502] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 502] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 502] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 502] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 502] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 502] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 502] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 502] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 502] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 502] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 502] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 502] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 502] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 502] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 502] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 502] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 502] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 502] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 502] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 502] Brq: ---------------- -[DEBUG][time= 502] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 502] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 502] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 502] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 502] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 502] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 0 -[DEBUG][time= 503] Tage: req: pc=0x0080000080, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 503] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 503] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 503] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 503] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 503] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 503] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 503] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 503] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 503] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 503] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 503] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 503] BPUStage2: in:(1 1) pc=0080000060 out:(1 1) pc=0080000040 -[DEBUG][time= 503] BPUStage2: validLatch=1 pc=0080000040 -[DEBUG][time= 503] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 503] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 503] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 503] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 503] BPUStage1: in:(1 1) pc=0080000080 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 503] BPUStage1: outPred:(1) pc=0x0080000060, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 503] BPUStage3: [RAS]:pc=0x0080000040, rasWritePosition= 1, rasWriteAddr=0x0080000040 -[DEBUG][time= 503] BPUStage3: in:(1 1) pc=0080000040 -[DEBUG][time= 503] BPUStage3: out:1 pc=0080000020 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000040 -[DEBUG][time= 503] BPUStage3: flushS3=0 -[DEBUG][time= 503] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 503] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 503] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 503] JBTAC: read: pc=0x0080000080, histXORAddr=0x0080000080, bank=0, row= 8, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 503] JBTAC: read_resp: pc=0x0080000060, bank=0, row= 6, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 503] Frontend: inst:00000413 pc:0080000000 -[INFO ][time= 503] Frontend: inst:00009117 pc:0080000004 -[INFO ][time= 503] Frontend: inst:ffc10113 pc:0080000008 -[INFO ][time= 503] Frontend: inst:074000ef pc:008000000c -[INFO ][time= 503] Frontend: inst:00001717 pc:0080000010 -[INFO ][time= 503] Frontend: inst:ea070713 pc:0080000014 -[DEBUG][time= 503] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000000 instr:00000413 -[DEBUG][time= 503] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000004 instr:00009117 -[DEBUG][time= 503] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000008 instr:ffc10113 -[DEBUG][time= 503] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:008000000c instr:074000ef -[DEBUG][time= 503] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 503] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000014 instr:ea070713 -[DEBUG][time= 503] Ibuffer: Enque: -[DEBUG][time= 503] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 503] Ibuffer: 00000000001001111000010100010011 -[DEBUG][time= 503] Ibuffer: 00000000001101111000010110010011 -[DEBUG][time= 503] Ibuffer: 00000000010001111000011000010011 -[DEBUG][time= 503] Ibuffer: 00000000111101110011000000100011 -[DEBUG][time= 503] Ibuffer: 00000000110101110011010000100011 -[DEBUG][time= 503] Ibuffer: 00000000101001110011100000100011 -[DEBUG][time= 503] Ibuffer: 00000000101101110011110000100011 -[DEBUG][time= 503] Ibuffer: Deque: -[DEBUG][time= 503] Ibuffer: 00000000000000000000010000010011 PC=0080000000 v=1 r=1 -[DEBUG][time= 503] Ibuffer: 00000000000000001001000100010111 PC=0080000004 v=1 r=1 -[DEBUG][time= 503] Ibuffer: 11111111110000010000000100010011 PC=0080000008 v=1 r=1 -[DEBUG][time= 503] Ibuffer: 00000111010000000000000011101111 PC=008000000c v=1 r=1 -[DEBUG][time= 503] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=1 -[DEBUG][time= 503] Ibuffer: 11101010000001110000011100010011 PC=0080000014 v=1 r=1 -[DEBUG][time= 503] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 503] BTB: read: pc=0x0080000080, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 503] BTB: read_resp: pc=0x0080000060, readIdx= 48------------------------------- -[DEBUG][time= 503] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=12][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 503] BTB: bankIdxInOrder:[DEBUG][time= 503] BTB: 0 [DEBUG][time= 503] BTB: 1 [DEBUG][time= 503] BTB: 2 [DEBUG][time= 503] BTB: 3 [DEBUG][time= 503] BTB: 4 [DEBUG][time= 503] BTB: 5 [DEBUG][time= 503] BTB: 6 [DEBUG][time= 503] BTB: 7 [DEBUG][time= 503] BTB: 8 [DEBUG][time= 503] BTB: 9 [DEBUG][time= 503] BTB: 10 [DEBUG][time= 503] BTB: 11 [DEBUG][time= 503] BTB: 12 [DEBUG][time= 503] BTB: 13 [DEBUG][time= 503] BTB: 14 [DEBUG][time= 503] BTB: 15 [DEBUG][time= 503] BTB: -[INFO ][time= 503] Rename: pc:057b9e72bf in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 32 old_pdest: 6 out v:0 r:1 -[INFO ][time= 503] Rename: pc:5d2dc1fb76 in v:0 in rdy:1 lsrc1:26 -> psrc1: 26 lsrc2:30 -> psrc2: 30 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:0 r:1 -[INFO ][time= 503] Rename: pc:6c99b9731a in v:0 in rdy:1 lsrc1: 5 -> psrc1: 5 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 503] Rename: pc:58b0425220 in v:0 in rdy:1 lsrc1:30 -> psrc1: 30 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 5 -> pdest: 32 old_pdest: 5 out v:0 r:1 -[INFO ][time= 503] Rename: pc:1e5a18df88 in v:0 in rdy:1 lsrc1:13 -> psrc1: 13 lsrc2: 6 -> psrc2: 6 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 2 out v:0 r:1 -[INFO ][time= 503] Rename: pc:420807bb03 in v:0 in rdy:1 lsrc1:19 -> psrc1: 19 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 32 old_pdest: 12 out v:0 r:1 -[DEBUG][time= 503] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 503] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 503] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 503] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 503] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 503] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 503] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 503] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 503] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 503] Dispatch2: regfile 0 from 3 -[DEBUG][time= 503] Dispatch2: regfile 1 from 3 -[DEBUG][time= 503] Dispatch2: regfile 2 from 0 -[DEBUG][time= 503] Dispatch2: regfile 3 from 0 -[DEBUG][time= 503] Dispatch2: regfile 4 from 0 -[DEBUG][time= 503] Dispatch2: regfile 5 from 0 -[DEBUG][time= 503] Dispatch2: regfile 6 from 0 -[DEBUG][time= 503] Dispatch2: regfile 7 from 0 -[DEBUG][time= 503] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 503] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 503] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 503] DecodeBuffer: in v:1 r:1 pc=0080000000 -[INFO ][time= 503] DecodeBuffer: in v:1 r:1 pc=0080000004 -[INFO ][time= 503] DecodeBuffer: in v:1 r:1 pc=0080000008 -[INFO ][time= 503] DecodeBuffer: in v:1 r:1 pc=008000000c -[INFO ][time= 503] DecodeBuffer: in v:1 r:1 pc=0080000010 -[INFO ][time= 503] DecodeBuffer: in v:1 r:1 pc=0080000014 -[INFO ][time= 503] DecodeBuffer: out v:0 r:1 pc=057b9e72bf -[INFO ][time= 503] DecodeBuffer: out v:0 r:1 pc=5d2dc1fb76 -[INFO ][time= 503] DecodeBuffer: out v:0 r:1 pc=6c99b9731a -[INFO ][time= 503] DecodeBuffer: out v:0 r:1 pc=58b0425220 -[INFO ][time= 503] DecodeBuffer: out v:0 r:1 pc=1e5a18df88 -[INFO ][time= 503] DecodeBuffer: out v:0 r:1 pc=420807bb03 -[ERROR][time= 503] Roq: CSR block should only happen in s_idle -[DEBUG][time= 503] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 503] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 503] Roq: -------------------------------- -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 503] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 503] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 503] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 503] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 503] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483744------> s1 fire!!! -[DEBUG][time= 503] FakeCache: [Stage1_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 503] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 503] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 503] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 503] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 503] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 503] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000080 || if1_pcUpdate:1 if1_pc:0x0080000060 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 503] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000060 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 503] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 503] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 503] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000040 if3_npc:0x0080000060 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 503] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 503] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000040 -[DEBUG][time= 503] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] starPC:0x0080000020 GroupPC:0x0080000020n -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000024 -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00278513 pnpc:0x0080000028 -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00378593 pnpc:0x008000002c -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00478613 pnpc:0x0080000030 -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00f73023 pnpc:0x0080000034 -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00d73423 pnpc:0x0080000038 -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00a73823 pnpc:0x008000003c -[DEBUG][time= 503] IFU: [IFU-Out-FetchPacket] instruction 00b73c23 pnpc:0x0080000040 -[DEBUG][time= 503] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 503] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 503] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 503] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 503] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 503] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 503] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 503] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 503] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 503] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 503] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 503] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 503] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 503] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 503] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 503] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 503] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 503] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 503] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 503] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 503] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 503] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 503] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 503] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 503] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 503] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 503] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 503] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 503] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 503] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 503] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 503] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 503] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 503] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 503] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 503] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 503] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 503] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 503] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 503] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 503] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 503] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 503] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 503] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 503] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 503] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 503] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 503] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 503] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 503] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 503] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 503] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 503] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 503] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 503] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 503] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 503] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 503] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 503] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 503] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 503] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 503] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 503] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 503] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 503] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 503] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 503] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 503] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 503] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 503] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 503] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 503] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 503] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 503] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 503] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 503] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 503] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 503] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 503] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 503] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 503] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 503] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 503] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 503] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 503] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 503] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 503] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 503] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 503] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 503] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList_1: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 503] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 503] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 503] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 0 -[DEBUG][time= 503] Brq: ---------------- -[DEBUG][time= 503] Brq: enq v:0 rdy:1 pc:0080000000 brTag:f:0 v: 0 -[DEBUG][time= 503] Brq: enq v:0 rdy:1 pc:0080000004 brTag:f:0 v: 0 -[DEBUG][time= 503] Brq: enq v:0 rdy:1 pc:0080000008 brTag:f:0 v: 0 -[DEBUG][time= 503] Brq: enq v:1 rdy:1 pc:008000000c brTag:f:0 v: 0 -[DEBUG][time= 503] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 1 -[DEBUG][time= 503] Brq: enq v:0 rdy:1 pc:0080000014 brTag:f:0 v: 1 -[DEBUG][time= 504] Tage: req: pc=0x00800000a0, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 504] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 504] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 504] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 504] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 504] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 504] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 504] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 504] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 504] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 504] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 504] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 504] BusyTable_1: Allocate 32 -[DEBUG][time= 504] BusyTable_1: Allocate 33 -[DEBUG][time= 504] BusyTable_1: Allocate 34 -[DEBUG][time= 504] BusyTable_1: Allocate 35 -[DEBUG][time= 504] BusyTable_1: Allocate 36 -[DEBUG][time= 504] BusyTable_1: Allocate 37 -[DEBUG][time= 504] BPUStage2: in:(1 1) pc=0080000080 out:(1 1) pc=0080000060 -[DEBUG][time= 504] BPUStage2: validLatch=1 pc=0080000060 -[DEBUG][time= 504] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 504] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 504] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 504] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 504] BPUStage1: in:(1 1) pc=00800000a0 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 504] BPUStage1: outPred:(1) pc=0x0080000080, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 504] BPUStage3: [RAS]:pc=0x0080000060, rasWritePosition= 1, rasWriteAddr=0x0080000060 -[DEBUG][time= 504] BPUStage3: in:(1 1) pc=0080000060 -[DEBUG][time= 504] BPUStage3: out:1 pc=0080000040 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000060 -[DEBUG][time= 504] BPUStage3: flushS3=0 -[DEBUG][time= 504] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 504] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=5 -[DEBUG][time= 504] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 504] JBTAC: read: pc=0x00800000a0, histXORAddr=0x00800000a0, bank=0, row= 10, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 504] JBTAC: read_resp: pc=0x0080000080, bank=0, row= 8, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 504] Frontend: inst:00000793 pc:0080000018 -[INFO ][time= 504] Frontend: inst:00500813 pc:008000001c -[INFO ][time= 504] Frontend: inst:00178693 pc:0080000020 -[INFO ][time= 504] Frontend: inst:00278513 pc:0080000024 -[INFO ][time= 504] Frontend: inst:00378593 pc:0080000028 -[INFO ][time= 504] Frontend: inst:00478613 pc:008000002c -[DEBUG][time= 504] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000018 instr:00000793 -[DEBUG][time= 504] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000001c instr:00500813 -[DEBUG][time= 504] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000020 instr:00178693 -[DEBUG][time= 504] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000024 instr:00278513 -[DEBUG][time= 504] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000028 instr:00378593 -[DEBUG][time= 504] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:008000002c instr:00478613 -[DEBUG][time= 504] Ibuffer: Enque: -[DEBUG][time= 504] Ibuffer: 00000010110001110011000000100011 -[DEBUG][time= 504] Ibuffer: 00000000000001101000011110010011 -[DEBUG][time= 504] Ibuffer: 00000010100001110000011100010011 -[DEBUG][time= 504] Ibuffer: 11111101000001101001101011100011 -[DEBUG][time= 504] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 504] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 504] Ibuffer: 00000101010000000000000001101111 -[DEBUG][time= 504] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 504] Ibuffer: Deque: -[DEBUG][time= 504] Ibuffer: 00000000000000000000011110010011 PC=0080000018 v=1 r=1 -[DEBUG][time= 504] Ibuffer: 00000000010100000000100000010011 PC=008000001c v=1 r=1 -[DEBUG][time= 504] Ibuffer: 00000000000101111000011010010011 PC=0080000020 v=1 r=1 -[DEBUG][time= 504] Ibuffer: 00000000001001111000010100010011 PC=0080000024 v=1 r=1 -[DEBUG][time= 504] Ibuffer: 00000000001101111000010110010011 PC=0080000028 v=1 r=1 -[DEBUG][time= 504] Ibuffer: 00000000010001111000011000010011 PC=008000002c v=1 r=1 -[DEBUG][time= 504] Ibuffer: last_head_ptr= 12 last_tail_ptr= 32 -[DEBUG][time= 504] BTB: read: pc=0x00800000a0, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 504] BTB: read_resp: pc=0x0080000080, readIdx= 64------------------------------- -[DEBUG][time= 504] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=4][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=10][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=12][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 504] BTB: bankIdxInOrder:[DEBUG][time= 504] BTB: 0 [DEBUG][time= 504] BTB: 1 [DEBUG][time= 504] BTB: 2 [DEBUG][time= 504] BTB: 3 [DEBUG][time= 504] BTB: 4 [DEBUG][time= 504] BTB: 5 [DEBUG][time= 504] BTB: 6 [DEBUG][time= 504] BTB: 7 [DEBUG][time= 504] BTB: 8 [DEBUG][time= 504] BTB: 9 [DEBUG][time= 504] BTB: 10 [DEBUG][time= 504] BTB: 11 [DEBUG][time= 504] BTB: 12 [DEBUG][time= 504] BTB: 13 [DEBUG][time= 504] BTB: 14 [DEBUG][time= 504] BTB: 15 [DEBUG][time= 504] BTB: -[INFO ][time= 504] Rename: pc:0080000000 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 8 out v:1 r:1 -[INFO ][time= 504] Rename: pc:0080000004 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 33 old_pdest: 2 out v:1 r:1 -[INFO ][time= 504] Rename: pc:0080000008 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 33 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 34 old_pdest: 33 out v:1 r:1 -[INFO ][time= 504] Rename: pc:008000000c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 35 old_pdest: 1 out v:1 r:1 -[INFO ][time= 504] Rename: pc:0080000010 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 36 old_pdest: 14 out v:1 r:1 -[INFO ][time= 504] Rename: pc:0080000014 in v:1 in rdy:1 lsrc1:14 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 37 old_pdest: 36 out v:1 r:1 -[DEBUG][time= 504] Dispatch1: v:0 r:1 pc 0x7602f2d99b of type 1010 is in 0-th slot -[DEBUG][time= 504] Dispatch1: v:0 r:1 pc 0x0f6f78fed0 of type 0111 is in 1-th slot -[DEBUG][time= 504] Dispatch1: v:0 r:1 pc 0x3b3fe4c3be of type 0011 is in 2-th slot -[DEBUG][time= 504] Dispatch1: v:0 r:1 pc 0x0c42c36d4d of type 0011 is in 3-th slot -[DEBUG][time= 504] Dispatch1: v:0 r:1 pc 0x4205102192 of type 1101 is in 4-th slot -[DEBUG][time= 504] Dispatch1: v:0 r:1 pc 0x3a3c140fc7 of type 0111 is in 5-th slot -[DEBUG][time= 504] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 504] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 504] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 504] Dispatch2: regfile 0 from 3 -[DEBUG][time= 504] Dispatch2: regfile 1 from 3 -[DEBUG][time= 504] Dispatch2: regfile 2 from 0 -[DEBUG][time= 504] Dispatch2: regfile 3 from 0 -[DEBUG][time= 504] Dispatch2: regfile 4 from 0 -[DEBUG][time= 504] Dispatch2: regfile 5 from 0 -[DEBUG][time= 504] Dispatch2: regfile 6 from 0 -[DEBUG][time= 504] Dispatch2: regfile 7 from 0 -[DEBUG][time= 504] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 504] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 504] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 504] DecodeBuffer: in v:1 r:1 pc=0080000018 -[INFO ][time= 504] DecodeBuffer: in v:1 r:1 pc=008000001c -[INFO ][time= 504] DecodeBuffer: in v:1 r:1 pc=0080000020 -[INFO ][time= 504] DecodeBuffer: in v:1 r:1 pc=0080000024 -[INFO ][time= 504] DecodeBuffer: in v:1 r:1 pc=0080000028 -[INFO ][time= 504] DecodeBuffer: in v:1 r:1 pc=008000002c -[INFO ][time= 504] DecodeBuffer: out v:1 r:1 pc=0080000000 -[INFO ][time= 504] DecodeBuffer: out v:1 r:1 pc=0080000004 -[INFO ][time= 504] DecodeBuffer: out v:1 r:1 pc=0080000008 -[INFO ][time= 504] DecodeBuffer: out v:1 r:1 pc=008000000c -[INFO ][time= 504] DecodeBuffer: out v:1 r:1 pc=0080000010 -[INFO ][time= 504] DecodeBuffer: out v:1 r:1 pc=0080000014 -[ERROR][time= 504] Roq: CSR block should only happen in s_idle -[DEBUG][time= 504] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 504] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 504] Roq: -------------------------------- -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 504] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 504] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 504] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 504] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 504] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483776------> s1 fire!!! -[DEBUG][time= 504] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 504] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 504] FakeCache: [Stage2_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 504] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 504] FakeCache: [Stage3_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 504] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 504] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000a0 || if1_pcUpdate:1 if1_pc:0x0080000080 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 504] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000080 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 504] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 504] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 504] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000060 if3_npc:0x0080000080 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 504] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000040 if4_npc:0x0080000060 -[DEBUG][time= 504] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 504] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] starPC:0x0080000040 GroupPC:0x0080000040n -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction 02c73023 pnpc:0x0080000044 -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction 00068793 pnpc:0x0080000048 -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction 02870713 pnpc:0x008000004c -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction fd069ae3 pnpc:0x0080000050 -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000054 -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000058 -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction 0540006f pnpc:0x008000005c -[DEBUG][time= 504] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000060 -[DEBUG][time= 504] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 504] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 504] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 504] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 504] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 504] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 504] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 504] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 504] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 504] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 504] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 504] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 504] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 504] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 504] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 504] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 504] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 504] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 504] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 504] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 504] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 504] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 504] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 504] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 504] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 504] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 504] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 504] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 504] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 504] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 504] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 504] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 504] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 504] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 504] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 504] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 504] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 504] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 504] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 504] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 504] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 504] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 504] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 504] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 504] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 504] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 504] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 504] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 504] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 504] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 504] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 504] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 504] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 504] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 504] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 504] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 504] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 504] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 504] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 504] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 504] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 504] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 504] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 504] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 504] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 504] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 504] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 504] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 504] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 504] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 504] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 504] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 504] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 504] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 504] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 504] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 504] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 504] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 504] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 504] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 504] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 504] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 504] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 504] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 504] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 504] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 504] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 504] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 504] FreeList: do checkPt at BrqIdx= 0 headPtr:0: 0 -[DEBUG][time= 504] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 504] FreeList: do checkPt at BrqIdx= 0 headPtr:0: 0 -[DEBUG][time= 504] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 504] FreeList: do checkPt at BrqIdx= 0 headPtr:0: 0 -[DEBUG][time= 504] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 504] FreeList: do checkPt at BrqIdx= 0 headPtr:0: 0 -[DEBUG][time= 504] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 504] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 504] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 504] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 504] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 504] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 504] FreeList_1: do checkPt at BrqIdx= 0 headPtr:0: 1 -[DEBUG][time= 504] FreeList_1: req:1 canAlloc:1 pdest: 32 headNext:0: 1 -[DEBUG][time= 504] FreeList_1: do checkPt at BrqIdx= 0 headPtr:0: 2 -[DEBUG][time= 504] FreeList_1: req:1 canAlloc:1 pdest: 33 headNext:0: 2 -[DEBUG][time= 504] FreeList_1: do checkPt at BrqIdx= 0 headPtr:0: 3 -[DEBUG][time= 504] FreeList_1: req:1 canAlloc:1 pdest: 34 headNext:0: 3 -[DEBUG][time= 504] FreeList_1: do checkPt at BrqIdx= 0 headPtr:0: 4 -[DEBUG][time= 504] FreeList_1: req:1 canAlloc:1 pdest: 35 headNext:0: 4 -[DEBUG][time= 504] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 5 -[DEBUG][time= 504] FreeList_1: req:1 canAlloc:1 pdest: 36 headNext:0: 5 -[DEBUG][time= 504] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 6 -[DEBUG][time= 504] FreeList_1: req:1 canAlloc:1 pdest: 37 headNext:0: 6 -[DEBUG][time= 504] FreeList_1: head:0: 0 tail:1: 0 -[DEBUG][time= 504] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 504] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 1 -[DEBUG][time= 504] Brq: ---------------- -[DEBUG][time= 504] Brq: enq v:0 rdy:1 pc:0080000018 brTag:f:0 v: 1 -[DEBUG][time= 504] Brq: enq v:0 rdy:1 pc:008000001c brTag:f:0 v: 1 -[DEBUG][time= 504] Brq: enq v:0 rdy:1 pc:0080000020 brTag:f:0 v: 1 -[DEBUG][time= 504] Brq: enq v:0 rdy:1 pc:0080000024 brTag:f:0 v: 1 -[DEBUG][time= 504] Brq: enq v:0 rdy:1 pc:0080000028 brTag:f:0 v: 1 -[DEBUG][time= 504] Brq: enq v:0 rdy:1 pc:008000002c brTag:f:0 v: 1 -[DEBUG][time= 505] Tage: req: pc=0x00800000c0, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 505] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 505] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 505] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 505] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 505] RegfileReadPortGen: int 0: want 0011, deqChoice: 2 -[DEBUG][time= 505] RegfileReadPortGen: int 1: want 0001, deqChoice: 3 -[DEBUG][time= 505] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 505] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 505] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 505] RegfileReadPortGen: dynamicExuSrc 1: 0 1000 -[DEBUG][time= 505] RegfileReadPortGen: dynamicExuSrc 2: 1 0111 -[DEBUG][time= 505] BusyTable_1: Allocate 38 -[DEBUG][time= 505] BusyTable_1: Allocate 39 -[DEBUG][time= 505] BusyTable_1: Allocate 40 -[DEBUG][time= 505] BusyTable_1: Allocate 41 -[DEBUG][time= 505] BusyTable_1: Allocate 42 -[DEBUG][time= 505] BusyTable_1: Allocate 43 -[DEBUG][time= 505] BusyTable_1: 32 is busy -[DEBUG][time= 505] BusyTable_1: 33 is busy -[DEBUG][time= 505] BusyTable_1: 34 is busy -[DEBUG][time= 505] BusyTable_1: 35 is busy -[DEBUG][time= 505] BusyTable_1: 36 is busy -[DEBUG][time= 505] BusyTable_1: 37 is busy -[DEBUG][time= 505] BPUStage2: in:(1 1) pc=00800000a0 out:(1 1) pc=0080000080 -[DEBUG][time= 505] BPUStage2: validLatch=1 pc=0080000080 -[DEBUG][time= 505] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 505] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 505] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 505] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 505] BPUStage1: in:(1 1) pc=00800000c0 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 505] BPUStage1: outPred:(1) pc=0x00800000a0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 505] BPUStage3: [RAS]:pc=0x0080000080, rasWritePosition= 1, rasWriteAddr=0x0080000080 -[DEBUG][time= 505] BPUStage3: in:(1 1) pc=0080000080 -[DEBUG][time= 505] BPUStage3: out:1 pc=0080000060 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000080 -[DEBUG][time= 505] BPUStage3: flushS3=0 -[DEBUG][time= 505] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 505] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 505] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 505] JBTAC: read: pc=0x00800000c0, histXORAddr=0x00800000c0, bank=0, row= 12, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 505] JBTAC: read_resp: pc=0x00800000a0, bank=0, row= 10, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 505] Frontend: inst:00f73023 pc:0080000030 -[INFO ][time= 505] Frontend: inst:00d73423 pc:0080000034 -[INFO ][time= 505] Frontend: inst:00a73823 pc:0080000038 -[INFO ][time= 505] Frontend: inst:00b73c23 pc:008000003c -[INFO ][time= 505] Frontend: inst:02c73023 pc:0080000040 -[INFO ][time= 505] Frontend: inst:00068793 pc:0080000044 -[DEBUG][time= 505] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000030 instr:00f73023 -[DEBUG][time= 505] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000034 instr:00d73423 -[DEBUG][time= 505] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000038 instr:00a73823 -[DEBUG][time= 505] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000003c instr:00b73c23 -[DEBUG][time= 505] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000040 instr:02c73023 -[DEBUG][time= 505] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000044 instr:00068793 -[DEBUG][time= 505] Ibuffer: Enque: -[DEBUG][time= 505] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 505] Ibuffer: 00000000000001010000010110010011 -[DEBUG][time= 505] Ibuffer: 00000000000001010000010100010011 -[DEBUG][time= 505] Ibuffer: 00000000000001010000000001101011 -[DEBUG][time= 505] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 505] Ibuffer: 11000101000001010000010100010011 -[DEBUG][time= 505] Ibuffer: 00111101010100000000000011101111 -[DEBUG][time= 505] Ibuffer: 00000000000000000000000001101111 -[DEBUG][time= 505] Ibuffer: Deque: -[DEBUG][time= 505] Ibuffer: 00000000111101110011000000100011 PC=0080000030 v=1 r=1 -[DEBUG][time= 505] Ibuffer: 00000000110101110011010000100011 PC=0080000034 v=1 r=1 -[DEBUG][time= 505] Ibuffer: 00000000101001110011100000100011 PC=0080000038 v=1 r=1 -[DEBUG][time= 505] Ibuffer: 00000000101101110011110000100011 PC=008000003c v=1 r=1 -[DEBUG][time= 505] Ibuffer: 00000010110001110011000000100011 PC=0080000040 v=1 r=1 -[DEBUG][time= 505] Ibuffer: 00000000000001101000011110010011 PC=0080000044 v=1 r=1 -[DEBUG][time= 505] Ibuffer: last_head_ptr= 24 last_tail_ptr= 48 -[DEBUG][time= 505] BTB: read: pc=0x00800000c0, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 505] BTB: read_resp: pc=0x00800000a0, readIdx= 80------------------------------- -[DEBUG][time= 505] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 505] BTB: bankIdxInOrder:[DEBUG][time= 505] BTB: 0 [DEBUG][time= 505] BTB: 1 [DEBUG][time= 505] BTB: 2 [DEBUG][time= 505] BTB: 3 [DEBUG][time= 505] BTB: 4 [DEBUG][time= 505] BTB: 5 [DEBUG][time= 505] BTB: 6 [DEBUG][time= 505] BTB: 7 [DEBUG][time= 505] BTB: 8 [DEBUG][time= 505] BTB: 9 [DEBUG][time= 505] BTB: 10 [DEBUG][time= 505] BTB: 11 [DEBUG][time= 505] BTB: 12 [DEBUG][time= 505] BTB: 13 [DEBUG][time= 505] BTB: 14 [DEBUG][time= 505] BTB: 15 [DEBUG][time= 505] BTB: -[INFO ][time= 505] Rename: pc:0080000018 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 38 old_pdest: 15 out v:1 r:1 -[INFO ][time= 505] Rename: pc:008000001c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:16 -> pdest: 39 old_pdest: 16 out v:1 r:1 -[INFO ][time= 505] Rename: pc:0080000020 in v:1 in rdy:1 lsrc1:15 -> psrc1: 38 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 40 old_pdest: 13 out v:1 r:1 -[INFO ][time= 505] Rename: pc:0080000024 in v:1 in rdy:1 lsrc1:15 -> psrc1: 38 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 41 old_pdest: 10 out v:1 r:1 -[INFO ][time= 505] Rename: pc:0080000028 in v:1 in rdy:1 lsrc1:15 -> psrc1: 38 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 42 old_pdest: 11 out v:1 r:1 -[INFO ][time= 505] Rename: pc:008000002c in v:1 in rdy:1 lsrc1:15 -> psrc1: 38 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 43 old_pdest: 12 out v:1 r:1 -[INFO ][time= 505] Dispatch1: pc 0x0080000000 accepted by queue 1 0 0 -[INFO ][time= 505] Dispatch1: pc 0x0080000004 accepted by queue 1 0 0 -[INFO ][time= 505] Dispatch1: pc 0x0080000008 accepted by queue 1 0 0 -[INFO ][time= 505] Dispatch1: pc 0x008000000c accepted by queue 1 0 0 -[INFO ][time= 505] Dispatch1: pc 0x0080000010 accepted by queue 1 0 0 -[INFO ][time= 505] Dispatch1: pc 0x0080000014 accepted by queue 1 0 0 -[DEBUG][time= 505] Dispatch1: pc 0x0080000000 receives nroq 0 -[DEBUG][time= 505] Dispatch1: v:1 r:1 pc 0x0080000000 of type 0011 is in 0-th slot -[DEBUG][time= 505] Dispatch1: pc 0x0080000004 receives nroq 1 -[DEBUG][time= 505] Dispatch1: v:1 r:1 pc 0x0080000004 of type 0011 is in 1-th slot -[DEBUG][time= 505] Dispatch1: pc 0x0080000008 receives nroq 2 -[DEBUG][time= 505] Dispatch1: v:1 r:1 pc 0x0080000008 of type 0011 is in 2-th slot -[DEBUG][time= 505] Dispatch1: pc 0x008000000c receives nroq 3 -[DEBUG][time= 505] Dispatch1: v:1 r:1 pc 0x008000000c of type 0000 is in 3-th slot -[DEBUG][time= 505] Dispatch1: pc 0x0080000010 receives nroq 4 -[DEBUG][time= 505] Dispatch1: v:1 r:1 pc 0x0080000010 of type 0011 is in 4-th slot -[DEBUG][time= 505] Dispatch1: pc 0x0080000014 receives nroq 5 -[DEBUG][time= 505] Dispatch1: v:1 r:1 pc 0x0080000014 of type 0011 is in 5-th slot -[DEBUG][time= 505] Dispatch2: dispatch to iq index 5: 3 -[DEBUG][time= 505] Dispatch2: dispatch to iq index 6: 0 -[DEBUG][time= 505] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 505] Dispatch2: regfile 0 from 3 -[DEBUG][time= 505] Dispatch2: regfile 1 from 3 -[DEBUG][time= 505] Dispatch2: regfile 2 from 0 -[DEBUG][time= 505] Dispatch2: regfile 3 from 0 -[DEBUG][time= 505] Dispatch2: regfile 4 from 0 -[DEBUG][time= 505] Dispatch2: regfile 5 from 0 -[DEBUG][time= 505] Dispatch2: regfile 6 from 0 -[DEBUG][time= 505] Dispatch2: regfile 7 from 0 -[DEBUG][time= 505] Dispatch2: int regfile 0: addr 67, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 1: addr 1, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 2: addr 72, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 3: addr 9, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 4: addr 72, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 5: addr 9, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 6: addr 72, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 7: addr 9, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 505] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 505] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 505] DecodeBuffer: in v:1 r:1 pc=0080000030 -[INFO ][time= 505] DecodeBuffer: in v:1 r:1 pc=0080000034 -[INFO ][time= 505] DecodeBuffer: in v:1 r:1 pc=0080000038 -[INFO ][time= 505] DecodeBuffer: in v:1 r:1 pc=008000003c -[INFO ][time= 505] DecodeBuffer: in v:1 r:1 pc=0080000040 -[INFO ][time= 505] DecodeBuffer: in v:1 r:1 pc=0080000044 -[INFO ][time= 505] DecodeBuffer: out v:1 r:1 pc=0080000018 -[INFO ][time= 505] DecodeBuffer: out v:1 r:1 pc=008000001c -[INFO ][time= 505] DecodeBuffer: out v:1 r:1 pc=0080000020 -[INFO ][time= 505] DecodeBuffer: out v:1 r:1 pc=0080000024 -[INFO ][time= 505] DecodeBuffer: out v:1 r:1 pc=0080000028 -[INFO ][time= 505] DecodeBuffer: out v:1 r:1 pc=008000002c -[ERROR][time= 505] Roq: CSR block should only happen in s_idle -[DEBUG][time= 505] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 505] Roq: dispatched 6 insts -[DEBUG][time= 505] Roq: head 0: 0 tail 0: 0 -[DEBUG][time= 505] Roq: -------------------------------- -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 505] DispatchQueue: IntDpQ: num_enq = 6, tail = ( 0 -> 6) -[DEBUG][time= 505] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 505] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 505] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 505] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 505] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483808------> s1 fire!!! -[DEBUG][time= 505] FakeCache: [Stage1_data] instr1:0x00300713 instr2:0x00e78623 -[DEBUG][time= 505] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 505] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 505] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 505] FakeCache: [Stage3_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 505] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 505] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000c0 || if1_pcUpdate:1 if1_pc:0x00800000a0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 505] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000a0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 505] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 505] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 505] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000080 if3_npc:0x00800000a0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 505] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000060 if4_npc:0x0080000080 -[DEBUG][time= 505] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000080 -[DEBUG][time= 505] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] starPC:0x0080000060 GroupPC:0x0080000060n -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000064 -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction 00050593 pnpc:0x0080000068 -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction 00050513 pnpc:0x008000006c -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction 0005006b pnpc:0x0080000070 -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000074 -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction c5050513 pnpc:0x0080000078 -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction 3d5000ef pnpc:0x008000007c -[DEBUG][time= 505] IFU: [IFU-Out-FetchPacket] instruction 0000006f pnpc:0x0080000080 -[DEBUG][time= 505] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 505] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 505] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 505] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 505] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 505] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 505] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 505] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 505] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 505] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 505] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 505] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 505] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 505] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 505] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 505] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 505] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 505] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 505] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 505] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 505] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 505] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 505] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 505] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 505] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 505] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 505] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 505] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 505] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 505] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 505] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 505] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 505] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 505] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 505] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 505] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 505] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 505] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 505] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 505] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 505] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 505] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 505] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 505] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 505] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 505] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 505] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 505] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 505] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 505] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 505] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 505] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 505] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 505] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 505] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 505] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 505] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 505] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 505] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 505] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 505] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 505] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 505] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 505] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 505] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 505] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 505] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 505] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 505] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 505] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 505] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 505] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 505] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 505] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 505] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 505] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 505] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 505] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 505] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 505] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 505] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 505] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 505] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 505] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 505] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 505] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 505] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 505] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 505] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 505] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 505] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 505] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 505] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 505] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 505] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 505] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 505] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 505] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 505] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 505] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 505] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 505] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 7 -[DEBUG][time= 505] FreeList_1: req:1 canAlloc:1 pdest: 38 headNext:0: 7 -[DEBUG][time= 505] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 8 -[DEBUG][time= 505] FreeList_1: req:1 canAlloc:1 pdest: 39 headNext:0: 8 -[DEBUG][time= 505] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 9 -[DEBUG][time= 505] FreeList_1: req:1 canAlloc:1 pdest: 40 headNext:0: 9 -[DEBUG][time= 505] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 10 -[DEBUG][time= 505] FreeList_1: req:1 canAlloc:1 pdest: 41 headNext:0: 10 -[DEBUG][time= 505] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 11 -[DEBUG][time= 505] FreeList_1: req:1 canAlloc:1 pdest: 42 headNext:0: 11 -[DEBUG][time= 505] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 12 -[DEBUG][time= 505] FreeList_1: req:1 canAlloc:1 pdest: 43 headNext:0: 12 -[DEBUG][time= 505] FreeList_1: head:0: 6 tail:1: 0 -[DEBUG][time= 505] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 505] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 1 -[DEBUG][time= 505] Brq: ---------------- -[DEBUG][time= 505] Brq: enq v:0 rdy:1 pc:0080000030 brTag:f:0 v: 1 -[DEBUG][time= 505] Brq: enq v:0 rdy:1 pc:0080000034 brTag:f:0 v: 1 -[DEBUG][time= 505] Brq: enq v:0 rdy:1 pc:0080000038 brTag:f:0 v: 1 -[DEBUG][time= 505] Brq: enq v:0 rdy:1 pc:008000003c brTag:f:0 v: 1 -[DEBUG][time= 505] Brq: enq v:0 rdy:1 pc:0080000040 brTag:f:0 v: 1 -[DEBUG][time= 505] Brq: enq v:0 rdy:1 pc:0080000044 brTag:f:0 v: 1 -[DEBUG][time= 506] Tage: req: pc=0x00800000e0, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 506] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 506] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 506] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 506] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 506] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 506] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 506] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 506] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 506] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 506] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 506] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 506] BusyTable_1: Allocate 44 -[DEBUG][time= 506] BusyTable_1: 32 is busy -[DEBUG][time= 506] BusyTable_1: 33 is busy -[DEBUG][time= 506] BusyTable_1: 34 is busy -[DEBUG][time= 506] BusyTable_1: 35 is busy -[DEBUG][time= 506] BusyTable_1: 36 is busy -[DEBUG][time= 506] BusyTable_1: 37 is busy -[DEBUG][time= 506] BusyTable_1: 38 is busy -[DEBUG][time= 506] BusyTable_1: 39 is busy -[DEBUG][time= 506] BusyTable_1: 40 is busy -[DEBUG][time= 506] BusyTable_1: 41 is busy -[DEBUG][time= 506] BusyTable_1: 42 is busy -[DEBUG][time= 506] BusyTable_1: 43 is busy -[DEBUG][time= 506] BPUStage2: in:(1 1) pc=00800000c0 out:(1 1) pc=00800000a0 -[DEBUG][time= 506] BPUStage2: validLatch=1 pc=00800000a0 -[DEBUG][time= 506] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 506] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 506] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 506] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 506] BPUStage1: in:(1 1) pc=00800000e0 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 506] BPUStage1: outPred:(1) pc=0x00800000c0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 506] BPUStage3: [RAS]:pc=0x00800000a0, rasWritePosition= 1, rasWriteAddr=0x00800000a0 -[DEBUG][time= 506] BPUStage3: in:(1 1) pc=00800000a0 -[DEBUG][time= 506] BPUStage3: out:1 pc=0080000080 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000a0 -[DEBUG][time= 506] BPUStage3: flushS3=0 -[DEBUG][time= 506] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 506] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 506] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 506] JBTAC: read: pc=0x00800000e0, histXORAddr=0x00800000e0, bank=0, row= 14, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 506] JBTAC: read_resp: pc=0x00800000c0, bank=0, row= 12, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 506] Frontend: inst:02870713 pc:0080000048 -[INFO ][time= 506] Frontend: inst:fd069ae3 pc:008000004c -[INFO ][time= 506] Frontend: inst:00000513 pc:0080000050 -[INFO ][time= 506] Frontend: inst:00008067 pc:0080000054 -[INFO ][time= 506] Frontend: inst:0540006f pc:0080000058 -[INFO ][time= 506] Frontend: inst:ff010113 pc:008000005c -[DEBUG][time= 506] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000048 instr:02870713 -[DEBUG][time= 506] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:008000004c instr:fd069ae3 -[DEBUG][time= 506] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 506] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000054 instr:00008067 -[DEBUG][time= 506] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000058 instr:0540006f -[DEBUG][time= 506] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:008000005c instr:ff010113 -[DEBUG][time= 506] Ibuffer: Enque: -[DEBUG][time= 506] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 506] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 506] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 506] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 506] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 506] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 506] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 506] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 506] Ibuffer: Deque: -[DEBUG][time= 506] Ibuffer: 00000010100001110000011100010011 PC=0080000048 v=1 r=1 -[DEBUG][time= 506] Ibuffer: 11111101000001101001101011100011 PC=008000004c v=1 r=1 -[DEBUG][time= 506] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=1 -[DEBUG][time= 506] Ibuffer: 00000000000000001000000001100111 PC=0080000054 v=1 r=1 -[DEBUG][time= 506] Ibuffer: 00000101010000000000000001101111 PC=0080000058 v=1 r=1 -[DEBUG][time= 506] Ibuffer: 11111111000000010000000100010011 PC=008000005c v=1 r=1 -[DEBUG][time= 506] Ibuffer: last_head_ptr= 36 last_tail_ptr= 64 -[DEBUG][time= 506] BTB: read: pc=0x00800000e0, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 506] BTB: read_resp: pc=0x00800000c0, readIdx= 96------------------------------- -[DEBUG][time= 506] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 506] BTB: bankIdxInOrder:[DEBUG][time= 506] BTB: 0 [DEBUG][time= 506] BTB: 1 [DEBUG][time= 506] BTB: 2 [DEBUG][time= 506] BTB: 3 [DEBUG][time= 506] BTB: 4 [DEBUG][time= 506] BTB: 5 [DEBUG][time= 506] BTB: 6 [DEBUG][time= 506] BTB: 7 [DEBUG][time= 506] BTB: 8 [DEBUG][time= 506] BTB: 9 [DEBUG][time= 506] BTB: 10 [DEBUG][time= 506] BTB: 11 [DEBUG][time= 506] BTB: 12 [DEBUG][time= 506] BTB: 13 [DEBUG][time= 506] BTB: 14 [DEBUG][time= 506] BTB: 15 [DEBUG][time= 506] BTB: -[INFO ][time= 506] Rename: pc:0080000030 in v:1 in rdy:1 lsrc1:14 -> psrc1: 37 lsrc2:15 -> psrc2: 38 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 506] Rename: pc:0080000034 in v:1 in rdy:1 lsrc1:14 -> psrc1: 37 lsrc2:13 -> psrc2: 40 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 506] Rename: pc:0080000038 in v:1 in rdy:1 lsrc1:14 -> psrc1: 37 lsrc2:10 -> psrc2: 41 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 506] Rename: pc:008000003c in v:1 in rdy:1 lsrc1:14 -> psrc1: 37 lsrc2:11 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 506] Rename: pc:0080000040 in v:1 in rdy:1 lsrc1:14 -> psrc1: 37 lsrc2:12 -> psrc2: 43 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 506] Rename: pc:0080000044 in v:1 in rdy:1 lsrc1:13 -> psrc1: 40 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 44 old_pdest: 38 out v:1 r:1 -[INFO ][time= 506] Dispatch1: pc 0x0080000018 accepted by queue 1 0 0 -[INFO ][time= 506] Dispatch1: pc 0x008000001c accepted by queue 1 0 0 -[INFO ][time= 506] Dispatch1: pc 0x0080000020 accepted by queue 1 0 0 -[INFO ][time= 506] Dispatch1: pc 0x0080000024 accepted by queue 1 0 0 -[INFO ][time= 506] Dispatch1: pc 0x0080000028 accepted by queue 1 0 0 -[INFO ][time= 506] Dispatch1: pc 0x008000002c accepted by queue 1 0 0 -[DEBUG][time= 506] Dispatch1: pc 0x0080000018 receives nroq 6 -[DEBUG][time= 506] Dispatch1: v:1 r:1 pc 0x0080000018 of type 0011 is in 0-th slot -[DEBUG][time= 506] Dispatch1: pc 0x008000001c receives nroq 7 -[DEBUG][time= 506] Dispatch1: v:1 r:1 pc 0x008000001c of type 0011 is in 1-th slot -[DEBUG][time= 506] Dispatch1: pc 0x0080000020 receives nroq 8 -[DEBUG][time= 506] Dispatch1: v:1 r:1 pc 0x0080000020 of type 0011 is in 2-th slot -[DEBUG][time= 506] Dispatch1: pc 0x0080000024 receives nroq 9 -[DEBUG][time= 506] Dispatch1: v:1 r:1 pc 0x0080000024 of type 0011 is in 3-th slot -[DEBUG][time= 506] Dispatch1: pc 0x0080000028 receives nroq 10 -[DEBUG][time= 506] Dispatch1: v:1 r:1 pc 0x0080000028 of type 0011 is in 4-th slot -[DEBUG][time= 506] Dispatch1: pc 0x008000002c receives nroq 11 -[DEBUG][time= 506] Dispatch1: v:1 r:1 pc 0x008000002c of type 0011 is in 5-th slot -[DEBUG][time= 506] Dispatch2: int dp queue 0: 0080000000 type 0011 -[DEBUG][time= 506] Dispatch2: int dp queue 1: 0080000004 type 0011 -[DEBUG][time= 506] Dispatch2: int dp queue 2: 0080000008 type 0011 -[DEBUG][time= 506] Dispatch2: int dp queue 3: 008000000c type 0000 -[DEBUG][time= 506] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 506] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 506] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 506] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 506] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 506] Dispatch2: regfile 0 from 0 -[DEBUG][time= 506] Dispatch2: regfile 1 from 0 -[DEBUG][time= 506] Dispatch2: regfile 2 from 1 -[DEBUG][time= 506] Dispatch2: regfile 3 from 1 -[DEBUG][time= 506] Dispatch2: regfile 4 from 2 -[DEBUG][time= 506] Dispatch2: regfile 5 from 2 -[DEBUG][time= 506] Dispatch2: regfile 6 from 3 -[DEBUG][time= 506] Dispatch2: regfile 7 from 3 -[DEBUG][time= 506] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 4: addr 33, state 0 -[DEBUG][time= 506] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 506] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 506] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 506] Dispatch2: pc 0x008000000c with type 0000 srcState(1 1 0) enters reservation station 0 from 3 -[INFO ][time= 506] Dispatch2: pc 0x0080000000 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 506] Dispatch2: pc 0x0080000004 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 506] Dispatch2: pc 0x0080000008 with type 0011 srcState(0 1 0) enters reservation station 3 from 2 -[INFO ][time= 506] Dispatch2: pc 0x0080000000 leaves Int dispatch queue with nroq 0 -[INFO ][time= 506] Dispatch2: pc 0x0080000004 leaves Int dispatch queue with nroq 1 -[INFO ][time= 506] Dispatch2: pc 0x0080000008 leaves Int dispatch queue with nroq 2 -[INFO ][time= 506] Dispatch2: pc 0x008000000c leaves Int dispatch queue with nroq 3 -[INFO ][time= 506] DecodeBuffer: in v:1 r:1 pc=0080000048 -[INFO ][time= 506] DecodeBuffer: in v:1 r:1 pc=008000004c -[INFO ][time= 506] DecodeBuffer: in v:1 r:1 pc=0080000050 -[INFO ][time= 506] DecodeBuffer: in v:1 r:1 pc=0080000054 -[INFO ][time= 506] DecodeBuffer: in v:1 r:1 pc=0080000058 -[INFO ][time= 506] DecodeBuffer: in v:1 r:1 pc=008000005c -[INFO ][time= 506] DecodeBuffer: out v:1 r:1 pc=0080000030 -[INFO ][time= 506] DecodeBuffer: out v:1 r:1 pc=0080000034 -[INFO ][time= 506] DecodeBuffer: out v:1 r:1 pc=0080000038 -[INFO ][time= 506] DecodeBuffer: out v:1 r:1 pc=008000003c -[INFO ][time= 506] DecodeBuffer: out v:1 r:1 pc=0080000040 -[INFO ][time= 506] DecodeBuffer: out v:1 r:1 pc=0080000044 -[ERROR][time= 506] Roq: CSR block should only happen in s_idle -[DEBUG][time= 506] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 506] Roq: dispatched 6 insts -[DEBUG][time= 506] Roq: head 0: 6 tail 0: 0 -[DEBUG][time= 506] Roq: vvvvvv-------------------------- -[DEBUG][time= 506] Roq: 0080000000 v 0080000004 v 0080000008 v 008000000c v -[DEBUG][time= 506] Roq: 0080000010 v 0080000014 v 544735df77 - 544735df77 - -[DEBUG][time= 506] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 506] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 506] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 506] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 506] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 506] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 506] DispatchQueue: IntDpQ: num_deq = 4, head = ( 0 -> 4) -[DEBUG][time= 506] DispatchQueue: IntDpQ: num_enq = 6, tail = ( 6 -> 12) -[DEBUG][time= 506] DispatchQueue: IntDpQ: valid_entries = 6, head = (0, 0), tail = (0, 6), -[DEBUG][time= 506] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 506] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 506] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 506] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 506] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483840------> s1 fire!!! -[DEBUG][time= 506] FakeCache: [Stage1_data] instr1:0x02f50463 instr2:0x40600737 -[DEBUG][time= 506] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 506] FakeCache: [Stage2_data] instr1:0x00300713 instr2:0x00e78623 -[DEBUG][time= 506] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 506] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 506] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 506] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000e0 || if1_pcUpdate:1 if1_pc:0x00800000c0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 506] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000c0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 506] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 506] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 506] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000a0 if3_npc:0x00800000c0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 506] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000080 if4_npc:0x00800000a0 -[DEBUG][time= 506] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000a0 -[DEBUG][time= 506] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] starPC:0x0080000080 GroupPC:0x0080000080n -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000008c -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 506] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[INFO ][time= 506] IssueQueue: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 35 oldDest: 1 pc:008000000c roqIdx:03 -[DEBUG][time= 506] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 506] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 506] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 506] IssueQueue: 0 |0|0| 50|1|fffffffdf7b67fff| 41|0|fffffffdffb6ffff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 506] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 506] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 506] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 506] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 506] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 506] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 506] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 506] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 32 oldDest: 8 pc:0080000000 roqIdx:00 -[DEBUG][time= 506] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 506] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 506] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 506] IssueQueue_1: 0 |0|0| 107|0|5dd483cc0d1a83ec| 111|0|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 506] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 506] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 506] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 506] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 506] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 506] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 506] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 506] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 33 oldDest: 2 pc:0080000004 roqIdx:01 -[DEBUG][time= 506] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 506] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 506] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 506] IssueQueue_2: 0 |0|0| 70|1|0242fd620d0e4164| 110|0|fffffff970921ffa| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 506] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 506] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 506] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 506] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 506] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 506] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 506] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 506] IssueQueue_3: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 33:0 0:1 0:0) Dest: 34 oldDest: 33 pc:0080000008 roqIdx:02 -[DEBUG][time= 506] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 506] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 506] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 506] IssueQueue_3: 0 |0|0| 71|0|0000002473b437ff| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 506] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e -[DEBUG][time= 506] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 506] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 506] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 506] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 506] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 506] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 506] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 506] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 506] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 506] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 506] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 506] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 506] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 506] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 506] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 506] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 506] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 506] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 506] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 506] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 506] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 506] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 506] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 506] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 506] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 506] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 506] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 506] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 506] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 506] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 506] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 506] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 506] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 506] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 506] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 506] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 506] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 506] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 506] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 506] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 506] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 506] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 506] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 506] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 506] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 506] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 506] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 506] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 506] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 506] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 506] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 506] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 506] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 506] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 506] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 506] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 506] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 506] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 506] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 506] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 506] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 506] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 506] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 506] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 12 -[DEBUG][time= 506] FreeList_1: req:0 canAlloc:1 pdest: 44 headNext:0: 12 -[DEBUG][time= 506] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 12 -[DEBUG][time= 506] FreeList_1: req:0 canAlloc:1 pdest: 44 headNext:0: 12 -[DEBUG][time= 506] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 12 -[DEBUG][time= 506] FreeList_1: req:0 canAlloc:1 pdest: 44 headNext:0: 12 -[DEBUG][time= 506] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 12 -[DEBUG][time= 506] FreeList_1: req:0 canAlloc:1 pdest: 44 headNext:0: 12 -[DEBUG][time= 506] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 12 -[DEBUG][time= 506] FreeList_1: req:0 canAlloc:1 pdest: 44 headNext:0: 12 -[DEBUG][time= 506] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 13 -[DEBUG][time= 506] FreeList_1: req:1 canAlloc:1 pdest: 44 headNext:0: 13 -[DEBUG][time= 506] FreeList_1: head:0: 12 tail:1: 0 -[DEBUG][time= 506] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 506] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 1 -[DEBUG][time= 506] Brq: ---------------- -[DEBUG][time= 506] Brq: enq v:0 rdy:1 pc:0080000048 brTag:f:0 v: 1 -[DEBUG][time= 506] Brq: enq v:1 rdy:1 pc:008000004c brTag:f:0 v: 1 -[DEBUG][time= 506] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 2 -[DEBUG][time= 506] Brq: enq v:1 rdy:1 pc:0080000054 brTag:f:0 v: 2 -[DEBUG][time= 506] Brq: enq v:1 rdy:1 pc:0080000058 brTag:f:0 v: 3 -[DEBUG][time= 506] Brq: enq v:0 rdy:1 pc:008000005c brTag:f:0 v: 4 -[DEBUG][time= 507] Tage: req: pc=0x0080000100, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 507] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 507] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 507] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 507] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 507] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 507] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 507] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 507] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 507] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 507] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 507] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 507] BusyTable_1: Allocate 45 -[DEBUG][time= 507] BusyTable_1: Allocate 46 -[DEBUG][time= 507] BusyTable_1: Allocate 47 -[DEBUG][time= 507] BusyTable_1: writeback 35 -[DEBUG][time= 507] BusyTable_1: writeback 32 -[DEBUG][time= 507] BusyTable_1: writeback 33 -[DEBUG][time= 507] BusyTable_1: 32 is busy -[DEBUG][time= 507] BusyTable_1: 33 is busy -[DEBUG][time= 507] BusyTable_1: 34 is busy -[DEBUG][time= 507] BusyTable_1: 35 is busy -[DEBUG][time= 507] BusyTable_1: 36 is busy -[DEBUG][time= 507] BusyTable_1: 37 is busy -[DEBUG][time= 507] BusyTable_1: 38 is busy -[DEBUG][time= 507] BusyTable_1: 39 is busy -[DEBUG][time= 507] BusyTable_1: 40 is busy -[DEBUG][time= 507] BusyTable_1: 41 is busy -[DEBUG][time= 507] BusyTable_1: 42 is busy -[DEBUG][time= 507] BusyTable_1: 43 is busy -[DEBUG][time= 507] BusyTable_1: 44 is busy -[DEBUG][time= 507] BPUStage2: in:(1 1) pc=00800000e0 out:(1 1) pc=00800000c0 -[DEBUG][time= 507] BPUStage2: validLatch=1 pc=00800000c0 -[DEBUG][time= 507] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 507] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 507] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 507] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 507] WriteBackArbMtoN: out(0) pc(0x008000000c) writebacks 0x0000000080000010 to pdest( 35) ldest( 1) -[INFO ][time= 507] WriteBackArbMtoN: out(1) pc(0x0080000000) writebacks 0x0000000000000000 to pdest( 32) ldest( 8) -[INFO ][time= 507] WriteBackArbMtoN: out(2) pc(0x0080000004) writebacks 0x0000000080009004 to pdest( 33) ldest( 2) -[DEBUG][time= 507] BPUStage1: in:(1 1) pc=0080000100 ghr=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 507] BPUStage1: outPred:(1) pc=0x00800000e0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 507] BPUStage3: [RAS]:pc=0x00800000c0, rasWritePosition= 1, rasWriteAddr=0x00800000c0 -[DEBUG][time= 507] BPUStage3: in:(1 1) pc=00800000c0 -[DEBUG][time= 507] BPUStage3: out:1 pc=00800000a0 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000c0 -[DEBUG][time= 507] BPUStage3: flushS3=0 -[DEBUG][time= 507] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 507] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 507] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 507] JBTAC: read: pc=0x0080000100, histXORAddr=0x0080000100, bank=0, row= 16, hist=0000000000000000000000000000000000000000000000000000000000000000 -[DEBUG][time= 507] JBTAC: read_resp: pc=0x00800000e0, bank=0, row= 14, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 507] Frontend: inst:00113423 pc:0080000060 -[INFO ][time= 507] Frontend: inst:00050593 pc:0080000064 -[INFO ][time= 507] Frontend: inst:00050513 pc:0080000068 -[INFO ][time= 507] Frontend: inst:0005006b pc:008000006c -[INFO ][time= 507] Frontend: inst:00001517 pc:0080000070 -[INFO ][time= 507] Frontend: inst:c5050513 pc:0080000074 -[DEBUG][time= 507] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 507] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000064 instr:00050593 -[DEBUG][time= 507] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000068 instr:00050513 -[DEBUG][time= 507] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000006c instr:0005006b -[DEBUG][time= 507] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 507] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 507] Ibuffer: Enque: -[DEBUG][time= 507] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 507] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 507] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 507] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 507] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 507] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 507] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 507] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 507] Ibuffer: Deque: -[DEBUG][time= 507] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=1 -[DEBUG][time= 507] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=1 r=1 -[DEBUG][time= 507] Ibuffer: 00000000000001010000010100010011 PC=0080000068 v=1 r=1 -[DEBUG][time= 507] Ibuffer: 00000000000001010000000001101011 PC=008000006c v=1 r=1 -[DEBUG][time= 507] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=1 -[DEBUG][time= 507] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=1 -[DEBUG][time= 507] Ibuffer: last_head_ptr= 48 last_tail_ptr= 80 -[DEBUG][time= 507] BTB: read: pc=0x0080000100, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 507] BTB: read_resp: pc=0x00800000e0, readIdx=112------------------------------- -[DEBUG][time= 507] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 507] BTB: bankIdxInOrder:[DEBUG][time= 507] BTB: 0 [DEBUG][time= 507] BTB: 1 [DEBUG][time= 507] BTB: 2 [DEBUG][time= 507] BTB: 3 [DEBUG][time= 507] BTB: 4 [DEBUG][time= 507] BTB: 5 [DEBUG][time= 507] BTB: 6 [DEBUG][time= 507] BTB: 7 [DEBUG][time= 507] BTB: 8 [DEBUG][time= 507] BTB: 9 [DEBUG][time= 507] BTB: 10 [DEBUG][time= 507] BTB: 11 [DEBUG][time= 507] BTB: 12 [DEBUG][time= 507] BTB: 13 [DEBUG][time= 507] BTB: 14 [DEBUG][time= 507] BTB: 15 [DEBUG][time= 507] BTB: -[DEBUG][time= 507] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 8 -[DEBUG][time= 507] AluExeUnit: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000000 -[DEBUG][time= 507] AluExeUnit: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[DEBUG][time= 507] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 8 -[DEBUG][time= 507] AluExeUnit_1: src1:0000000080000004 src2:0000000000009000 offset:0000000000009000 func:0000000 pc:0000000080000004 -[DEBUG][time= 507] AluExeUnit_1: res:0000000080009004 aluRes:00000000080009004 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080009004 taken:0 -[DEBUG][time= 507] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:8 -[DEBUG][time= 507] Jump: src1:000000008000000c offset:0000000000000074 func:0011011 type:JUMP pc:000000008000000c res:0000000080000010 -[INFO ][time= 507] Rename: pc:0080000048 in v:1 in rdy:1 lsrc1:14 -> psrc1: 37 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 45 old_pdest: 37 out v:1 r:1 -[INFO ][time= 507] Rename: pc:008000004c in v:1 in rdy:1 lsrc1:13 -> psrc1: 40 lsrc2:16 -> psrc2: 39 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 507] Rename: pc:0080000050 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 46 old_pdest: 41 out v:1 r:1 -[INFO ][time= 507] Rename: pc:0080000054 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 35 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 507] Rename: pc:0080000058 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 507] Rename: pc:008000005c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 34 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 47 old_pdest: 34 out v:1 r:1 -[INFO ][time= 507] Dispatch1: pc 0x0080000030 accepted by queue 0 0 1 -[INFO ][time= 507] Dispatch1: pc 0x0080000034 accepted by queue 0 0 1 -[INFO ][time= 507] Dispatch1: pc 0x0080000038 accepted by queue 0 0 1 -[INFO ][time= 507] Dispatch1: pc 0x008000003c accepted by queue 0 0 1 -[INFO ][time= 507] Dispatch1: pc 0x0080000040 accepted by queue 0 0 1 -[INFO ][time= 507] Dispatch1: pc 0x0080000044 accepted by queue 1 0 0 -[DEBUG][time= 507] Dispatch1: pc 0x0080000030 receives nroq 12 -[DEBUG][time= 507] Dispatch1: v:1 r:1 pc 0x0080000030 of type 1101 is in 0-th slot -[DEBUG][time= 507] Dispatch1: pc 0x0080000034 receives nroq 13 -[DEBUG][time= 507] Dispatch1: v:1 r:1 pc 0x0080000034 of type 1101 is in 1-th slot -[DEBUG][time= 507] Dispatch1: pc 0x0080000038 receives nroq 14 -[DEBUG][time= 507] Dispatch1: v:1 r:1 pc 0x0080000038 of type 1101 is in 2-th slot -[DEBUG][time= 507] Dispatch1: pc 0x008000003c receives nroq 15 -[DEBUG][time= 507] Dispatch1: v:1 r:1 pc 0x008000003c of type 1101 is in 3-th slot -[DEBUG][time= 507] Dispatch1: pc 0x0080000040 receives nroq 16 -[DEBUG][time= 507] Dispatch1: v:1 r:1 pc 0x0080000040 of type 1101 is in 4-th slot -[DEBUG][time= 507] Dispatch1: pc 0x0080000044 receives nroq 17 -[DEBUG][time= 507] Dispatch1: v:1 r:1 pc 0x0080000044 of type 0011 is in 5-th slot -[DEBUG][time= 507] Dispatch2: int dp queue 0: 0080000010 type 0011 -[DEBUG][time= 507] Dispatch2: int dp queue 1: 0080000014 type 0011 -[DEBUG][time= 507] Dispatch2: int dp queue 2: 0080000018 type 0011 -[DEBUG][time= 507] Dispatch2: int dp queue 3: 008000001c type 0011 -[DEBUG][time= 507] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 507] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 507] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 507] Dispatch2: dispatch to iq index 4: 0 -[DEBUG][time= 507] Dispatch2: dispatch to iq index 7: 2 -[DEBUG][time= 507] Dispatch2: regfile 0 from 1 -[DEBUG][time= 507] Dispatch2: regfile 1 from 1 -[DEBUG][time= 507] Dispatch2: regfile 2 from 2 -[DEBUG][time= 507] Dispatch2: regfile 3 from 2 -[DEBUG][time= 507] Dispatch2: regfile 4 from 3 -[DEBUG][time= 507] Dispatch2: regfile 5 from 3 -[DEBUG][time= 507] Dispatch2: regfile 6 from 0 -[DEBUG][time= 507] Dispatch2: regfile 7 from 0 -[DEBUG][time= 507] Dispatch2: int regfile 0: addr 36, state 0 -[DEBUG][time= 507] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 8: addr 127, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 12: addr 21, state 1 -[DEBUG][time= 507] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 507] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 507] Dispatch2: pc 0x0080000014 with type 0011 srcState(0 1 0) enters reservation station 1 from 1 -[INFO ][time= 507] Dispatch2: pc 0x0080000018 with type 0011 srcState(1 1 0) enters reservation station 2 from 2 -[INFO ][time= 507] Dispatch2: pc 0x008000001c with type 0011 srcState(1 1 0) enters reservation station 3 from 3 -[INFO ][time= 507] Dispatch2: pc 0x0080000010 with type 0011 srcState(1 1 0) enters reservation station 4 from 0 -[INFO ][time= 507] Dispatch2: pc 0x0080000010 leaves Int dispatch queue with nroq 4 -[INFO ][time= 507] Dispatch2: pc 0x0080000014 leaves Int dispatch queue with nroq 5 -[INFO ][time= 507] Dispatch2: pc 0x0080000018 leaves Int dispatch queue with nroq 6 -[INFO ][time= 507] Dispatch2: pc 0x008000001c leaves Int dispatch queue with nroq 7 -[DEBUG][time= 507] Dispatch2: pc 0x008000000c reads operands from ( 6, 0, 000000008000000c), ( 7, 0, 0000000000000074), ( 0, 0, 0000000000000000) -[DEBUG][time= 507] Dispatch2: pc 0x0080000000 reads operands from ( 0, 0, 0000000000000000), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 507] Dispatch2: pc 0x0080000004 reads operands from ( 2, 0, 0000000080000004), ( 3, 0, 0000000000009000), ( 0, 0, 0000000000000000) -[DEBUG][time= 507] Dispatch2: pc 0x0080000008 reads operands from ( 4, 33, d95ad4be237be8dc), ( 5, 0, fffffffffffffffc), ( 0, 0, 0000000000000000) -[INFO ][time= 507] DecodeBuffer: in v:1 r:1 pc=0080000060 -[INFO ][time= 507] DecodeBuffer: in v:1 r:1 pc=0080000064 -[INFO ][time= 507] DecodeBuffer: in v:1 r:1 pc=0080000068 -[INFO ][time= 507] DecodeBuffer: in v:1 r:1 pc=008000006c -[INFO ][time= 507] DecodeBuffer: in v:1 r:1 pc=0080000070 -[INFO ][time= 507] DecodeBuffer: in v:1 r:1 pc=0080000074 -[INFO ][time= 507] DecodeBuffer: out v:1 r:1 pc=0080000048 -[INFO ][time= 507] DecodeBuffer: out v:1 r:1 pc=008000004c -[INFO ][time= 507] DecodeBuffer: out v:1 r:1 pc=0080000050 -[INFO ][time= 507] DecodeBuffer: out v:1 r:1 pc=0080000054 -[INFO ][time= 507] DecodeBuffer: out v:1 r:1 pc=0080000058 -[INFO ][time= 507] DecodeBuffer: out v:1 r:1 pc=008000005c -[ERROR][time= 507] Roq: CSR block should only happen in s_idle -[DEBUG][time= 507] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 507] Roq: dispatched 6 insts -[INFO ][time= 507] Roq: writebacked 2 insts -[INFO ][time= 507] Roq: writebacked pc 0x0080000000 wen 1 data 0x0000000000000000 ldst 8 pdst 32 skip 0 -[INFO ][time= 507] Roq: writebacked pc 0x0080000004 wen 1 data 0x0000000080009004 ldst 2 pdst 33 skip 0 -[DEBUG][time= 507] Roq: head 0:12 tail 0: 0 -[DEBUG][time= 507] Roq: vvvvvvvvvvvv-------------------- -[DEBUG][time= 507] Roq: 0080000000 v 0080000004 v 0080000008 v 008000000c v -[DEBUG][time= 507] Roq: 0080000010 v 0080000014 v 0080000018 v 008000001c v -[DEBUG][time= 507] Roq: 0080000020 v 0080000024 v 0080000028 v 008000002c v -[DEBUG][time= 507] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 507] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 507] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 507] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 507] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 507] DispatchQueue_2: LsDpQ: num_enq = 5, tail = ( 0 -> 5) -[DEBUG][time= 507] DispatchQueue: IntDpQ: num_deq = 4, head = ( 4 -> 8) -[DEBUG][time= 507] DispatchQueue: IntDpQ: num_enq = 1, tail = (12 -> 13) -[DEBUG][time= 507] DispatchQueue: IntDpQ: valid_entries = 8, head = (0, 4), tail = (0, 12), -[DEBUG][time= 507] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 507] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 507] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 507] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 507] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483872------> s1 fire!!! -[DEBUG][time= 507] FakeCache: [Stage1_data] instr1:0x01010113 instr2:0x00008067 -[DEBUG][time= 507] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 507] FakeCache: [Stage2_data] instr1:0x02f50463 instr2:0x40600737 -[DEBUG][time= 507] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 507] FakeCache: [Stage3_data] instr1:0x00300713 instr2:0x00e78623 -[DEBUG][time= 507] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 507] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000100 || if1_pcUpdate:1 if1_pc:0x00800000e0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 507] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000e0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 507] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 507] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 507] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000c0 if3_npc:0x00800000e0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 507] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000a0 if4_npc:0x00800000c0 -[DEBUG][time= 507] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000c0 -[DEBUG][time= 507] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] starPC:0x00800000a0 GroupPC:0x00800000a0n -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 507] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[INFO ][time= 507] IssueQueue: EnqData: src1:000000008000000c src2:0000000000000074 src3:0000000000000000 pc:008000000c roqIdx:03(for last cycle's Ctrl) -[INFO ][time= 507] IssueQueue: Deq:(1 1) [ 0|000000008000000c][ 0|0000000000000074][ 0|26f7d2634708bafb] pdest: 35 pc:008000000c roqIdx:03 -[DEBUG][time= 507] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 507] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 507] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 507] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 507] IssueQueue: 0 |1|1| 0|1|fffffffdf7b67fff| 0|1|fffffffdffb6ffff| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 507] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 507] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 507] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 507] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 507] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 507] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 507] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 507] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 36:0 0:1 0:0) Dest: 37 oldDest: 36 pc:0080000014 roqIdx:05 -[INFO ][time= 507] IssueQueue_1: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000000 roqIdx:00(for last cycle's Ctrl) -[INFO ][time= 507] IssueQueue_1: Deq:(1 1) [ 0|0000000000000000][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 32 pc:0080000000 roqIdx:00 -[DEBUG][time= 507] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 507] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 32) -[DEBUG][time= 507] IssueQueue_1: NoDelayIss: enqALRdy:0 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 507] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 507] IssueQueue_1: 0 |1|1| 0|1|5dd483cc0d1a83ec| 0|1|fffffff970921ffa| 0|0|0000000000000000| 0 |0080000000|00 -[DEBUG][time= 507] IssueQueue_1: 1 |0|0| 107|0|af680746c978246d| 111|1|0629971d2e90ebfe| 13|0|0000000000000000| 7 |6684a37a46|3a <- -[DEBUG][time= 507] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 507] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 507] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 507] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 507] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 507] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 507] IssueQueue_2: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 38 oldDest: 15 pc:0080000018 roqIdx:06 -[INFO ][time= 507] IssueQueue_2: EnqData: src1:0000000080000004 src2:0000000000009000 src3:0000000000000000 pc:0080000004 roqIdx:01(for last cycle's Ctrl) -[INFO ][time= 507] IssueQueue_2: Deq:(1 1) [ 0|0000000080000004][ 0|0000000000009000][ 0|56e780095a4543a2] pdest: 33 pc:0080000004 roqIdx:01 -[DEBUG][time= 507] IssueQueue_2: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 507] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 33) -[DEBUG][time= 507] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 507] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 507] IssueQueue_2: 0 |1|1| 0|1|0242fd620d0e4164| 0|1|fffffff970921ffa| 0|0|0000000000000000| 0 |0080000004|01 -[DEBUG][time= 507] IssueQueue_2: 1 |0|1| 70|1|42e348a885adab79| 110|1|f0ea0a53ca302d03| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 507] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 507] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 507] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 507] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 507] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 507] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 507] IssueQueue_3: WakeUpHit: IQIdx:0 Src0: 33 Ports:2 Data:0000000080009004 Pc:0080000004 RoqIdx:01 -[INFO ][time= 507] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 39 oldDest: 16 pc:008000001c roqIdx:07 -[INFO ][time= 507] IssueQueue_3: EnqData: src1:d95ad4be237be8dc src2:fffffffffffffffc src3:0000000000000000 pc:0080000008 roqIdx:02(for last cycle's Ctrl) -[DEBUG][time= 507] IssueQueue_3: tailAll: 1 KID(010) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(1 1) Out(0 1) -[DEBUG][time= 507] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 507] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 507] IssueQueue_3: 0 |1|0| 33|0|0000002473b437ff| 0|1|fffffff970921ffa| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 507] IssueQueue_3: 1 |0|1| 76|1|2221e9f6da38f987| 98|1|14aebf80d4026f54| 109|0|0000000000000000| 0 |291103b1dd|0e <- -[DEBUG][time= 507] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 507] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 507] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 507] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 507] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 507] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 507] IssueQueue_4: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 36 oldDest: 14 pc:0080000010 roqIdx:04 -[DEBUG][time= 507] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 507] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 507] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 507] IssueQueue_4: 0 |0|1| 112|1|14aebf80d4026f54| 20|1|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 507] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 507] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 507] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 507] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 507] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 507] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 507] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 507] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 507] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 507] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 507] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 507] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 507] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 507] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 507] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 507] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 507] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 507] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 507] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 507] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 507] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 507] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 507] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 507] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 507] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 507] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 507] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 507] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 507] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 507] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 507] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 507] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 507] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 507] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 507] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 507] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 507] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 507] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 507] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 507] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 507] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 507] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 507] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 507] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 507] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 507] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 507] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 507] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 507] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 507] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 507] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 507] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 507] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 507] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 14 -[DEBUG][time= 507] FreeList_1: req:1 canAlloc:1 pdest: 45 headNext:0: 14 -[DEBUG][time= 507] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 14 -[DEBUG][time= 507] FreeList_1: req:0 canAlloc:1 pdest: 46 headNext:0: 14 -[DEBUG][time= 507] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 15 -[DEBUG][time= 507] FreeList_1: req:1 canAlloc:1 pdest: 46 headNext:0: 15 -[DEBUG][time= 507] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 15 -[DEBUG][time= 507] FreeList_1: req:0 canAlloc:1 pdest: 47 headNext:0: 15 -[DEBUG][time= 507] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 15 -[DEBUG][time= 507] FreeList_1: req:0 canAlloc:1 pdest: 47 headNext:0: 15 -[DEBUG][time= 507] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 16 -[DEBUG][time= 507] FreeList_1: req:1 canAlloc:1 pdest: 47 headNext:0: 16 -[DEBUG][time= 507] FreeList_1: head:0: 13 tail:1: 0 -[DEBUG][time= 507] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 507] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 4 -[DEBUG][time= 507] Brq: ---------------- -[INFO ][time= 507] Brq: exu write back: brTag:f:0 v: 0 pc=008000000c pnpc=0080000010 target=0080000080 -[DEBUG][time= 507] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v: 4 -[DEBUG][time= 507] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v: 4 -[DEBUG][time= 507] Brq: enq v:0 rdy:1 pc:0080000068 brTag:f:0 v: 4 -[DEBUG][time= 507] Brq: enq v:0 rdy:1 pc:008000006c brTag:f:0 v: 4 -[DEBUG][time= 507] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 4 -[DEBUG][time= 507] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v: 4 -[DEBUG][time= 508] Tage: req: pc=0x0080000080, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 508] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 508] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 508] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 508] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 508] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 508] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 508] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 508] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 508] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 508] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 508] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 508] BusyTable_1: 34 is busy -[DEBUG][time= 508] BusyTable_1: 36 is busy -[DEBUG][time= 508] BusyTable_1: 37 is busy -[DEBUG][time= 508] BusyTable_1: 38 is busy -[DEBUG][time= 508] BusyTable_1: 39 is busy -[DEBUG][time= 508] BusyTable_1: 40 is busy -[DEBUG][time= 508] BusyTable_1: 41 is busy -[DEBUG][time= 508] BusyTable_1: 42 is busy -[DEBUG][time= 508] BusyTable_1: 43 is busy -[DEBUG][time= 508] BusyTable_1: 44 is busy -[DEBUG][time= 508] BusyTable_1: 45 is busy -[DEBUG][time= 508] BusyTable_1: 46 is busy -[DEBUG][time= 508] BusyTable_1: 47 is busy -[DEBUG][time= 508] BPUStage2: in:(0 0) pc=0080000100 out:(0 0) pc=00800000e0 -[DEBUG][time= 508] BPUStage2: validLatch=1 pc=00800000e0 -[DEBUG][time= 508] BPUStage2: flush!!! -[DEBUG][time= 508] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 508] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 508] DispatchGen: priority: data(2) = 2, priority = 3 -[DEBUG][time= 508] DispatchGen: priority: data(3) = 1, priority = 2 -[DEBUG][time= 508] BPUStage1: in:(1 1) pc=0080000080 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 508] BPUStage1: outPred:(0) pc=0x0080000100, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 508] BPUStage1: flush from backend: pc=008000000c tgt=0080000080 brTgt=0080000080 btbType=01 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000000000000 fetchIdx=3 isExcpt=0 -[DEBUG][time= 508] BPUStage3: flushS3=0 -[DEBUG][time= 508] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 508] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 508] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 508] JBTAC: read: pc=0x0080000080, histXORAddr=0x0080000082, bank=1, row= 8, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 508] JBTAC: read_resp: pc=0x0080000100, bank=0, row= 16, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 508] JBTAC: [JBTAC]update_req: fetchPC=0x0080000000, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000000000000, bank=0, row= 0, target=0x0080000080, offset= 6, type=0x1 -[INFO ][time= 508] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 508] BTB: read: pc=0x0080000080, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 508] BTB: read_resp: pc=0x0080000100, readIdx=128------------------------------- -[DEBUG][time= 508] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 508] BTB: bankIdxInOrder:[DEBUG][time= 508] BTB: 0 [DEBUG][time= 508] BTB: 1 [DEBUG][time= 508] BTB: 2 [DEBUG][time= 508] BTB: 3 [DEBUG][time= 508] BTB: 4 [DEBUG][time= 508] BTB: 5 [DEBUG][time= 508] BTB: 6 [DEBUG][time= 508] BTB: 7 [DEBUG][time= 508] BTB: 8 [DEBUG][time= 508] BTB: 9 [DEBUG][time= 508] BTB: 10 [DEBUG][time= 508] BTB: 11 [DEBUG][time= 508] BTB: 12 [DEBUG][time= 508] BTB: 13 [DEBUG][time= 508] BTB: 14 [DEBUG][time= 508] BTB: 15 [DEBUG][time= 508] BTB: -[DEBUG][time= 508] BTB: update_req: pc=0x008000000c, hit=0, misPred=1, oldCtr=0, taken=1, target=0x0080000080, btbType=1 -[DEBUG][time= 508] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 6, row= 0, newCtr=2 -[DEBUG][time= 508] MulExeUnit: redirect: f:0 v: 0 -[DEBUG][time= 508] MulExeUnit_1: redirect: f:0 v: 0 -[INFO ][time= 508] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 47 lsrc2: 1 -> psrc2: 35 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 508] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 42 out v:0 r:1 -[INFO ][time= 508] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 46 out v:0 r:1 -[INFO ][time= 508] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 508] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 46 out v:0 r:1 -[INFO ][time= 508] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 46 out v:0 r:1 -[INFO ][time= 508] Rename: int rat arch: ldest: 8 pdest: 32 -[INFO ][time= 508] Rename: int rat arch: ldest: 2 pdest: 33 -[DEBUG][time= 508] Dispatch1: pc=0080000048 brTag:f:0 v: 0 -[DEBUG][time= 508] Dispatch1: pc=008000004c brTag:f:0 v: 0 -[DEBUG][time= 508] Dispatch1: pc=0080000050 brTag:f:0 v: 0 -[DEBUG][time= 508] Dispatch1: pc=0080000054 brTag:f:0 v: 0 -[DEBUG][time= 508] Dispatch1: pc=0080000058 brTag:f:0 v: 0 -[DEBUG][time= 508] Dispatch1: pc=008000005c brTag:f:0 v: 0 -[INFO ][time= 508] Dispatch1: pc 0x0080000048 with brTag 0 cancelled -[INFO ][time= 508] Dispatch1: pc 0x008000004c with brTag 0 cancelled -[INFO ][time= 508] Dispatch1: pc 0x0080000050 with brTag 0 cancelled -[INFO ][time= 508] Dispatch1: pc 0x0080000054 with brTag 0 cancelled -[INFO ][time= 508] Dispatch1: pc 0x0080000058 with brTag 0 cancelled -[INFO ][time= 508] Dispatch1: pc 0x008000005c with brTag 0 cancelled -[DEBUG][time= 508] Dispatch1: pc 0x0080000048 receives nroq 18 -[DEBUG][time= 508] Dispatch1: v:1 r:1 pc 0x0080000048 of type 0011 is in 0-th slot -[DEBUG][time= 508] Dispatch1: pc 0x008000004c receives nroq 19 -[DEBUG][time= 508] Dispatch1: v:1 r:1 pc 0x008000004c of type 0011 is in 1-th slot -[DEBUG][time= 508] Dispatch1: pc 0x0080000050 receives nroq 20 -[DEBUG][time= 508] Dispatch1: v:1 r:1 pc 0x0080000050 of type 0011 is in 2-th slot -[DEBUG][time= 508] Dispatch1: pc 0x0080000054 receives nroq 21 -[DEBUG][time= 508] Dispatch1: v:1 r:1 pc 0x0080000054 of type 0000 is in 3-th slot -[DEBUG][time= 508] Dispatch1: pc 0x0080000058 receives nroq 22 -[DEBUG][time= 508] Dispatch1: v:1 r:1 pc 0x0080000058 of type 0000 is in 4-th slot -[DEBUG][time= 508] Dispatch1: pc 0x008000005c receives nroq 23 -[DEBUG][time= 508] Dispatch1: v:1 r:1 pc 0x008000005c of type 0011 is in 5-th slot -[DEBUG][time= 508] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 508] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 508] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 508] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 508] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 508] Dispatch2: regfile 0 from 0 -[DEBUG][time= 508] Dispatch2: regfile 1 from 0 -[DEBUG][time= 508] Dispatch2: regfile 2 from 1 -[DEBUG][time= 508] Dispatch2: regfile 3 from 1 -[DEBUG][time= 508] Dispatch2: regfile 4 from 3 -[DEBUG][time= 508] Dispatch2: regfile 5 from 3 -[DEBUG][time= 508] Dispatch2: regfile 6 from 2 -[DEBUG][time= 508] Dispatch2: regfile 7 from 2 -[DEBUG][time= 508] Dispatch2: int regfile 0: addr 38, state 0 -[DEBUG][time= 508] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 2: addr 38, state 0 -[DEBUG][time= 508] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 4: addr 38, state 0 -[DEBUG][time= 508] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 6: addr 38, state 0 -[DEBUG][time= 508] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 8: addr 37, state 0 -[DEBUG][time= 508] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 9: addr 38, state 0 -[DEBUG][time= 508] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 508] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 508] Dispatch2: pc 0x0080000014 reads operands from ( 0, 36, d95ad4be237be8dc), ( 1, 0, fffffffffffffea0), ( 0, 0, 0000000000000000) -[DEBUG][time= 508] Dispatch2: pc 0x0080000018 reads operands from ( 2, 0, 0000000000000000), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 508] Dispatch2: pc 0x008000001c reads operands from ( 4, 0, 0000000000000000), ( 5, 0, 0000000000000005), ( 0, 0, 0000000000000000) -[DEBUG][time= 508] Dispatch2: pc 0x0080000010 reads operands from ( 6, 0, 0000000080000010), ( 7, 0, 0000000000001000), ( 0, 0, 0000000000000000) -[INFO ][time= 508] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 508] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 508] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 508] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 508] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 508] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 508] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 508] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 508] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 508] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 508] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 508] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 508] Roq: CSR block should only happen in s_idle -[DEBUG][time= 508] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 508] Roq: dispatched 6 insts -[INFO ][time= 508] Roq: writebacked 1 insts -[INFO ][time= 508] Roq: writebacked pc 0x008000000c wen 1 data 0x0000000080000010 ldst 1 pdst 35 skip 0 -[INFO ][time= 508] Roq: retired pc 0080000000 wen 1 ldst 8 data 0000000000000000 -[INFO ][time= 508] Roq: retired pc 0080000004 wen 1 ldst 2 data 0000000080009004 -[INFO ][time= 508] Roq: retired 2 insts -[DEBUG][time= 508] Roq: head 0:18 tail 0: 0 -[DEBUG][time= 508] Roq: wwvvvvvvvvvvvvvvvv-------------- -[DEBUG][time= 508] Roq: 0080000000 w 0080000004 w 0080000008 v 008000000c v -[DEBUG][time= 508] Roq: 0080000010 v 0080000014 v 0080000018 v 008000001c v -[DEBUG][time= 508] Roq: 0080000020 v 0080000024 v 0080000028 v 008000002c v -[DEBUG][time= 508] Roq: 0080000030 v 0080000034 v 0080000038 v 008000003c v -[DEBUG][time= 508] Roq: 0080000040 v 0080000044 v 544735df77 - 544735df77 - -[DEBUG][time= 508] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 508] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 508] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[INFO ][time= 508] DispatchQueue_1: FpDpQ: valid entry(7)(pc = 5ea019ed1d) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue_2: LsDpQ: valid entry(0)(pc = 0080000030) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue_2: LsDpQ: valid entry(1)(pc = 0080000034) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue_2: LsDpQ: valid entry(2)(pc = 0080000038) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue_2: LsDpQ: valid entry(3)(pc = 008000003c) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue_2: LsDpQ: valid entry(4)(pc = 0080000040) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue_2: LsDpQ: valid entry(10)(pc = 08a67e8ad0) cancelled with brTag 0 -[DEBUG][time= 508] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 0), tail = (0, 5), -[INFO ][time= 508] DispatchQueue: IntDpQ: valid entry( 8)(pc = 0080000020) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue: IntDpQ: valid entry( 9)(pc = 0080000024) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue: IntDpQ: valid entry(10)(pc = 0080000028) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue: IntDpQ: valid entry(11)(pc = 008000002c) cancelled with brTag 0 -[INFO ][time= 508] DispatchQueue: IntDpQ: valid entry(12)(pc = 0080000044) cancelled with brTag 0 -[DEBUG][time= 508] DispatchQueue: IntDpQ: valid_entries = 5, head = (0, 8), tail = (0, 13), -[DEBUG][time= 508] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 508] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 508] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 508] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 508] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483904------> s1 fire!!! -[DEBUG][time= 508] FakeCache: [Stage1_data] instr1:0x00913c23 instr2:0x01213823 -[DEBUG][time= 508] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 508] FakeCache: [Stage2_data] instr1:0x01010113 instr2:0x00008067 -[DEBUG][time= 508] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 508] FakeCache: [Stage3_data] instr1:0x02f50463 instr2:0x40600737 -[DEBUG][time= 508] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 508] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000080 || if1_pcUpdate:1 if1_pc:0x0080000100 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 508] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000100 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 508] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 508] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 508] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000e0 if3_npc:0x0080000100 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 508] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000c0 if4_npc:0x00800000e0 -[DEBUG][time= 508] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000e0 -[DEBUG][time= 508] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 508] IFU: [IFU-REDIRECT] target:0x0080000080 -[INFO ][time= 508] IssueQueue: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 508] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 508] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 508] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 508] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 508] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 508] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 508] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 508] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 508] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 508] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 508] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 508] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:00000010 redIdHitVec:00000001 enqHit:1 selIsRed:0 -[INFO ][time= 508] IssueQueue_1: EnqData: src1:d95ad4be237be8dc src2:fffffffffffffea0 src3:0000000000000000 pc:0080000014 roqIdx:05(for last cycle's Ctrl) -[DEBUG][time= 508] IssueQueue_1: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(0 1) Out(0 1) -[DEBUG][time= 508] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 508] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 508] IssueQueue_1: 1 |1|0| 36|0|af680746c978246d| 0|1|0629971d2e90ebfe| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 508] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 508] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 508] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 508] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 508] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 508] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 508] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 508] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:11111110 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 508] IssueQueue_2: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000018 roqIdx:06(for last cycle's Ctrl) -[DEBUG][time= 508] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 508] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 38) -[DEBUG][time= 508] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 508] IssueQueue_2: 1 |1|1| 0|1|42e348a885adab79| 0|1|f0ea0a53ca302d03| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 508] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 508] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 508] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 508] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 508] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 508] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 508] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 508] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:00000010 redIdHitVec:00000010 enqHit:1 selIsRed:0 -[INFO ][time= 508] IssueQueue_3: EnqData: src1:0000000000000000 src2:0000000000000005 src3:0000000000000000 pc:008000001c roqIdx:07(for last cycle's Ctrl) -[DEBUG][time= 508] IssueQueue_3: tailAll: 2 KID(001) tailDot:00000111 tailDot2:00000011 selDot:00000001 popDot:00000000 moveDot:00000110 In(0 1) Out(0 1) -[DEBUG][time= 508] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(1, 34) -[DEBUG][time= 508] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 508] IssueQueue_3: 0 |1|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 508] IssueQueue_3: 1 |1|1| 0|1|2221e9f6da38f987| 0|1|14aebf80d4026f54| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 508] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 508] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 508] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 508] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 508] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 508] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 508] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:1 -[INFO ][time= 508] IssueQueue_4: EnqData: src1:0000000080000010 src2:0000000000001000 src3:0000000000000000 pc:0080000010 roqIdx:04(for last cycle's Ctrl) -[DEBUG][time= 508] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 508] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 36) -[DEBUG][time= 508] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 508] IssueQueue_4: 0 |1|1| 0|1|14aebf80d4026f54| 0|1|14aebf80d4026f54| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 508] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 508] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 508] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 508] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 508] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 508] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 508] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 508] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 508] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 508] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 508] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 508] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 508] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 508] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 508] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 508] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 508] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 508] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 508] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 508] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 508] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 508] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 508] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 508] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 508] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 508] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 508] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 508] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 508] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 508] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 508] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 508] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 0 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 508] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 508] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 508] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 508] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 508] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 508] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 508] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 508] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 508] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 508] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 508] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 508] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 508] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 508] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 508] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 508] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 508] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 508] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 508] FreeList: redirect: brqIdx= 0 -[DEBUG][time= 508] FreeList_1: dealloc preg: 8 -[DEBUG][time= 508] FreeList_1: dealloc preg: 2 -[DEBUG][time= 508] FreeList_1: req:0 canAlloc:1 pdest: 48 headNext:0: 16 -[DEBUG][time= 508] FreeList_1: req:0 canAlloc:1 pdest: 48 headNext:0: 16 -[DEBUG][time= 508] FreeList_1: req:0 canAlloc:1 pdest: 48 headNext:0: 16 -[DEBUG][time= 508] FreeList_1: req:0 canAlloc:1 pdest: 48 headNext:0: 16 -[DEBUG][time= 508] FreeList_1: req:0 canAlloc:1 pdest: 48 headNext:0: 16 -[DEBUG][time= 508] FreeList_1: req:0 canAlloc:1 pdest: 48 headNext:0: 16 -[DEBUG][time= 508] FreeList_1: head:0: 16 tail:1: 0 -[DEBUG][time= 508] FreeList_1: redirect: brqIdx= 0 -[DEBUG][time= 508] Brq: headIdx: 0 commitIdx: 0 -[DEBUG][time= 508] Brq: headPtr:f:0 v: 0 tailPtr:f:0 v: 4 -[DEBUG][time= 508] Brq: w--------------- -[INFO ][time= 508] Brq: commit branch to roq, mispred:1 pc=008000000c -[INFO ][time= 508] Brq: brq redirect, target:0080000080 -[DEBUG][time= 509] Tage: req: pc=0x00800000a0, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 509] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 509] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 509] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 509] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 509] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 509] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 509] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 509] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 509] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 509] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 509] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 509] BusyTable_1: writeback 34 -[DEBUG][time= 509] BusyTable_1: writeback 47 -[DEBUG][time= 509] BusyTable_1: writeback 46 -[DEBUG][time= 509] BusyTable_1: writeback 45 -[DEBUG][time= 509] BusyTable_1: 34 is busy -[DEBUG][time= 509] BusyTable_1: 36 is busy -[DEBUG][time= 509] BusyTable_1: 37 is busy -[DEBUG][time= 509] BusyTable_1: 38 is busy -[DEBUG][time= 509] BusyTable_1: 39 is busy -[DEBUG][time= 509] BusyTable_1: 40 is busy -[DEBUG][time= 509] BusyTable_1: 41 is busy -[DEBUG][time= 509] BusyTable_1: 42 is busy -[DEBUG][time= 509] BusyTable_1: 43 is busy -[DEBUG][time= 509] BusyTable_1: 44 is busy -[DEBUG][time= 509] BusyTable_1: 45 is busy -[DEBUG][time= 509] BusyTable_1: 46 is busy -[DEBUG][time= 509] BusyTable_1: 47 is busy -[DEBUG][time= 509] BPUStage2: in:(1 1) pc=0080000080 out:(0 1) pc=00800000e0 -[DEBUG][time= 509] BPUStage2: validLatch=0 pc=00800000e0 -[DEBUG][time= 509] DispatchGen: priority: data(0) = 1, priority = 2 -[DEBUG][time= 509] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 509] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 509] DispatchGen: priority: data(3) = 0, priority = 1 -[INFO ][time= 509] WriteBackArbMtoN: out(3) pc(0x0080000008) writebacks 0x0000000080009000 to pdest( 34) ldest( 2) -[DEBUG][time= 509] BPUStage1: in:(1 1) pc=00800000a0 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 509] BPUStage1: outPred:(1) pc=0x0080000080, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 509] BPUStage3: flushS3=1 -[DEBUG][time= 509] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 509] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=1 -[DEBUG][time= 509] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 509] JBTAC: read: pc=0x00800000a0, histXORAddr=0x00800000a2, bank=1, row= 10, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 509] JBTAC: read_resp: pc=0x0080000080, bank=1, row= 8, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 509] BTB: read: pc=0x00800000a0, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 509] BTB: read_resp: pc=0x0080000080, readIdx= 64------------------------------- -[DEBUG][time= 509] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=4][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=10][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=12][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 509] BTB: bankIdxInOrder:[DEBUG][time= 509] BTB: 0 [DEBUG][time= 509] BTB: 1 [DEBUG][time= 509] BTB: 2 [DEBUG][time= 509] BTB: 3 [DEBUG][time= 509] BTB: 4 [DEBUG][time= 509] BTB: 5 [DEBUG][time= 509] BTB: 6 [DEBUG][time= 509] BTB: 7 [DEBUG][time= 509] BTB: 8 [DEBUG][time= 509] BTB: 9 [DEBUG][time= 509] BTB: 10 [DEBUG][time= 509] BTB: 11 [DEBUG][time= 509] BTB: 12 [DEBUG][time= 509] BTB: 13 [DEBUG][time= 509] BTB: 14 [DEBUG][time= 509] BTB: 15 [DEBUG][time= 509] BTB: -[DEBUG][time= 509] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 1 -[DEBUG][time= 509] AluExeUnit_2: src1:0000000080009004 src2:fffffffffffffffc offset:fffffffffffffffc func:0000000 pc:0000000080000008 -[DEBUG][time= 509] AluExeUnit_2: res:0000000080009000 aluRes:10000000080009000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080009000 taken:0 -[INFO ][time= 509] Rename: int walk: pc:008000005c ldst: 2 old_pdest: 34 -[INFO ][time= 509] Rename: int walk: pc:0080000050 ldst:10 old_pdest: 41 -[INFO ][time= 509] Rename: int walk: pc:0080000048 ldst:14 old_pdest: 37 -[DEBUG][time= 509] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 0-th slot -[DEBUG][time= 509] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 1-th slot -[DEBUG][time= 509] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 2-th slot -[DEBUG][time= 509] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 3-th slot -[DEBUG][time= 509] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 4-th slot -[DEBUG][time= 509] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 5-th slot -[DEBUG][time= 509] Dispatch2: dispatch to iq index 1: 2 -[DEBUG][time= 509] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 509] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 509] Dispatch2: dispatch to iq index 4: 1 -[DEBUG][time= 509] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 509] Dispatch2: regfile 0 from 2 -[DEBUG][time= 509] Dispatch2: regfile 1 from 2 -[DEBUG][time= 509] Dispatch2: regfile 2 from 0 -[DEBUG][time= 509] Dispatch2: regfile 3 from 0 -[DEBUG][time= 509] Dispatch2: regfile 4 from 3 -[DEBUG][time= 509] Dispatch2: regfile 5 from 3 -[DEBUG][time= 509] Dispatch2: regfile 6 from 1 -[DEBUG][time= 509] Dispatch2: regfile 7 from 1 -[DEBUG][time= 509] Dispatch2: int regfile 0: addr 38, state 0 -[DEBUG][time= 509] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 2: addr 38, state 0 -[DEBUG][time= 509] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 4: addr 38, state 0 -[DEBUG][time= 509] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 6: addr 38, state 0 -[DEBUG][time= 509] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 8: addr 37, state 0 -[DEBUG][time= 509] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 9: addr 38, state 0 -[DEBUG][time= 509] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 509] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 509] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 509] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 509] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 509] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 509] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 509] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 509] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 509] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 509] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 509] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 509] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 509] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 509] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 509] Roq: CSR block should only happen in s_idle -[DEBUG][time= 509] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 509] Roq: writebacked 1 insts -[INFO ][time= 509] Roq: writebacked pc 0x0080000008 wen 1 data 0x0000000080009000 ldst 2 pdst 34 skip 0 -[INFO ][time= 509] Roq: walked pc 008000005c wen 1 ldst 2 data c38fe4e3d1a781e0 -[INFO ][time= 509] Roq: walked pc 0080000058 wen 1 ldst 0 data 00000000591ea2fd -[INFO ][time= 509] Roq: walked pc 0080000054 wen 1 ldst 0 data 0f1b316d28f507ae -[INFO ][time= 509] Roq: walked pc 0080000050 wen 1 ldst 10 data 52a9bf6dd6a01910 -[INFO ][time= 509] Roq: walked pc 008000004c wen 0 ldst 0 data c4cb6f1ec27112f1 -[INFO ][time= 509] Roq: walked pc 0080000048 wen 1 ldst 14 data 2a083d0ba8e3b0d3 -[INFO ][time= 509] Roq: rolling back: head 4 tail 2 walk 0:23 -[DEBUG][time= 509] Roq: head 0: 4 tail 0: 2 -[DEBUG][time= 509] Roq: --vwvvvvvvvvvvvvvvvvvvvv-------- -[DEBUG][time= 509] Roq: 0080000000 - 0080000004 - 0080000008 v 008000000c w -[DEBUG][time= 509] Roq: 0080000010 v 0080000014 v 0080000018 v 008000001c v -[DEBUG][time= 509] Roq: 0080000020 v 0080000024 v 0080000028 v 008000002c v -[DEBUG][time= 509] Roq: 0080000030 v 0080000034 v 0080000038 v 008000003c v -[DEBUG][time= 509] Roq: 0080000040 v 0080000044 v 0080000048 v 008000004c v -[DEBUG][time= 509] Roq: 0080000050 v 0080000054 v 0080000058 v 008000005c v -[DEBUG][time= 509] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 509] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 509] DispatchQueue_2: LsDpQ: num_deq = 4, head = ( 0 -> 4) -[DEBUG][time= 509] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 0), tail = (0, 5), -[DEBUG][time= 509] DispatchQueue: IntDpQ: num_deq = 4, head = ( 8 -> 12) -[DEBUG][time= 509] DispatchQueue: IntDpQ: valid_entries = 5, head = (0, 8), tail = (0, 13), -[DEBUG][time= 509] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 509] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 509] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 509] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 509] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483776------> s1 fire!!! -[DEBUG][time= 509] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 509] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 509] FakeCache: [Stage2_data] instr1:0x00913c23 instr2:0x01213823 -[DEBUG][time= 509] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 509] FakeCache: [Stage3_data] instr1:0x01010113 instr2:0x00008067 -[DEBUG][time= 509] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 509] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000a0 || if1_pcUpdate:1 if1_pc:0x0080000080 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 509] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000080 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 509] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 509] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 509] IFU: [IF3]if3_valid:0 || if3_pc:0x0080000100 if3_npc:0x0080000080 || if4_ready:1 -[DEBUG][time= 509] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000e0 if4_npc:0x0080000100 -[DEBUG][time= 509] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000e0 -[DEBUG][time= 509] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 509] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 509] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 509] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 509] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 509] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 509] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 509] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 509] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 509] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 509] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 509] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 509] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000001 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 509] IssueQueue_1: popOne:1 isPop:1 popSel:0 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 SelUop:(0, 72) -[DEBUG][time= 509] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 509] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 509] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 509] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 509] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 509] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 509] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 509] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 509] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 509] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 509] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 509] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 509] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 509] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 509] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 509] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 509] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 509] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 509] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 509] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 509] IssueQueue_3: Deq:(1 1) [ 33|0000000080009004][ 0|fffffffffffffffc][ 0|0000000000000000] pdest: 34 pc:0080000008 roqIdx:02 -[DEBUG][time= 509] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000001 moveDot:00000010 In(0 1) Out(1 1) -[INFO ][time= 509] IssueQueue_3: FireStage:Out(1 1) src1( 33|0000000080009004) src2( 0|fffffffffffffffc) src3( 0|0000000000000000) deqFlush:0 pc:0080000008 roqIdx: 2 -[DEBUG][time= 509] IssueQueue_3: popOne:1 isPop:1 popSel:0 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 SelUop:(0, 24) -[DEBUG][time= 509] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 509] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 509] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 509] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 509] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 509] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 509] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 509] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 509] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 509] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 509] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 509] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 509] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 509] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 509] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 509] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 509] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 509] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 509] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 509] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 509] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 509] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 509] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 509] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 509] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 509] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 509] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 509] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 509] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 509] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 509] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 509] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 509] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 509] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 509] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 509] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 509] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 509] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 509] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 509] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 509] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 509] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 509] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 509] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 509] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 509] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 509] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 509] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 509] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 509] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 509] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 509] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 509] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 509] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 509] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 509] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 509] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 509] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 509] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 509] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 509] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 509] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 509] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 509] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 509] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 509] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 509] FreeList_1: head:0: 4 tail:1: 2 -[DEBUG][time= 509] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 509] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 1 -[DEBUG][time= 509] Brq: ---------------- -[DEBUG][time= 509] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 509] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 509] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 509] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 509] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 509] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 510] Tage: req: pc=0x00800000c0, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 510] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 510] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 510] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 510] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 510] RegfileReadPortGen: int 0: want 1001, deqChoice: 0 -[DEBUG][time= 510] RegfileReadPortGen: int 1: want 1001, deqChoice: 0 -[DEBUG][time= 510] RegfileReadPortGen: int 2: want 0001, deqChoice: 3 -[DEBUG][time= 510] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 510] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 510] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 510] RegfileReadPortGen: dynamicExuSrc 2: 2 0011 -[DEBUG][time= 510] BusyTable_1: writeback 44 -[DEBUG][time= 510] BusyTable_1: 36 is busy -[DEBUG][time= 510] BusyTable_1: 37 is busy -[DEBUG][time= 510] BusyTable_1: 38 is busy -[DEBUG][time= 510] BusyTable_1: 39 is busy -[DEBUG][time= 510] BusyTable_1: 40 is busy -[DEBUG][time= 510] BusyTable_1: 41 is busy -[DEBUG][time= 510] BusyTable_1: 42 is busy -[DEBUG][time= 510] BusyTable_1: 43 is busy -[DEBUG][time= 510] BusyTable_1: 44 is busy -[DEBUG][time= 510] BPUStage2: in:(1 1) pc=00800000a0 out:(1 1) pc=0080000080 -[DEBUG][time= 510] BPUStage2: validLatch=1 pc=0080000080 -[DEBUG][time= 510] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 510] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 510] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 510] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 510] BPUStage1: in:(1 1) pc=00800000c0 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 510] BPUStage1: outPred:(1) pc=0x00800000a0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 510] BPUStage3: [RAS]:pc=0x0080000080, rasWritePosition= 1, rasWriteAddr=0x00800000e0 -[DEBUG][time= 510] BPUStage3: in:(1 1) pc=0080000080 -[DEBUG][time= 510] BPUStage3: flushS3=1 -[DEBUG][time= 510] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 510] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=1 -[DEBUG][time= 510] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 510] JBTAC: read: pc=0x00800000c0, histXORAddr=0x00800000c2, bank=1, row= 12, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 510] JBTAC: read_resp: pc=0x00800000a0, bank=1, row= 10, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 510] BTB: read: pc=0x00800000c0, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 510] BTB: read_resp: pc=0x00800000a0, readIdx= 80------------------------------- -[DEBUG][time= 510] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 510] BTB: bankIdxInOrder:[DEBUG][time= 510] BTB: 0 [DEBUG][time= 510] BTB: 1 [DEBUG][time= 510] BTB: 2 [DEBUG][time= 510] BTB: 3 [DEBUG][time= 510] BTB: 4 [DEBUG][time= 510] BTB: 5 [DEBUG][time= 510] BTB: 6 [DEBUG][time= 510] BTB: 7 [DEBUG][time= 510] BTB: 8 [DEBUG][time= 510] BTB: 9 [DEBUG][time= 510] BTB: 10 [DEBUG][time= 510] BTB: 11 [DEBUG][time= 510] BTB: 12 [DEBUG][time= 510] BTB: 13 [DEBUG][time= 510] BTB: 14 [DEBUG][time= 510] BTB: 15 [DEBUG][time= 510] BTB: -[INFO ][time= 510] Rename: int walk: pc:0080000044 ldst:15 old_pdest: 38 -[DEBUG][time= 510] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 0-th slot -[DEBUG][time= 510] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 1-th slot -[DEBUG][time= 510] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 2-th slot -[DEBUG][time= 510] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 3-th slot -[DEBUG][time= 510] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 4-th slot -[DEBUG][time= 510] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 5-th slot -[DEBUG][time= 510] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 510] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 510] Dispatch2: dispatch to iq index 6: 3 -[DEBUG][time= 510] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 510] Dispatch2: regfile 0 from 0 -[DEBUG][time= 510] Dispatch2: regfile 1 from 0 -[DEBUG][time= 510] Dispatch2: regfile 2 from 2 -[DEBUG][time= 510] Dispatch2: regfile 3 from 2 -[DEBUG][time= 510] Dispatch2: regfile 4 from 3 -[DEBUG][time= 510] Dispatch2: regfile 5 from 3 -[DEBUG][time= 510] Dispatch2: regfile 6 from 3 -[DEBUG][time= 510] Dispatch2: regfile 7 from 3 -[DEBUG][time= 510] Dispatch2: int regfile 0: addr 40, state 0 -[DEBUG][time= 510] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 2: addr 76, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 3: addr 98, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 4: addr 126, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 5: addr 96, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 6: addr 126, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 7: addr 96, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 8: addr 37, state 0 -[DEBUG][time= 510] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 9: addr 43, state 0 -[DEBUG][time= 510] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 510] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 510] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 510] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 510] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 510] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 510] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 510] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 510] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 510] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 510] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 510] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 510] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 510] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 510] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 510] Roq: CSR block should only happen in s_idle -[DEBUG][time= 510] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 510] Roq: walked pc 0080000044 wen 1 ldst 15 data 3b2c06f87ac8f439 -[INFO ][time= 510] Roq: walked pc 0080000040 wen 0 ldst 0 data bc976fc1d239e13d -[INFO ][time= 510] Roq: walked pc 008000003c wen 0 ldst 0 data 617691e7ef800c75 -[INFO ][time= 510] Roq: walked pc 0080000038 wen 0 ldst 0 data 4e75619b606735e4 -[INFO ][time= 510] Roq: walked pc 0080000034 wen 0 ldst 0 data 38768681721d4080 -[INFO ][time= 510] Roq: walked pc 0080000030 wen 0 ldst 0 data 713d2c3af2f5501c -[INFO ][time= 510] Roq: rolling back: head 4 tail 2 walk 0:17 -[DEBUG][time= 510] Roq: head 0: 4 tail 0: 2 -[DEBUG][time= 510] Roq: --wwvvvvvvvvvvvvvv-------------- -[DEBUG][time= 510] Roq: 0080000000 - 0080000004 - 0080000008 w 008000000c w -[DEBUG][time= 510] Roq: 0080000010 v 0080000014 v 0080000018 v 008000001c v -[DEBUG][time= 510] Roq: 0080000020 v 0080000024 v 0080000028 v 008000002c v -[DEBUG][time= 510] Roq: 0080000030 v 0080000034 v 0080000038 v 008000003c v -[DEBUG][time= 510] Roq: 0080000040 v 0080000044 v 0080000048 - 008000004c - -[DEBUG][time= 510] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 510] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 510] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 510] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 4 -> 5) -[DEBUG][time= 510] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 4), tail = (0, 5), -[DEBUG][time= 510] DispatchQueue: IntDpQ: num_deq = 1, head = (12 -> 13) -[DEBUG][time= 510] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 12), tail = (0, 13), -[DEBUG][time= 510] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 510] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 510] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 510] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 510] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483808------> s1 fire!!! -[DEBUG][time= 510] FakeCache: [Stage1_data] instr1:0x00300713 instr2:0x00e78623 -[DEBUG][time= 510] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 510] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 510] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 510] FakeCache: [Stage3_data] instr1:0x01010113 instr2:0x00008067 -[DEBUG][time= 510] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 510] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000c0 || if1_pcUpdate:1 if1_pc:0x00800000a0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 510] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000a0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 510] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 510] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 510] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000080 if3_npc:0x00800000a0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 510] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000e0 if4_npc:0x0080000100 -[DEBUG][time= 510] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000e0 -[DEBUG][time= 510] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 510] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 510] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 510] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 510] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 510] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 510] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 510] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 510] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 510] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 510] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 510] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 510] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 510] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 510] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 510] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 510] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 510] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 510] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 510] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 510] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 510] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 510] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 510] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 510] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 510] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 510] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 510] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 510] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 510] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 510] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 510] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 510] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 510] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 510] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 510] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 510] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 510] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 510] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 510] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 510] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 510] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 510] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 510] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 510] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 510] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 510] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 510] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 510] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 510] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 510] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 510] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 510] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 510] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 510] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 510] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 510] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 510] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 510] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 510] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 510] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 510] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 510] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 510] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 510] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 510] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 510] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 510] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 510] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 510] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 510] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 510] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 510] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 510] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 510] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 510] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 510] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 510] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 510] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 510] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 510] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 510] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 510] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 510] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 510] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 510] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 510] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 510] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 510] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 510] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 510] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 510] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 510] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 510] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 510] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 510] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 510] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 510] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 510] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 510] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 510] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 510] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 510] FreeList_1: head:0: 4 tail:1: 2 -[DEBUG][time= 510] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 510] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 1 -[DEBUG][time= 510] Brq: ---------------- -[DEBUG][time= 510] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 510] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 510] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 510] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 510] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 510] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 511] Tage: req: pc=0x00800000e0, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 511] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 511] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 511] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 511] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 511] RegfileReadPortGen: int 0: want 1001, deqChoice: 0 -[DEBUG][time= 511] RegfileReadPortGen: int 1: want 1001, deqChoice: 0 -[DEBUG][time= 511] RegfileReadPortGen: int 2: want 0001, deqChoice: 3 -[DEBUG][time= 511] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 511] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 511] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 511] RegfileReadPortGen: dynamicExuSrc 2: 2 0011 -[DEBUG][time= 511] BusyTable_1: writeback 43 -[DEBUG][time= 511] BusyTable_1: writeback 42 -[DEBUG][time= 511] BusyTable_1: writeback 41 -[DEBUG][time= 511] BusyTable_1: writeback 40 -[DEBUG][time= 511] BusyTable_1: writeback 39 -[DEBUG][time= 511] BusyTable_1: writeback 38 -[DEBUG][time= 511] BusyTable_1: 36 is busy -[DEBUG][time= 511] BusyTable_1: 37 is busy -[DEBUG][time= 511] BusyTable_1: 38 is busy -[DEBUG][time= 511] BusyTable_1: 39 is busy -[DEBUG][time= 511] BusyTable_1: 40 is busy -[DEBUG][time= 511] BusyTable_1: 41 is busy -[DEBUG][time= 511] BusyTable_1: 42 is busy -[DEBUG][time= 511] BusyTable_1: 43 is busy -[DEBUG][time= 511] BPUStage2: in:(1 1) pc=00800000c0 out:(1 1) pc=00800000a0 -[DEBUG][time= 511] BPUStage2: validLatch=1 pc=00800000a0 -[DEBUG][time= 511] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 511] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 511] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 511] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 511] BPUStage1: in:(1 1) pc=00800000e0 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 511] BPUStage1: outPred:(1) pc=0x00800000c0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 511] BPUStage3: [RAS]:pc=0x00800000a0, rasWritePosition= 1, rasWriteAddr=0x00800000a0 -[DEBUG][time= 511] BPUStage3: in:(1 1) pc=00800000a0 -[DEBUG][time= 511] BPUStage3: out:1 pc=0080000080 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000a0 -[DEBUG][time= 511] BPUStage3: flushS3=0 -[DEBUG][time= 511] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 511] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 511] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 511] JBTAC: read: pc=0x00800000e0, histXORAddr=0x00800000e2, bank=1, row= 14, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 511] JBTAC: read_resp: pc=0x00800000c0, bank=1, row= 12, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 511] Ibuffer: Enque: -[DEBUG][time= 511] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 511] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 511] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 511] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 511] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 511] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 511] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 511] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 511] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 511] BTB: read: pc=0x00800000e0, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 511] BTB: read_resp: pc=0x00800000c0, readIdx= 96------------------------------- -[DEBUG][time= 511] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 511] BTB: bankIdxInOrder:[DEBUG][time= 511] BTB: 0 [DEBUG][time= 511] BTB: 1 [DEBUG][time= 511] BTB: 2 [DEBUG][time= 511] BTB: 3 [DEBUG][time= 511] BTB: 4 [DEBUG][time= 511] BTB: 5 [DEBUG][time= 511] BTB: 6 [DEBUG][time= 511] BTB: 7 [DEBUG][time= 511] BTB: 8 [DEBUG][time= 511] BTB: 9 [DEBUG][time= 511] BTB: 10 [DEBUG][time= 511] BTB: 11 [DEBUG][time= 511] BTB: 12 [DEBUG][time= 511] BTB: 13 [DEBUG][time= 511] BTB: 14 [DEBUG][time= 511] BTB: 15 [DEBUG][time= 511] BTB: -[INFO ][time= 511] Rename: int walk: pc:008000002c ldst:12 old_pdest: 12 -[INFO ][time= 511] Rename: int walk: pc:0080000028 ldst:11 old_pdest: 11 -[INFO ][time= 511] Rename: int walk: pc:0080000024 ldst:10 old_pdest: 10 -[INFO ][time= 511] Rename: int walk: pc:0080000020 ldst:13 old_pdest: 13 -[INFO ][time= 511] Rename: int walk: pc:008000001c ldst:16 old_pdest: 16 -[INFO ][time= 511] Rename: int walk: pc:0080000018 ldst:15 old_pdest: 15 -[DEBUG][time= 511] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 0-th slot -[DEBUG][time= 511] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 1-th slot -[DEBUG][time= 511] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 2-th slot -[DEBUG][time= 511] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 3-th slot -[DEBUG][time= 511] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 4-th slot -[DEBUG][time= 511] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 5-th slot -[DEBUG][time= 511] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 511] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 511] Dispatch2: dispatch to iq index 6: 2 -[DEBUG][time= 511] Dispatch2: dispatch to iq index 7: 1 -[DEBUG][time= 511] Dispatch2: regfile 0 from 1 -[DEBUG][time= 511] Dispatch2: regfile 1 from 1 -[DEBUG][time= 511] Dispatch2: regfile 2 from 3 -[DEBUG][time= 511] Dispatch2: regfile 3 from 3 -[DEBUG][time= 511] Dispatch2: regfile 4 from 2 -[DEBUG][time= 511] Dispatch2: regfile 5 from 2 -[DEBUG][time= 511] Dispatch2: regfile 6 from 2 -[DEBUG][time= 511] Dispatch2: regfile 7 from 2 -[DEBUG][time= 511] Dispatch2: int regfile 0: addr 76, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 1: addr 98, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 4: addr 126, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 5: addr 96, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 6: addr 126, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 7: addr 96, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 8: addr 103, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 511] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 511] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 511] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 511] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 511] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 511] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 511] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 511] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 511] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 511] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 511] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 511] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 511] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 511] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 511] Roq: CSR block should only happen in s_idle -[DEBUG][time= 511] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 511] Roq: walked pc 008000002c wen 1 ldst 12 data 298f9cdf4a100ac9 -[INFO ][time= 511] Roq: walked pc 0080000028 wen 1 ldst 11 data 508a021319ca8f8d -[INFO ][time= 511] Roq: walked pc 0080000024 wen 1 ldst 10 data c8d7a40c7759fc62 -[INFO ][time= 511] Roq: walked pc 0080000020 wen 1 ldst 13 data a9578844c1f1600d -[INFO ][time= 511] Roq: walked pc 008000001c wen 1 ldst 16 data 266ba1e24d013855 -[INFO ][time= 511] Roq: walked pc 0080000018 wen 1 ldst 15 data 40f465d5529680fe -[INFO ][time= 511] Roq: rolling back: head 4 tail 2 walk 0:11 -[DEBUG][time= 511] Roq: head 0: 4 tail 0: 2 -[DEBUG][time= 511] Roq: --wwvvvvvvvv-------------------- -[DEBUG][time= 511] Roq: 0080000000 - 0080000004 - 0080000008 w 008000000c w -[DEBUG][time= 511] Roq: 0080000010 v 0080000014 v 0080000018 v 008000001c v -[DEBUG][time= 511] Roq: 0080000020 v 0080000024 v 0080000028 v 008000002c v -[DEBUG][time= 511] Roq: 0080000030 - 0080000034 - 0080000038 - 008000003c - -[DEBUG][time= 511] Roq: 0080000040 - 0080000044 - 0080000048 - 008000004c - -[DEBUG][time= 511] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 511] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 511] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 511] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 511] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 511] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 511] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 511] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483840------> s1 fire!!! -[DEBUG][time= 511] FakeCache: [Stage1_data] instr1:0x02f50463 instr2:0x40600737 -[DEBUG][time= 511] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 511] FakeCache: [Stage2_data] instr1:0x00300713 instr2:0x00e78623 -[DEBUG][time= 511] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 511] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 511] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 511] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000e0 || if1_pcUpdate:1 if1_pc:0x00800000c0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 511] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000c0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 511] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 511] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 511] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000a0 if3_npc:0x00800000c0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 511] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000080 if4_npc:0x00800000a0 -[DEBUG][time= 511] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000a0 -[DEBUG][time= 511] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] starPC:0x0080000080 GroupPC:0x0080000080n -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000008c -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 511] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 511] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 511] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 511] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 511] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 511] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 511] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 511] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 511] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 511] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 511] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 511] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 511] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 511] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 511] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 511] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 511] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 511] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 511] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 511] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 511] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 511] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 511] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 511] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 511] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 511] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 511] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 511] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 511] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 511] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 511] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 511] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 511] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 511] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 511] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 511] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 511] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 511] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 511] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 511] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 511] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 511] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 511] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 511] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 511] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 511] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 511] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 511] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 511] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 511] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 511] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 511] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 511] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 511] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 511] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 511] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 511] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 511] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 511] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 511] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 511] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 511] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 511] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 511] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 511] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 511] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 511] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 511] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 511] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 511] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 511] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 511] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 511] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 511] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 511] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 511] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 511] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 511] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 511] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 511] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 511] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 511] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 511] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 511] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 511] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 511] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 511] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 511] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 511] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 511] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 511] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 511] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 511] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 511] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 511] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 511] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 511] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 511] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 511] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 511] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 511] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 511] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 511] FreeList_1: head:0: 4 tail:1: 2 -[DEBUG][time= 511] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 511] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 1 -[DEBUG][time= 511] Brq: ---------------- -[DEBUG][time= 511] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 511] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 511] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 511] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 511] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 511] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 1 -[DEBUG][time= 512] Tage: req: pc=0x0080000100, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 512] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 512] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 512] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 512] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 512] RegfileReadPortGen: int 0: want 1001, deqChoice: 0 -[DEBUG][time= 512] RegfileReadPortGen: int 1: want 1001, deqChoice: 0 -[DEBUG][time= 512] RegfileReadPortGen: int 2: want 0001, deqChoice: 3 -[DEBUG][time= 512] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 512] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 512] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 512] RegfileReadPortGen: dynamicExuSrc 2: 2 0011 -[DEBUG][time= 512] BusyTable_1: writeback 37 -[DEBUG][time= 512] BusyTable_1: writeback 36 -[DEBUG][time= 512] BusyTable_1: 36 is busy -[DEBUG][time= 512] BusyTable_1: 37 is busy -[DEBUG][time= 512] BPUStage2: in:(1 1) pc=00800000e0 out:(1 1) pc=00800000c0 -[DEBUG][time= 512] BPUStage2: validLatch=1 pc=00800000c0 -[DEBUG][time= 512] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 512] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 512] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 512] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 512] BPUStage1: in:(1 1) pc=0080000100 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 512] BPUStage1: outPred:(1) pc=0x00800000e0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 512] BPUStage3: [RAS]:pc=0x00800000c0, rasWritePosition= 1, rasWriteAddr=0x00800000c0 -[DEBUG][time= 512] BPUStage3: in:(1 1) pc=00800000c0 -[DEBUG][time= 512] BPUStage3: out:1 pc=00800000a0 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000c0 -[DEBUG][time= 512] BPUStage3: flushS3=0 -[DEBUG][time= 512] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 512] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 512] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 512] JBTAC: read: pc=0x0080000100, histXORAddr=0x0080000102, bank=1, row= 16, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 512] JBTAC: read_resp: pc=0x00800000e0, bank=1, row= 14, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 512] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 512] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 512] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 512] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 512] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 512] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 512] Ibuffer: Enque: -[DEBUG][time= 512] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 512] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 512] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 512] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 512] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 512] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 512] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 512] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 512] Ibuffer: Deque: -[DEBUG][time= 512] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 512] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 512] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 512] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 512] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 512] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 512] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 512] BTB: read: pc=0x0080000100, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 512] BTB: read_resp: pc=0x00800000e0, readIdx=112------------------------------- -[DEBUG][time= 512] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 512] BTB: bankIdxInOrder:[DEBUG][time= 512] BTB: 0 [DEBUG][time= 512] BTB: 1 [DEBUG][time= 512] BTB: 2 [DEBUG][time= 512] BTB: 3 [DEBUG][time= 512] BTB: 4 [DEBUG][time= 512] BTB: 5 [DEBUG][time= 512] BTB: 6 [DEBUG][time= 512] BTB: 7 [DEBUG][time= 512] BTB: 8 [DEBUG][time= 512] BTB: 9 [DEBUG][time= 512] BTB: 10 [DEBUG][time= 512] BTB: 11 [DEBUG][time= 512] BTB: 12 [DEBUG][time= 512] BTB: 13 [DEBUG][time= 512] BTB: 14 [DEBUG][time= 512] BTB: 15 [DEBUG][time= 512] BTB: -[INFO ][time= 512] Rename: int walk: pc:0080000014 ldst:14 old_pdest: 36 -[INFO ][time= 512] Rename: int walk: pc:0080000010 ldst:14 old_pdest: 14 -[DEBUG][time= 512] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 0-th slot -[DEBUG][time= 512] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 1-th slot -[DEBUG][time= 512] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 2-th slot -[DEBUG][time= 512] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 3-th slot -[DEBUG][time= 512] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 4-th slot -[DEBUG][time= 512] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 5-th slot -[DEBUG][time= 512] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 512] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 512] Dispatch2: dispatch to iq index 6: 2 -[DEBUG][time= 512] Dispatch2: dispatch to iq index 7: 1 -[DEBUG][time= 512] Dispatch2: regfile 0 from 1 -[DEBUG][time= 512] Dispatch2: regfile 1 from 1 -[DEBUG][time= 512] Dispatch2: regfile 2 from 3 -[DEBUG][time= 512] Dispatch2: regfile 3 from 3 -[DEBUG][time= 512] Dispatch2: regfile 4 from 2 -[DEBUG][time= 512] Dispatch2: regfile 5 from 2 -[DEBUG][time= 512] Dispatch2: regfile 6 from 2 -[DEBUG][time= 512] Dispatch2: regfile 7 from 2 -[DEBUG][time= 512] Dispatch2: int regfile 0: addr 76, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 1: addr 98, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 4: addr 126, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 5: addr 96, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 6: addr 126, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 7: addr 96, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 8: addr 103, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 512] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 512] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 512] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 512] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 512] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 512] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 512] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 512] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 512] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 512] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 512] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 512] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 512] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 512] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 512] Roq: CSR block should only happen in s_idle -[DEBUG][time= 512] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 512] Roq: walked pc 0080000014 wen 1 ldst 14 data 100c8fc4e7143d9f -[INFO ][time= 512] Roq: walked pc 0080000010 wen 1 ldst 14 data 340f388fa7987fca -[INFO ][time= 512] Roq: rolling back: head 4 tail 2 walk 0: 5 -[DEBUG][time= 512] Roq: head 0: 4 tail 0: 2 -[DEBUG][time= 512] Roq: --wwvv-------------------------- -[DEBUG][time= 512] Roq: 0080000000 - 0080000004 - 0080000008 w 008000000c w -[DEBUG][time= 512] Roq: 0080000010 v 0080000014 v 0080000018 - 008000001c - -[DEBUG][time= 512] Roq: 0080000020 - 0080000024 - 0080000028 - 008000002c - -[DEBUG][time= 512] Roq: 0080000030 - 0080000034 - 0080000038 - 008000003c - -[DEBUG][time= 512] Roq: 0080000040 - 0080000044 - 0080000048 - 008000004c - -[DEBUG][time= 512] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 512] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 512] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 512] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 512] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 512] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 512] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 512] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483872------> s1 fire!!! -[DEBUG][time= 512] FakeCache: [Stage1_data] instr1:0x01010113 instr2:0x00008067 -[DEBUG][time= 512] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 512] FakeCache: [Stage2_data] instr1:0x02f50463 instr2:0x40600737 -[DEBUG][time= 512] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 512] FakeCache: [Stage3_data] instr1:0x00300713 instr2:0x00e78623 -[DEBUG][time= 512] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 512] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000100 || if1_pcUpdate:1 if1_pc:0x00800000e0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 512] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000e0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 512] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 512] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 512] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000c0 if3_npc:0x00800000e0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 512] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000a0 if4_npc:0x00800000c0 -[DEBUG][time= 512] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000c0 -[DEBUG][time= 512] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] starPC:0x00800000a0 GroupPC:0x00800000a0n -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 512] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[DEBUG][time= 512] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 512] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 512] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 512] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 512] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 512] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 512] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 512] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 512] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 512] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 512] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 512] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 512] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 512] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 512] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 512] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 512] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 512] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 512] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 512] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 512] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 512] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 512] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 512] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 512] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 512] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 512] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 512] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 512] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 512] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 512] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 512] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 512] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 512] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 512] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 512] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 512] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 512] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 512] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 512] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 512] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 512] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 512] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 512] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 512] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 512] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 512] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 512] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 512] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 512] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 512] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 512] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 512] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 512] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 512] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 512] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 512] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 512] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 512] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 512] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 512] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 512] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 512] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 512] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 512] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 512] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 512] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 512] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 512] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 512] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 512] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 512] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 512] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 512] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 512] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 512] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 512] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 512] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 512] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 512] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 512] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 512] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 512] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 512] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 512] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 512] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 512] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 512] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 512] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 512] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 512] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 512] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 512] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 512] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 512] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 512] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 512] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 512] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 512] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 512] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 512] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 512] FreeList_1: head:0: 4 tail:1: 2 -[DEBUG][time= 512] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 512] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 1 -[DEBUG][time= 512] Brq: ---------------- -[DEBUG][time= 512] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 1 -[DEBUG][time= 512] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 1 -[DEBUG][time= 512] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 1 -[DEBUG][time= 512] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 1 -[DEBUG][time= 512] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 1 -[DEBUG][time= 512] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 1 -[DEBUG][time= 513] Tage: req: pc=0x0080000120, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 513] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 513] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 513] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 513] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 513] RegfileReadPortGen: int 0: want 1001, deqChoice: 0 -[DEBUG][time= 513] RegfileReadPortGen: int 1: want 1001, deqChoice: 0 -[DEBUG][time= 513] RegfileReadPortGen: int 2: want 0001, deqChoice: 3 -[DEBUG][time= 513] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 513] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 513] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 513] RegfileReadPortGen: dynamicExuSrc 2: 2 0011 -[DEBUG][time= 513] BPUStage2: in:(1 1) pc=0080000100 out:(1 1) pc=00800000e0 -[DEBUG][time= 513] BPUStage2: validLatch=1 pc=00800000e0 -[DEBUG][time= 513] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 513] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 513] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 513] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 513] BPUStage1: in:(1 1) pc=0080000120 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 513] BPUStage1: outPred:(1) pc=0x0080000100, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 513] BPUStage3: [RAS]:pc=0x00800000e0, rasWritePosition= 1, rasWriteAddr=0x00800000e0 -[DEBUG][time= 513] BPUStage3: in:(1 1) pc=00800000e0 -[DEBUG][time= 513] BPUStage3: out:1 pc=00800000c0 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000e0 -[DEBUG][time= 513] BPUStage3: flushS3=0 -[DEBUG][time= 513] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 513] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 513] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 513] JBTAC: read: pc=0x0080000120, histXORAddr=0x0080000122, bank=1, row= 18, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 513] JBTAC: read_resp: pc=0x0080000100, bank=1, row= 16, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 513] Frontend: inst:ff010113 pc:0080000080 -[INFO ][time= 513] Frontend: inst:00113423 pc:0080000084 -[INFO ][time= 513] Frontend: inst:014000ef pc:0080000088 -[INFO ][time= 513] Frontend: inst:00001517 pc:008000008c -[INFO ][time= 513] Frontend: inst:c4950513 pc:0080000090 -[INFO ][time= 513] Frontend: inst:f7dff0ef pc:0080000094 -[DEBUG][time= 513] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 513] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000084 instr:00113423 -[DEBUG][time= 513] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000088 instr:014000ef -[DEBUG][time= 513] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 513] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000090 instr:c4950513 -[DEBUG][time= 513] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000094 instr:f7dff0ef -[DEBUG][time= 513] Ibuffer: Enque: -[DEBUG][time= 513] Ibuffer: 00000010111101010000010001100011 -[DEBUG][time= 513] Ibuffer: 01000000011000000000011100110111 -[DEBUG][time= 513] Ibuffer: 00000000100001110100011110000011 -[DEBUG][time= 513] Ibuffer: 00000000100001111111011110010011 -[DEBUG][time= 513] Ibuffer: 11111110000001111001110011100011 -[DEBUG][time= 513] Ibuffer: 00000000100001110000001000100011 -[DEBUG][time= 513] Ibuffer: 00000000100000010011000010000011 -[DEBUG][time= 513] Ibuffer: 00000000000000010011010000000011 -[DEBUG][time= 513] Ibuffer: Deque: -[DEBUG][time= 513] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=1 -[DEBUG][time= 513] Ibuffer: 00000000000100010011010000100011 PC=0080000084 v=1 r=1 -[DEBUG][time= 513] Ibuffer: 00000001010000000000000011101111 PC=0080000088 v=1 r=1 -[DEBUG][time= 513] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=1 -[DEBUG][time= 513] Ibuffer: 11000100100101010000010100010011 PC=0080000090 v=1 r=1 -[DEBUG][time= 513] Ibuffer: 11110111110111111111000011101111 PC=0080000094 v=1 r=1 -[DEBUG][time= 513] Ibuffer: last_head_ptr= 0 last_tail_ptr= 32 -[DEBUG][time= 513] BTB: read: pc=0x0080000120, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 513] BTB: read_resp: pc=0x0080000100, readIdx=128------------------------------- -[DEBUG][time= 513] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 513] BTB: bankIdxInOrder:[DEBUG][time= 513] BTB: 0 [DEBUG][time= 513] BTB: 1 [DEBUG][time= 513] BTB: 2 [DEBUG][time= 513] BTB: 3 [DEBUG][time= 513] BTB: 4 [DEBUG][time= 513] BTB: 5 [DEBUG][time= 513] BTB: 6 [DEBUG][time= 513] BTB: 7 [DEBUG][time= 513] BTB: 8 [DEBUG][time= 513] BTB: 9 [DEBUG][time= 513] BTB: 10 [DEBUG][time= 513] BTB: 11 [DEBUG][time= 513] BTB: 12 [DEBUG][time= 513] BTB: 13 [DEBUG][time= 513] BTB: 14 [DEBUG][time= 513] BTB: 15 [DEBUG][time= 513] BTB: -[INFO ][time= 513] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 34 lsrc2: 1 -> psrc2: 35 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 513] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 10 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 11 out v:0 r:1 -[INFO ][time= 513] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 10 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 10 out v:0 r:1 -[INFO ][time= 513] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 10 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 513] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 10 out v:0 r:1 -[INFO ][time= 513] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 10 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 10 out v:0 r:1 -[INFO ][time= 513] Rename: int rat arch: ldest: 2 pdest: 34 -[INFO ][time= 513] Rename: int rat arch: ldest: 1 pdest: 35 -[DEBUG][time= 513] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 0-th slot -[DEBUG][time= 513] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 1-th slot -[DEBUG][time= 513] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 2-th slot -[DEBUG][time= 513] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 3-th slot -[DEBUG][time= 513] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 4-th slot -[DEBUG][time= 513] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 5-th slot -[DEBUG][time= 513] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 513] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 513] Dispatch2: dispatch to iq index 6: 2 -[DEBUG][time= 513] Dispatch2: dispatch to iq index 7: 1 -[DEBUG][time= 513] Dispatch2: regfile 0 from 1 -[DEBUG][time= 513] Dispatch2: regfile 1 from 1 -[DEBUG][time= 513] Dispatch2: regfile 2 from 3 -[DEBUG][time= 513] Dispatch2: regfile 3 from 3 -[DEBUG][time= 513] Dispatch2: regfile 4 from 2 -[DEBUG][time= 513] Dispatch2: regfile 5 from 2 -[DEBUG][time= 513] Dispatch2: regfile 6 from 2 -[DEBUG][time= 513] Dispatch2: regfile 7 from 2 -[DEBUG][time= 513] Dispatch2: int regfile 0: addr 76, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 1: addr 98, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 4: addr 126, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 5: addr 96, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 6: addr 126, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 7: addr 96, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 8: addr 103, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 513] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 513] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 513] DecodeBuffer: in v:1 r:1 pc=0080000080 -[INFO ][time= 513] DecodeBuffer: in v:1 r:1 pc=0080000084 -[INFO ][time= 513] DecodeBuffer: in v:1 r:1 pc=0080000088 -[INFO ][time= 513] DecodeBuffer: in v:1 r:1 pc=008000008c -[INFO ][time= 513] DecodeBuffer: in v:1 r:1 pc=0080000090 -[INFO ][time= 513] DecodeBuffer: in v:1 r:1 pc=0080000094 -[INFO ][time= 513] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 513] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 513] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 513] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 513] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 513] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 513] Roq: CSR block should only happen in s_idle -[DEBUG][time= 513] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 513] Roq: retired pc 0080000008 wen 1 ldst 2 data 0000000080009000 -[INFO ][time= 513] Roq: retired pc 008000000c wen 1 ldst 1 data 0000000080000010 -[INFO ][time= 513] Roq: retired 2 insts -[DEBUG][time= 513] Roq: head 0: 4 tail 0: 2 -[DEBUG][time= 513] Roq: --ww---------------------------- -[DEBUG][time= 513] Roq: 0080000000 - 0080000004 - 0080000008 w 008000000c w -[DEBUG][time= 513] Roq: 0080000010 - 0080000014 - 0080000018 - 008000001c - -[DEBUG][time= 513] Roq: 0080000020 - 0080000024 - 0080000028 - 008000002c - -[DEBUG][time= 513] Roq: 0080000030 - 0080000034 - 0080000038 - 008000003c - -[DEBUG][time= 513] Roq: 0080000040 - 0080000044 - 0080000048 - 008000004c - -[DEBUG][time= 513] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 513] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 513] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 513] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 513] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 513] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 513] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 513] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483904------> s1 fire!!! -[DEBUG][time= 513] FakeCache: [Stage1_data] instr1:0x00913c23 instr2:0x01213823 -[DEBUG][time= 513] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 513] FakeCache: [Stage2_data] instr1:0x01010113 instr2:0x00008067 -[DEBUG][time= 513] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 513] FakeCache: [Stage3_data] instr1:0x02f50463 instr2:0x40600737 -[DEBUG][time= 513] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 513] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000120 || if1_pcUpdate:1 if1_pc:0x0080000100 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 513] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000100 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 513] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 513] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 513] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000e0 if3_npc:0x0080000100 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 513] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000c0 if4_npc:0x00800000e0 -[DEBUG][time= 513] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000e0 -[DEBUG][time= 513] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] starPC:0x00800000c0 GroupPC:0x00800000c0n -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction 02f50463 pnpc:0x00800000c4 -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction 40600737 pnpc:0x00800000c8 -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction 00874783 pnpc:0x00800000cc -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction 0087f793 pnpc:0x00800000d0 -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction fe079ce3 pnpc:0x00800000d4 -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction 00870223 pnpc:0x00800000d8 -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction 00813083 pnpc:0x00800000dc -[DEBUG][time= 513] IFU: [IFU-Out-FetchPacket] instruction 00013403 pnpc:0x00800000e0 -[DEBUG][time= 513] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 513] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 513] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 513] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 513] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 513] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 513] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 513] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 513] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 513] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 513] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 513] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 513] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 513] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 513] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 513] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 513] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 513] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 513] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 513] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 513] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 513] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 513] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 513] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 513] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 513] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 513] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 513] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 513] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 513] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 513] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 513] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 513] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 513] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 513] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 513] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 513] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 513] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 513] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 513] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 513] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 513] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 513] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 513] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 513] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 513] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 513] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 513] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 513] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 513] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 513] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 513] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 513] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 513] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 513] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 513] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 513] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 513] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 513] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 513] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 513] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 513] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 513] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 513] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 513] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 513] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 513] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 513] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 513] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 513] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 513] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 513] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 513] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 513] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 513] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 513] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 513] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 513] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 513] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 513] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 513] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 513] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 513] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 513] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 513] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 513] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 513] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 513] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 513] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 513] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 513] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 513] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 513] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 513] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 513] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 513] FreeList_1: dealloc preg: 33 -[DEBUG][time= 513] FreeList_1: dealloc preg: 1 -[DEBUG][time= 513] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 513] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 513] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 513] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 513] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 513] FreeList_1: req:0 canAlloc:1 pdest: 36 headNext:0: 4 -[DEBUG][time= 513] FreeList_1: head:0: 4 tail:1: 2 -[DEBUG][time= 513] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 513] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 1 -[DEBUG][time= 513] Brq: ---------------- -[DEBUG][time= 513] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 1 -[DEBUG][time= 513] Brq: enq v:0 rdy:1 pc:0080000084 brTag:f:0 v: 1 -[DEBUG][time= 513] Brq: enq v:1 rdy:1 pc:0080000088 brTag:f:0 v: 1 -[DEBUG][time= 513] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 2 -[DEBUG][time= 513] Brq: enq v:0 rdy:1 pc:0080000090 brTag:f:0 v: 2 -[DEBUG][time= 513] Brq: enq v:1 rdy:1 pc:0080000094 brTag:f:0 v: 2 -[DEBUG][time= 514] Tage: req: pc=0x0080000140, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 514] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 514] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 514] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 514] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 514] RegfileReadPortGen: int 0: want 1001, deqChoice: 0 -[DEBUG][time= 514] RegfileReadPortGen: int 1: want 1001, deqChoice: 0 -[DEBUG][time= 514] RegfileReadPortGen: int 2: want 0001, deqChoice: 3 -[DEBUG][time= 514] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 514] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 514] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 514] RegfileReadPortGen: dynamicExuSrc 2: 2 0011 -[DEBUG][time= 514] BusyTable_1: Allocate 36 -[DEBUG][time= 514] BusyTable_1: Allocate 37 -[DEBUG][time= 514] BusyTable_1: Allocate 38 -[DEBUG][time= 514] BusyTable_1: Allocate 39 -[DEBUG][time= 514] BusyTable_1: Allocate 40 -[DEBUG][time= 514] BPUStage2: in:(1 1) pc=0080000120 out:(1 1) pc=0080000100 -[DEBUG][time= 514] BPUStage2: validLatch=1 pc=0080000100 -[DEBUG][time= 514] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 514] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 514] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 514] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 514] BPUStage1: in:(1 1) pc=0080000140 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 514] BPUStage1: outPred:(1) pc=0x0080000120, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 514] BPUStage3: [RAS]:pc=0x0080000100, rasWritePosition= 1, rasWriteAddr=0x0080000100 -[DEBUG][time= 514] BPUStage3: in:(1 1) pc=0080000100 -[DEBUG][time= 514] BPUStage3: out:1 pc=00800000e0 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000100 -[DEBUG][time= 514] BPUStage3: flushS3=0 -[DEBUG][time= 514] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 514] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=1 -[DEBUG][time= 514] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 514] JBTAC: read: pc=0x0080000140, histXORAddr=0x0080000142, bank=1, row= 20, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 514] JBTAC: read_resp: pc=0x0080000120, bank=1, row= 18, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 514] Frontend: inst:fc5ff0ef pc:0080000098 -[INFO ][time= 514] Frontend: inst:406007b7 pc:008000009c -[INFO ][time= 514] Frontend: inst:00300713 pc:00800000a0 -[INFO ][time= 514] Frontend: inst:00e78623 pc:00800000a4 -[INFO ][time= 514] Frontend: inst:00008067 pc:00800000a8 -[INFO ][time= 514] Frontend: inst:ff010113 pc:00800000ac -[DEBUG][time= 514] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000098 instr:fc5ff0ef -[DEBUG][time= 514] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 514] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a0 instr:00300713 -[DEBUG][time= 514] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a4 instr:00e78623 -[DEBUG][time= 514] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000a8 instr:00008067 -[DEBUG][time= 514] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000ac instr:ff010113 -[DEBUG][time= 514] Ibuffer: Enque: -[DEBUG][time= 514] Ibuffer: 00000001000000010000000100010011 -[DEBUG][time= 514] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 514] Ibuffer: 00000000110100000000010100010011 -[DEBUG][time= 514] Ibuffer: 11111100000111111111000011101111 -[DEBUG][time= 514] Ibuffer: 11111101010111111111000001101111 -[DEBUG][time= 514] Ibuffer: 00001000000001011000110001100011 -[DEBUG][time= 514] Ibuffer: 11111101000000010000000100010011 -[DEBUG][time= 514] Ibuffer: 00000010100000010011000000100011 -[DEBUG][time= 514] Ibuffer: Deque: -[DEBUG][time= 514] Ibuffer: 11111100010111111111000011101111 PC=0080000098 v=1 r=1 -[DEBUG][time= 514] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=1 -[DEBUG][time= 514] Ibuffer: 00000000001100000000011100010011 PC=00800000a0 v=1 r=1 -[DEBUG][time= 514] Ibuffer: 00000000111001111000011000100011 PC=00800000a4 v=1 r=1 -[DEBUG][time= 514] Ibuffer: 00000000000000001000000001100111 PC=00800000a8 v=1 r=1 -[DEBUG][time= 514] Ibuffer: 11111111000000010000000100010011 PC=00800000ac v=1 r=1 -[DEBUG][time= 514] Ibuffer: last_head_ptr= 12 last_tail_ptr= 48 -[DEBUG][time= 514] BTB: read: pc=0x0080000140, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 514] BTB: read_resp: pc=0x0080000120, readIdx=144------------------------------- -[DEBUG][time= 514] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 514] BTB: bankIdxInOrder:[DEBUG][time= 514] BTB: 0 [DEBUG][time= 514] BTB: 1 [DEBUG][time= 514] BTB: 2 [DEBUG][time= 514] BTB: 3 [DEBUG][time= 514] BTB: 4 [DEBUG][time= 514] BTB: 5 [DEBUG][time= 514] BTB: 6 [DEBUG][time= 514] BTB: 7 [DEBUG][time= 514] BTB: 8 [DEBUG][time= 514] BTB: 9 [DEBUG][time= 514] BTB: 10 [DEBUG][time= 514] BTB: 11 [DEBUG][time= 514] BTB: 12 [DEBUG][time= 514] BTB: 13 [DEBUG][time= 514] BTB: 14 [DEBUG][time= 514] BTB: 15 [DEBUG][time= 514] BTB: -[INFO ][time= 514] Rename: pc:0080000080 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 34 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 36 old_pdest: 34 out v:1 r:1 -[INFO ][time= 514] Rename: pc:0080000084 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 35 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 514] Rename: pc:0080000088 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 37 old_pdest: 35 out v:1 r:1 -[INFO ][time= 514] Rename: pc:008000008c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 38 old_pdest: 10 out v:1 r:1 -[INFO ][time= 514] Rename: pc:0080000090 in v:1 in rdy:1 lsrc1:10 -> psrc1: 38 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 39 old_pdest: 38 out v:1 r:1 -[INFO ][time= 514] Rename: pc:0080000094 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 40 old_pdest: 37 out v:1 r:1 -[DEBUG][time= 514] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 0-th slot -[DEBUG][time= 514] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 1-th slot -[DEBUG][time= 514] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 2-th slot -[DEBUG][time= 514] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 3-th slot -[DEBUG][time= 514] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 4-th slot -[DEBUG][time= 514] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 5-th slot -[DEBUG][time= 514] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 514] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 514] Dispatch2: dispatch to iq index 6: 2 -[DEBUG][time= 514] Dispatch2: dispatch to iq index 7: 1 -[DEBUG][time= 514] Dispatch2: regfile 0 from 1 -[DEBUG][time= 514] Dispatch2: regfile 1 from 1 -[DEBUG][time= 514] Dispatch2: regfile 2 from 3 -[DEBUG][time= 514] Dispatch2: regfile 3 from 3 -[DEBUG][time= 514] Dispatch2: regfile 4 from 2 -[DEBUG][time= 514] Dispatch2: regfile 5 from 2 -[DEBUG][time= 514] Dispatch2: regfile 6 from 2 -[DEBUG][time= 514] Dispatch2: regfile 7 from 2 -[DEBUG][time= 514] Dispatch2: int regfile 0: addr 76, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 1: addr 98, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 4: addr 126, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 5: addr 96, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 6: addr 126, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 7: addr 96, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 8: addr 103, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 514] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 514] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 514] DecodeBuffer: in v:1 r:1 pc=0080000098 -[INFO ][time= 514] DecodeBuffer: in v:1 r:1 pc=008000009c -[INFO ][time= 514] DecodeBuffer: in v:1 r:1 pc=00800000a0 -[INFO ][time= 514] DecodeBuffer: in v:1 r:1 pc=00800000a4 -[INFO ][time= 514] DecodeBuffer: in v:1 r:1 pc=00800000a8 -[INFO ][time= 514] DecodeBuffer: in v:1 r:1 pc=00800000ac -[INFO ][time= 514] DecodeBuffer: out v:1 r:1 pc=0080000080 -[INFO ][time= 514] DecodeBuffer: out v:1 r:1 pc=0080000084 -[INFO ][time= 514] DecodeBuffer: out v:1 r:1 pc=0080000088 -[INFO ][time= 514] DecodeBuffer: out v:1 r:1 pc=008000008c -[INFO ][time= 514] DecodeBuffer: out v:1 r:1 pc=0080000090 -[INFO ][time= 514] DecodeBuffer: out v:1 r:1 pc=0080000094 -[ERROR][time= 514] Roq: CSR block should only happen in s_idle -[DEBUG][time= 514] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 514] Roq: head 0: 4 tail 0: 4 -[DEBUG][time= 514] Roq: -------------------------------- -[DEBUG][time= 514] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 514] Roq: 0080000010 - 0080000014 - 0080000018 - 008000001c - -[DEBUG][time= 514] Roq: 0080000020 - 0080000024 - 0080000028 - 008000002c - -[DEBUG][time= 514] Roq: 0080000030 - 0080000034 - 0080000038 - 008000003c - -[DEBUG][time= 514] Roq: 0080000040 - 0080000044 - 0080000048 - 008000004c - -[DEBUG][time= 514] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 514] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 514] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 514] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 514] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 514] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 514] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 514] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483936------> s1 fire!!! -[DEBUG][time= 514] FakeCache: [Stage1_data] instr1:0x0280006f instr2:0x01843703 -[DEBUG][time= 514] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 514] FakeCache: [Stage2_data] instr1:0x00913c23 instr2:0x01213823 -[DEBUG][time= 514] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 514] FakeCache: [Stage3_data] instr1:0x01010113 instr2:0x00008067 -[DEBUG][time= 514] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 514] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000140 || if1_pcUpdate:1 if1_pc:0x0080000120 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 514] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000120 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 514] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 514] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 514] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000100 if3_npc:0x0080000120 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 514] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000e0 if4_npc:0x0080000100 -[DEBUG][time= 514] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000100 -[DEBUG][time= 514] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] starPC:0x00800000e0 GroupPC:0x00800000e0n -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction 01010113 pnpc:0x00800000e4 -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000e8 -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction 00d00513 pnpc:0x00800000ec -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction fc1ff0ef pnpc:0x00800000f0 -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction fd5ff06f pnpc:0x00800000f4 -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction 08058c63 pnpc:0x00800000f8 -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction fd010113 pnpc:0x00800000fc -[DEBUG][time= 514] IFU: [IFU-Out-FetchPacket] instruction 02813023 pnpc:0x0080000100 -[DEBUG][time= 514] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 514] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 514] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 514] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 514] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 514] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 514] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 514] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 514] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 514] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 514] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 514] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 514] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 514] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 514] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 514] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 514] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 514] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 514] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 514] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 514] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 514] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 514] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 514] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 514] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 514] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 514] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 514] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 514] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 514] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 514] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 514] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 514] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 514] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 514] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 514] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 514] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 514] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 514] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 514] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 514] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 514] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 514] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 514] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 514] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 514] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 514] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 514] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 514] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 514] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 514] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 514] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 514] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 514] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 514] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 514] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 514] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 514] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 514] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 514] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 514] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 514] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 514] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 514] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 514] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 514] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 514] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 514] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 514] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 514] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 514] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 514] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 514] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 514] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 514] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 514] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 514] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 514] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 514] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 514] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 514] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 514] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 514] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 514] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 514] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 514] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 514] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 514] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 514] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 514] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 514] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 514] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 514] FreeList: do checkPt at BrqIdx= 1 headPtr:0: 0 -[DEBUG][time= 514] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 514] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 514] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 514] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 514] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 514] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 514] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 514] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 514] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 5 -[DEBUG][time= 514] FreeList_1: req:1 canAlloc:1 pdest: 36 headNext:0: 5 -[DEBUG][time= 514] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 5 -[DEBUG][time= 514] FreeList_1: req:0 canAlloc:1 pdest: 37 headNext:0: 5 -[DEBUG][time= 514] FreeList_1: do checkPt at BrqIdx= 1 headPtr:0: 6 -[DEBUG][time= 514] FreeList_1: req:1 canAlloc:1 pdest: 37 headNext:0: 6 -[DEBUG][time= 514] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 7 -[DEBUG][time= 514] FreeList_1: req:1 canAlloc:1 pdest: 38 headNext:0: 7 -[DEBUG][time= 514] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 8 -[DEBUG][time= 514] FreeList_1: req:1 canAlloc:1 pdest: 39 headNext:0: 8 -[DEBUG][time= 514] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 9 -[DEBUG][time= 514] FreeList_1: req:1 canAlloc:1 pdest: 40 headNext:0: 9 -[DEBUG][time= 514] FreeList_1: head:0: 4 tail:1: 4 -[DEBUG][time= 514] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 514] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 3 -[DEBUG][time= 514] Brq: ---------------- -[DEBUG][time= 514] Brq: enq v:1 rdy:1 pc:0080000098 brTag:f:0 v: 3 -[DEBUG][time= 514] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 4 -[DEBUG][time= 514] Brq: enq v:0 rdy:1 pc:00800000a0 brTag:f:0 v: 4 -[DEBUG][time= 514] Brq: enq v:0 rdy:1 pc:00800000a4 brTag:f:0 v: 4 -[DEBUG][time= 514] Brq: enq v:1 rdy:1 pc:00800000a8 brTag:f:0 v: 4 -[DEBUG][time= 514] Brq: enq v:0 rdy:1 pc:00800000ac brTag:f:0 v: 5 -[DEBUG][time= 515] Tage: req: pc=0x0080000160, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 515] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 515] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 515] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 515] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 515] RegfileReadPortGen: int 0: want 1001, deqChoice: 0 -[DEBUG][time= 515] RegfileReadPortGen: int 1: want 1001, deqChoice: 0 -[DEBUG][time= 515] RegfileReadPortGen: int 2: want 0001, deqChoice: 3 -[DEBUG][time= 515] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 515] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 515] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 515] RegfileReadPortGen: dynamicExuSrc 2: 2 0011 -[DEBUG][time= 515] BusyTable_1: Allocate 41 -[DEBUG][time= 515] BusyTable_1: Allocate 42 -[DEBUG][time= 515] BusyTable_1: Allocate 43 -[DEBUG][time= 515] BusyTable_1: Allocate 44 -[DEBUG][time= 515] BusyTable_1: 36 is busy -[DEBUG][time= 515] BusyTable_1: 37 is busy -[DEBUG][time= 515] BusyTable_1: 38 is busy -[DEBUG][time= 515] BusyTable_1: 39 is busy -[DEBUG][time= 515] BusyTable_1: 40 is busy -[DEBUG][time= 515] BPUStage2: in:(1 1) pc=0080000140 out:(1 1) pc=0080000120 -[DEBUG][time= 515] BPUStage2: validLatch=1 pc=0080000120 -[DEBUG][time= 515] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 515] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 515] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 515] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 515] BPUStage1: in:(1 1) pc=0080000160 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 515] BPUStage1: outPred:(1) pc=0x0080000140, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 515] BPUStage3: [RAS]:pc=0x0080000120, rasWritePosition= 1, rasWriteAddr=0x0080000120 -[DEBUG][time= 515] BPUStage3: in:(1 1) pc=0080000120 -[DEBUG][time= 515] BPUStage3: out:1 pc=0080000100 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000120 -[DEBUG][time= 515] BPUStage3: flushS3=0 -[DEBUG][time= 515] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 515] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 515] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 515] JBTAC: read: pc=0x0080000160, histXORAddr=0x0080000162, bank=1, row= 22, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 515] JBTAC: read_resp: pc=0x0080000140, bank=1, row= 20, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 515] Frontend: inst:00813023 pc:00800000b0 -[INFO ][time= 515] Frontend: inst:00113423 pc:00800000b4 -[INFO ][time= 515] Frontend: inst:00a00793 pc:00800000b8 -[INFO ][time= 515] Frontend: inst:00050413 pc:00800000bc -[INFO ][time= 515] Frontend: inst:02f50463 pc:00800000c0 -[INFO ][time= 515] Frontend: inst:40600737 pc:00800000c4 -[DEBUG][time= 515] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b0 instr:00813023 -[DEBUG][time= 515] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 515] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b8 instr:00a00793 -[DEBUG][time= 515] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000bc instr:00050413 -[DEBUG][time= 515] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000c0 instr:02f50463 -[DEBUG][time= 515] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000c4 instr:40600737 -[DEBUG][time= 515] Ibuffer: Enque: -[DEBUG][time= 515] Ibuffer: 00000000100100010011110000100011 -[DEBUG][time= 515] Ibuffer: 00000001001000010011100000100011 -[DEBUG][time= 515] Ibuffer: 00000001001100010011010000100011 -[DEBUG][time= 515] Ibuffer: 00000010000100010011010000100011 -[DEBUG][time= 515] Ibuffer: 11111111111101011000010010011011 -[DEBUG][time= 515] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 515] Ibuffer: 00000000000001010000100100010011 -[DEBUG][time= 515] Ibuffer: 11111111111100000000100110010011 -[DEBUG][time= 515] Ibuffer: Deque: -[DEBUG][time= 515] Ibuffer: 00000000100000010011000000100011 PC=00800000b0 v=1 r=1 -[DEBUG][time= 515] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=1 -[DEBUG][time= 515] Ibuffer: 00000000101000000000011110010011 PC=00800000b8 v=1 r=1 -[DEBUG][time= 515] Ibuffer: 00000000000001010000010000010011 PC=00800000bc v=1 r=1 -[DEBUG][time= 515] Ibuffer: 00000010111101010000010001100011 PC=00800000c0 v=1 r=1 -[DEBUG][time= 515] Ibuffer: 01000000011000000000011100110111 PC=00800000c4 v=1 r=1 -[DEBUG][time= 515] Ibuffer: last_head_ptr= 24 last_tail_ptr= 64 -[DEBUG][time= 515] BTB: read: pc=0x0080000160, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 515] BTB: read_resp: pc=0x0080000140, readIdx=160------------------------------- -[DEBUG][time= 515] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 515] BTB: bankIdxInOrder:[DEBUG][time= 515] BTB: 0 [DEBUG][time= 515] BTB: 1 [DEBUG][time= 515] BTB: 2 [DEBUG][time= 515] BTB: 3 [DEBUG][time= 515] BTB: 4 [DEBUG][time= 515] BTB: 5 [DEBUG][time= 515] BTB: 6 [DEBUG][time= 515] BTB: 7 [DEBUG][time= 515] BTB: 8 [DEBUG][time= 515] BTB: 9 [DEBUG][time= 515] BTB: 10 [DEBUG][time= 515] BTB: 11 [DEBUG][time= 515] BTB: 12 [DEBUG][time= 515] BTB: 13 [DEBUG][time= 515] BTB: 14 [DEBUG][time= 515] BTB: 15 [DEBUG][time= 515] BTB: -[INFO ][time= 515] Rename: pc:0080000098 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 41 old_pdest: 40 out v:1 r:1 -[INFO ][time= 515] Rename: pc:008000009c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 42 old_pdest: 15 out v:1 r:1 -[INFO ][time= 515] Rename: pc:00800000a0 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 43 old_pdest: 14 out v:1 r:1 -[INFO ][time= 515] Rename: pc:00800000a4 in v:1 in rdy:1 lsrc1:15 -> psrc1: 42 lsrc2:14 -> psrc2: 43 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 515] Rename: pc:00800000a8 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 41 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 515] Rename: pc:00800000ac in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 44 old_pdest: 36 out v:1 r:1 -[INFO ][time= 515] Dispatch1: pc 0x0080000080 accepted by queue 1 0 0 -[INFO ][time= 515] Dispatch1: pc 0x0080000084 accepted by queue 0 0 1 -[INFO ][time= 515] Dispatch1: pc 0x0080000088 accepted by queue 1 0 0 -[INFO ][time= 515] Dispatch1: pc 0x008000008c accepted by queue 1 0 0 -[INFO ][time= 515] Dispatch1: pc 0x0080000090 accepted by queue 1 0 0 -[INFO ][time= 515] Dispatch1: pc 0x0080000094 accepted by queue 1 0 0 -[DEBUG][time= 515] Dispatch1: pc 0x0080000080 receives nroq 4 -[DEBUG][time= 515] Dispatch1: v:1 r:1 pc 0x0080000080 of type 0011 is in 0-th slot -[DEBUG][time= 515] Dispatch1: pc 0x0080000084 receives nroq 5 -[DEBUG][time= 515] Dispatch1: v:1 r:1 pc 0x0080000084 of type 1101 is in 1-th slot -[DEBUG][time= 515] Dispatch1: pc 0x0080000088 receives nroq 6 -[DEBUG][time= 515] Dispatch1: v:1 r:1 pc 0x0080000088 of type 0000 is in 2-th slot -[DEBUG][time= 515] Dispatch1: pc 0x008000008c receives nroq 7 -[DEBUG][time= 515] Dispatch1: v:1 r:1 pc 0x008000008c of type 0011 is in 3-th slot -[DEBUG][time= 515] Dispatch1: pc 0x0080000090 receives nroq 8 -[DEBUG][time= 515] Dispatch1: v:1 r:1 pc 0x0080000090 of type 0011 is in 4-th slot -[DEBUG][time= 515] Dispatch1: pc 0x0080000094 receives nroq 9 -[DEBUG][time= 515] Dispatch1: v:1 r:1 pc 0x0080000094 of type 0000 is in 5-th slot -[DEBUG][time= 515] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 515] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 515] Dispatch2: dispatch to iq index 6: 2 -[DEBUG][time= 515] Dispatch2: dispatch to iq index 7: 1 -[DEBUG][time= 515] Dispatch2: regfile 0 from 1 -[DEBUG][time= 515] Dispatch2: regfile 1 from 1 -[DEBUG][time= 515] Dispatch2: regfile 2 from 3 -[DEBUG][time= 515] Dispatch2: regfile 3 from 3 -[DEBUG][time= 515] Dispatch2: regfile 4 from 2 -[DEBUG][time= 515] Dispatch2: regfile 5 from 2 -[DEBUG][time= 515] Dispatch2: regfile 6 from 2 -[DEBUG][time= 515] Dispatch2: regfile 7 from 2 -[DEBUG][time= 515] Dispatch2: int regfile 0: addr 76, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 1: addr 98, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 4: addr 126, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 5: addr 96, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 6: addr 126, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 7: addr 96, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 8: addr 103, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 515] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 515] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 515] DecodeBuffer: in v:1 r:1 pc=00800000b0 -[INFO ][time= 515] DecodeBuffer: in v:1 r:1 pc=00800000b4 -[INFO ][time= 515] DecodeBuffer: in v:1 r:1 pc=00800000b8 -[INFO ][time= 515] DecodeBuffer: in v:1 r:1 pc=00800000bc -[INFO ][time= 515] DecodeBuffer: in v:1 r:1 pc=00800000c0 -[INFO ][time= 515] DecodeBuffer: in v:1 r:1 pc=00800000c4 -[INFO ][time= 515] DecodeBuffer: out v:1 r:1 pc=0080000098 -[INFO ][time= 515] DecodeBuffer: out v:1 r:1 pc=008000009c -[INFO ][time= 515] DecodeBuffer: out v:1 r:1 pc=00800000a0 -[INFO ][time= 515] DecodeBuffer: out v:1 r:1 pc=00800000a4 -[INFO ][time= 515] DecodeBuffer: out v:1 r:1 pc=00800000a8 -[INFO ][time= 515] DecodeBuffer: out v:1 r:1 pc=00800000ac -[ERROR][time= 515] Roq: CSR block should only happen in s_idle -[DEBUG][time= 515] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 515] Roq: dispatched 6 insts -[DEBUG][time= 515] Roq: head 0: 4 tail 0: 4 -[DEBUG][time= 515] Roq: -------------------------------- -[DEBUG][time= 515] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 515] Roq: 0080000010 - 0080000014 - 0080000018 - 008000001c - -[DEBUG][time= 515] Roq: 0080000020 - 0080000024 - 0080000028 - 008000002c - -[DEBUG][time= 515] Roq: 0080000030 - 0080000034 - 0080000038 - 008000003c - -[DEBUG][time= 515] Roq: 0080000040 - 0080000044 - 0080000048 - 008000004c - -[DEBUG][time= 515] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 515] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 515] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 515] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 5 -> 6) -[DEBUG][time= 515] DispatchQueue: IntDpQ: num_enq = 5, tail = (13 -> 2) -[DEBUG][time= 515] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 515] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 515] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 515] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 515] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483968------> s1 fire!!! -[DEBUG][time= 515] FakeCache: [Stage1_data] instr1:0x02f43023 instr2:0x03348663 -[DEBUG][time= 515] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 515] FakeCache: [Stage2_data] instr1:0x0280006f instr2:0x01843703 -[DEBUG][time= 515] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 515] FakeCache: [Stage3_data] instr1:0x00913c23 instr2:0x01213823 -[DEBUG][time= 515] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 515] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000160 || if1_pcUpdate:1 if1_pc:0x0080000140 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 515] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000140 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 515] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 515] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 515] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000120 if3_npc:0x0080000140 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 515] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000100 if4_npc:0x0080000120 -[DEBUG][time= 515] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000120 -[DEBUG][time= 515] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] starPC:0x0080000100 GroupPC:0x0080000100n -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction 00913c23 pnpc:0x0080000104 -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction 01213823 pnpc:0x0080000108 -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction 01313423 pnpc:0x008000010c -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction 02113423 pnpc:0x0080000110 -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction fff5849b pnpc:0x0080000114 -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x0080000118 -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction 00050913 pnpc:0x008000011c -[DEBUG][time= 515] IFU: [IFU-Out-FetchPacket] instruction fff00993 pnpc:0x0080000120 -[DEBUG][time= 515] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 515] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 515] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 515] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 515] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 515] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 515] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 515] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 515] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 515] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 515] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 515] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 515] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 515] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 515] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 515] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 515] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 515] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 515] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 515] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 515] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 515] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 515] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 515] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 515] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 515] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 515] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 515] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 515] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 515] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 515] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 515] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 515] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 515] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 515] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 515] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 515] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 515] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 515] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 515] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 515] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 515] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 515] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 515] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 515] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 515] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 515] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 515] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 515] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 515] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 515] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 515] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 515] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 515] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 515] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 515] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 515] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 515] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 515] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 515] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 515] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 515] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 515] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 515] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 515] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 515] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 515] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 515] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 515] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 515] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 515] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 515] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 515] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 515] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 515] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 515] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 515] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 515] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 515] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 515] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 515] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 515] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 515] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 515] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 515] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 515] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 515] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 515] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 515] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 515] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 515] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 515] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 515] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 515] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 515] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 515] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 515] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 515] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 515] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 515] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 515] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 515] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 10 -[DEBUG][time= 515] FreeList_1: req:1 canAlloc:1 pdest: 41 headNext:0: 10 -[DEBUG][time= 515] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 11 -[DEBUG][time= 515] FreeList_1: req:1 canAlloc:1 pdest: 42 headNext:0: 11 -[DEBUG][time= 515] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 12 -[DEBUG][time= 515] FreeList_1: req:1 canAlloc:1 pdest: 43 headNext:0: 12 -[DEBUG][time= 515] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 12 -[DEBUG][time= 515] FreeList_1: req:0 canAlloc:1 pdest: 44 headNext:0: 12 -[DEBUG][time= 515] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 12 -[DEBUG][time= 515] FreeList_1: req:0 canAlloc:1 pdest: 44 headNext:0: 12 -[DEBUG][time= 515] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 13 -[DEBUG][time= 515] FreeList_1: req:1 canAlloc:1 pdest: 44 headNext:0: 13 -[DEBUG][time= 515] FreeList_1: head:0: 9 tail:1: 4 -[DEBUG][time= 515] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 515] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 5 -[DEBUG][time= 515] Brq: ---------------- -[DEBUG][time= 515] Brq: enq v:0 rdy:1 pc:00800000b0 brTag:f:0 v: 5 -[DEBUG][time= 515] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v: 5 -[DEBUG][time= 515] Brq: enq v:0 rdy:1 pc:00800000b8 brTag:f:0 v: 5 -[DEBUG][time= 515] Brq: enq v:0 rdy:1 pc:00800000bc brTag:f:0 v: 5 -[DEBUG][time= 515] Brq: enq v:1 rdy:1 pc:00800000c0 brTag:f:0 v: 5 -[DEBUG][time= 515] Brq: enq v:0 rdy:1 pc:00800000c4 brTag:f:0 v: 6 -[DEBUG][time= 516] Tage: req: pc=0x0080000180, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 516] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 516] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 516] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 516] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 516] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 516] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 516] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 516] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 516] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 516] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 516] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 516] BusyTable_1: Allocate 45 -[DEBUG][time= 516] BusyTable_1: Allocate 46 -[DEBUG][time= 516] BusyTable_1: Allocate 47 -[DEBUG][time= 516] BusyTable_1: 36 is busy -[DEBUG][time= 516] BusyTable_1: 37 is busy -[DEBUG][time= 516] BusyTable_1: 38 is busy -[DEBUG][time= 516] BusyTable_1: 39 is busy -[DEBUG][time= 516] BusyTable_1: 40 is busy -[DEBUG][time= 516] BusyTable_1: 41 is busy -[DEBUG][time= 516] BusyTable_1: 42 is busy -[DEBUG][time= 516] BusyTable_1: 43 is busy -[DEBUG][time= 516] BusyTable_1: 44 is busy -[DEBUG][time= 516] BPUStage2: in:(1 1) pc=0080000160 out:(1 1) pc=0080000140 -[DEBUG][time= 516] BPUStage2: validLatch=1 pc=0080000140 -[DEBUG][time= 516] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 516] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 516] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 516] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 516] BPUStage1: in:(1 1) pc=0080000180 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 516] BPUStage1: outPred:(1) pc=0x0080000160, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 516] BPUStage3: [RAS]:pc=0x0080000140, rasWritePosition= 1, rasWriteAddr=0x0080000140 -[DEBUG][time= 516] BPUStage3: in:(1 1) pc=0080000140 -[DEBUG][time= 516] BPUStage3: out:1 pc=0080000120 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000140 -[DEBUG][time= 516] BPUStage3: flushS3=0 -[DEBUG][time= 516] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 516] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 516] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 516] JBTAC: read: pc=0x0080000180, histXORAddr=0x0080000182, bank=1, row= 24, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 516] JBTAC: read_resp: pc=0x0080000160, bank=1, row= 22, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 516] Frontend: inst:00874783 pc:00800000c8 -[INFO ][time= 516] Frontend: inst:0087f793 pc:00800000cc -[INFO ][time= 516] Frontend: inst:fe079ce3 pc:00800000d0 -[INFO ][time= 516] Frontend: inst:00870223 pc:00800000d4 -[INFO ][time= 516] Frontend: inst:00813083 pc:00800000d8 -[INFO ][time= 516] Frontend: inst:00013403 pc:00800000dc -[DEBUG][time= 516] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 516] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000cc instr:0087f793 -[DEBUG][time= 516] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000d0 instr:fe079ce3 -[DEBUG][time= 516] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000d4 instr:00870223 -[DEBUG][time= 516] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000d8 instr:00813083 -[DEBUG][time= 516] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000dc instr:00013403 -[DEBUG][time= 516] Ibuffer: Enque: -[DEBUG][time= 516] Ibuffer: 00000010100000000000000001101111 -[DEBUG][time= 516] Ibuffer: 00000001100001000011011100000011 -[DEBUG][time= 516] Ibuffer: 00000000111001111000011001100011 -[DEBUG][time= 516] Ibuffer: 00000000110101000011100000100011 -[DEBUG][time= 516] Ibuffer: 00000001001001111000000000100011 -[DEBUG][time= 516] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 516] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 516] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 516] Ibuffer: Deque: -[DEBUG][time= 516] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=1 -[DEBUG][time= 516] Ibuffer: 00000000100001111111011110010011 PC=00800000cc v=1 r=1 -[DEBUG][time= 516] Ibuffer: 11111110000001111001110011100011 PC=00800000d0 v=1 r=1 -[DEBUG][time= 516] Ibuffer: 00000000100001110000001000100011 PC=00800000d4 v=1 r=1 -[DEBUG][time= 516] Ibuffer: 00000000100000010011000010000011 PC=00800000d8 v=1 r=1 -[DEBUG][time= 516] Ibuffer: 00000000000000010011010000000011 PC=00800000dc v=1 r=1 -[DEBUG][time= 516] Ibuffer: last_head_ptr= 36 last_tail_ptr= 80 -[DEBUG][time= 516] BTB: read: pc=0x0080000180, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 516] BTB: read_resp: pc=0x0080000160, readIdx=176------------------------------- -[DEBUG][time= 516] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 516] BTB: bankIdxInOrder:[DEBUG][time= 516] BTB: 0 [DEBUG][time= 516] BTB: 1 [DEBUG][time= 516] BTB: 2 [DEBUG][time= 516] BTB: 3 [DEBUG][time= 516] BTB: 4 [DEBUG][time= 516] BTB: 5 [DEBUG][time= 516] BTB: 6 [DEBUG][time= 516] BTB: 7 [DEBUG][time= 516] BTB: 8 [DEBUG][time= 516] BTB: 9 [DEBUG][time= 516] BTB: 10 [DEBUG][time= 516] BTB: 11 [DEBUG][time= 516] BTB: 12 [DEBUG][time= 516] BTB: 13 [DEBUG][time= 516] BTB: 14 [DEBUG][time= 516] BTB: 15 [DEBUG][time= 516] BTB: -[INFO ][time= 516] Rename: pc:00800000b0 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 44 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 516] Rename: pc:00800000b4 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 44 lsrc2: 1 -> psrc2: 41 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 516] Rename: pc:00800000b8 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 45 old_pdest: 42 out v:1 r:1 -[INFO ][time= 516] Rename: pc:00800000bc in v:1 in rdy:1 lsrc1:10 -> psrc1: 39 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 46 old_pdest: 32 out v:1 r:1 -[INFO ][time= 516] Rename: pc:00800000c0 in v:1 in rdy:1 lsrc1:10 -> psrc1: 39 lsrc2:15 -> psrc2: 45 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 516] Rename: pc:00800000c4 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 47 old_pdest: 43 out v:1 r:1 -[INFO ][time= 516] Dispatch1: pc 0x0080000098 accepted by queue 1 0 0 -[INFO ][time= 516] Dispatch1: pc 0x008000009c accepted by queue 1 0 0 -[INFO ][time= 516] Dispatch1: pc 0x00800000a0 accepted by queue 1 0 0 -[INFO ][time= 516] Dispatch1: pc 0x00800000a4 accepted by queue 0 0 1 -[INFO ][time= 516] Dispatch1: pc 0x00800000a8 accepted by queue 1 0 0 -[INFO ][time= 516] Dispatch1: pc 0x00800000ac accepted by queue 1 0 0 -[DEBUG][time= 516] Dispatch1: pc 0x0080000098 receives nroq 10 -[DEBUG][time= 516] Dispatch1: v:1 r:1 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 516] Dispatch1: pc 0x008000009c receives nroq 11 -[DEBUG][time= 516] Dispatch1: v:1 r:1 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 516] Dispatch1: pc 0x00800000a0 receives nroq 12 -[DEBUG][time= 516] Dispatch1: v:1 r:1 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 516] Dispatch1: pc 0x00800000a4 receives nroq 13 -[DEBUG][time= 516] Dispatch1: v:1 r:1 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 516] Dispatch1: pc 0x00800000a8 receives nroq 14 -[DEBUG][time= 516] Dispatch1: v:1 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 516] Dispatch1: pc 0x00800000ac receives nroq 15 -[DEBUG][time= 516] Dispatch1: v:1 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 516] Dispatch2: int dp queue 0: 0080000080 type 0011 -[DEBUG][time= 516] Dispatch2: int dp queue 1: 0080000088 type 0000 -[DEBUG][time= 516] Dispatch2: int dp queue 2: 008000008c type 0011 -[DEBUG][time= 516] Dispatch2: int dp queue 3: 0080000090 type 0011 -[DEBUG][time= 516] Dispatch2: ls dp queue 0: 0080000084 type 1101 -[DEBUG][time= 516] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 516] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 516] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 516] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 516] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 516] Dispatch2: regfile 0 from 0 -[DEBUG][time= 516] Dispatch2: regfile 1 from 0 -[DEBUG][time= 516] Dispatch2: regfile 2 from 2 -[DEBUG][time= 516] Dispatch2: regfile 3 from 2 -[DEBUG][time= 516] Dispatch2: regfile 4 from 3 -[DEBUG][time= 516] Dispatch2: regfile 5 from 3 -[DEBUG][time= 516] Dispatch2: regfile 6 from 1 -[DEBUG][time= 516] Dispatch2: regfile 7 from 1 -[DEBUG][time= 516] Dispatch2: int regfile 0: addr 34, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 4: addr 38, state 0 -[DEBUG][time= 516] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 8: addr 36, state 0 -[DEBUG][time= 516] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 9: addr 35, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 12: addr 35, state 1 -[DEBUG][time= 516] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 516] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 516] Dispatch2: pc 0x0080000088 with type 0000 srcState(1 1 0) enters reservation station 0 from 1 -[INFO ][time= 516] Dispatch2: pc 0x0080000080 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 516] Dispatch2: pc 0x008000008c with type 0011 srcState(1 1 0) enters reservation station 2 from 2 -[INFO ][time= 516] Dispatch2: pc 0x0080000090 with type 0011 srcState(0 1 0) enters reservation station 3 from 3 -[INFO ][time= 516] Dispatch2: pc 0x0080000084 with type 1101 srcState(0 1 0) enters reservation station 7 from 0 -[INFO ][time= 516] Dispatch2: pc 0x0080000080 leaves Int dispatch queue with nroq 4 -[INFO ][time= 516] Dispatch2: pc 0x0080000088 leaves Int dispatch queue with nroq 6 -[INFO ][time= 516] Dispatch2: pc 0x008000008c leaves Int dispatch queue with nroq 7 -[INFO ][time= 516] Dispatch2: pc 0x0080000090 leaves Int dispatch queue with nroq 8 -[INFO ][time= 516] Dispatch2: pc 0x0080000084 leaves Ls dispatch queue with nroq 5 -[INFO ][time= 516] DecodeBuffer: in v:1 r:1 pc=00800000c8 -[INFO ][time= 516] DecodeBuffer: in v:1 r:1 pc=00800000cc -[INFO ][time= 516] DecodeBuffer: in v:1 r:1 pc=00800000d0 -[INFO ][time= 516] DecodeBuffer: in v:1 r:1 pc=00800000d4 -[INFO ][time= 516] DecodeBuffer: in v:1 r:1 pc=00800000d8 -[INFO ][time= 516] DecodeBuffer: in v:1 r:1 pc=00800000dc -[INFO ][time= 516] DecodeBuffer: out v:1 r:1 pc=00800000b0 -[INFO ][time= 516] DecodeBuffer: out v:1 r:1 pc=00800000b4 -[INFO ][time= 516] DecodeBuffer: out v:1 r:1 pc=00800000b8 -[INFO ][time= 516] DecodeBuffer: out v:1 r:1 pc=00800000bc -[INFO ][time= 516] DecodeBuffer: out v:1 r:1 pc=00800000c0 -[INFO ][time= 516] DecodeBuffer: out v:1 r:1 pc=00800000c4 -[ERROR][time= 516] Roq: CSR block should only happen in s_idle -[DEBUG][time= 516] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 516] Roq: dispatched 6 insts -[DEBUG][time= 516] Roq: head 0:10 tail 0: 4 -[DEBUG][time= 516] Roq: ----vvvvvv---------------------- -[DEBUG][time= 516] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 516] Roq: 0080000080 v 0080000084 v 0080000088 v 008000008c v -[DEBUG][time= 516] Roq: 0080000090 v 0080000094 v 0080000028 - 008000002c - -[DEBUG][time= 516] Roq: 0080000030 - 0080000034 - 0080000038 - 008000003c - -[DEBUG][time= 516] Roq: 0080000040 - 0080000044 - 0080000048 - 008000004c - -[DEBUG][time= 516] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 516] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 516] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 516] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 5 -> 6) -[DEBUG][time= 516] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 6 -> 7) -[DEBUG][time= 516] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 5), tail = (0, 6), -[DEBUG][time= 516] DispatchQueue: IntDpQ: num_deq = 4, head = (13 -> 1) -[DEBUG][time= 516] DispatchQueue: IntDpQ: num_enq = 5, tail = ( 2 -> 7) -[DEBUG][time= 516] DispatchQueue: IntDpQ: valid_entries = 5, head = (0, 13), tail = (1, 2), -[DEBUG][time= 516] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 516] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 516] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 516] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 516] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484000------> s1 fire!!! -[DEBUG][time= 516] FakeCache: [Stage1_data] instr1:0xfff4849b instr2:0x00178793 -[DEBUG][time= 516] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 516] FakeCache: [Stage2_data] instr1:0x02f43023 instr2:0x03348663 -[DEBUG][time= 516] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 516] FakeCache: [Stage3_data] instr1:0x0280006f instr2:0x01843703 -[DEBUG][time= 516] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 516] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000180 || if1_pcUpdate:1 if1_pc:0x0080000160 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 516] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000160 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 516] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 516] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 516] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000140 if3_npc:0x0080000160 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 516] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000120 if4_npc:0x0080000140 -[DEBUG][time= 516] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000140 -[DEBUG][time= 516] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] starPC:0x0080000120 GroupPC:0x0080000120n -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction 0280006f pnpc:0x0080000124 -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction 01843703 pnpc:0x0080000128 -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction 00e78663 pnpc:0x008000012c -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction 00d43823 pnpc:0x0080000130 -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction 01278023 pnpc:0x0080000134 -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000138 -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x008000013c -[DEBUG][time= 516] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000140 -[INFO ][time= 516] IssueQueue: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 37 oldDest: 35 pc:0080000088 roqIdx:06 -[DEBUG][time= 516] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 516] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 516] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 516] IssueQueue: 1 |0|0| 50|0|14aebf80d4026f54| 41|1|0000002473b437ff| 106|0|0000000000000000| 2 |54294bb194|0b <- -[DEBUG][time= 516] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 -[DEBUG][time= 516] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 516] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 516] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 516] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 516] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 516] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 516] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 34:1 0:1 0:0) Dest: 36 oldDest: 34 pc:0080000080 roqIdx:04 -[DEBUG][time= 516] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 516] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 516] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 516] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 0 |0080000000|00 <- -[DEBUG][time= 516] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 -[DEBUG][time= 516] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 516] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 516] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 516] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 516] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 516] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 516] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 38 oldDest: 10 pc:008000008c roqIdx:07 -[DEBUG][time= 516] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 516] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 516] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 516] IssueQueue_2: 0 |0|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 0 |0080000004|01 <- -[DEBUG][time= 516] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 -[DEBUG][time= 516] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 516] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 516] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 516] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 516] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 516] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 516] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 38:0 0:1 0:0) Dest: 39 oldDest: 38 pc:0080000090 roqIdx:08 -[DEBUG][time= 516] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 516] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 516] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 516] IssueQueue_3: 2 |0|1| 71|1|0629971d2e90ebfe| 104|1|53fca68a7207a658| 108|0|0000000000000000| 3 |1deb9b8194|02 <- -[DEBUG][time= 516] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 -[DEBUG][time= 516] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 516] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 516] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 516] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 516] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 516] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 516] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 516] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 516] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 516] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 516] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 516] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 516] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 516] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 516] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 516] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 516] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 516] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 516] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 516] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 516] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 516] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 516] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 516] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 516] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 516] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 516] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 516] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 516] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 516] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 516] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 516] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 516] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 516] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 516] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 516] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 516] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 516] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 516] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 516] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 36:0 35:1 0:0) Dest: 32 oldDest: 0 pc:0080000084 roqIdx:05 -[DEBUG][time= 516] IssueQueue_7: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(1 1) Out(0 0) -[DEBUG][time= 516] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 516] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 516] IssueQueue_7: 0 |0|0| 52|0|0000002473b437ff| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 516] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 516] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 516] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 516] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 516] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 516] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 516] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 516] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 516] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 516] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 516] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 516] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 516] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 516] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 516] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 516] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 516] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 516] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 516] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 516] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 516] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 13 -[DEBUG][time= 516] FreeList_1: req:0 canAlloc:1 pdest: 45 headNext:0: 13 -[DEBUG][time= 516] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 13 -[DEBUG][time= 516] FreeList_1: req:0 canAlloc:1 pdest: 45 headNext:0: 13 -[DEBUG][time= 516] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 14 -[DEBUG][time= 516] FreeList_1: req:1 canAlloc:1 pdest: 45 headNext:0: 14 -[DEBUG][time= 516] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 15 -[DEBUG][time= 516] FreeList_1: req:1 canAlloc:1 pdest: 46 headNext:0: 15 -[DEBUG][time= 516] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 15 -[DEBUG][time= 516] FreeList_1: req:0 canAlloc:1 pdest: 47 headNext:0: 15 -[DEBUG][time= 516] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 16 -[DEBUG][time= 516] FreeList_1: req:1 canAlloc:1 pdest: 47 headNext:0: 16 -[DEBUG][time= 516] FreeList_1: head:0: 13 tail:1: 4 -[DEBUG][time= 516] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 516] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 6 -[DEBUG][time= 516] Brq: ---------------- -[DEBUG][time= 516] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v: 6 -[DEBUG][time= 516] Brq: enq v:0 rdy:1 pc:00800000cc brTag:f:0 v: 6 -[DEBUG][time= 516] Brq: enq v:1 rdy:1 pc:00800000d0 brTag:f:0 v: 6 -[DEBUG][time= 516] Brq: enq v:0 rdy:1 pc:00800000d4 brTag:f:0 v: 7 -[DEBUG][time= 516] Brq: enq v:0 rdy:1 pc:00800000d8 brTag:f:0 v: 7 -[DEBUG][time= 516] Brq: enq v:0 rdy:1 pc:00800000dc brTag:f:0 v: 7 -[DEBUG][time= 517] Tage: req: pc=0x00800001a0, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 517] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 517] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 517] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 517] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 517] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 517] RegfileReadPortGen: int 1: want 0100, deqChoice: 1 -[DEBUG][time= 517] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 517] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 517] RegfileReadPortGen: dynamicExuSrc 0: 1 0100 -[DEBUG][time= 517] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 517] RegfileReadPortGen: dynamicExuSrc 2: 2 0010 -[DEBUG][time= 517] BusyTable_1: Allocate 48 -[DEBUG][time= 517] BusyTable_1: Allocate 49 -[DEBUG][time= 517] BusyTable_1: Allocate 50 -[DEBUG][time= 517] BusyTable_1: Allocate 51 -[DEBUG][time= 517] BusyTable_1: writeback 37 -[DEBUG][time= 517] BusyTable_1: writeback 36 -[DEBUG][time= 517] BusyTable_1: writeback 38 -[DEBUG][time= 517] BusyTable_1: 36 is busy -[DEBUG][time= 517] BusyTable_1: 37 is busy -[DEBUG][time= 517] BusyTable_1: 38 is busy -[DEBUG][time= 517] BusyTable_1: 39 is busy -[DEBUG][time= 517] BusyTable_1: 40 is busy -[DEBUG][time= 517] BusyTable_1: 41 is busy -[DEBUG][time= 517] BusyTable_1: 42 is busy -[DEBUG][time= 517] BusyTable_1: 43 is busy -[DEBUG][time= 517] BusyTable_1: 44 is busy -[DEBUG][time= 517] BusyTable_1: 45 is busy -[DEBUG][time= 517] BusyTable_1: 46 is busy -[DEBUG][time= 517] BusyTable_1: 47 is busy -[DEBUG][time= 517] BPUStage2: in:(1 1) pc=0080000180 out:(1 1) pc=0080000160 -[DEBUG][time= 517] BPUStage2: validLatch=1 pc=0080000160 -[DEBUG][time= 517] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 517] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 517] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 517] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 517] WriteBackArbMtoN: out(0) pc(0x0080000088) writebacks 0x000000008000008c to pdest( 37) ldest( 1) -[INFO ][time= 517] WriteBackArbMtoN: out(1) pc(0x0080000080) writebacks 0x0000000080008ff0 to pdest( 36) ldest( 2) -[INFO ][time= 517] WriteBackArbMtoN: out(2) pc(0x008000008c) writebacks 0x000000008000108c to pdest( 38) ldest(10) -[DEBUG][time= 517] BPUStage1: in:(1 1) pc=00800001a0 ghr=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 517] BPUStage1: outPred:(1) pc=0x0080000180, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 517] BPUStage3: [RAS]:pc=0x0080000160, rasWritePosition= 1, rasWriteAddr=0x0080000160 -[DEBUG][time= 517] BPUStage3: in:(1 1) pc=0080000160 -[DEBUG][time= 517] BPUStage3: out:1 pc=0080000140 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000160 -[DEBUG][time= 517] BPUStage3: flushS3=0 -[DEBUG][time= 517] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 517] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 517] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 517] JBTAC: read: pc=0x00800001a0, histXORAddr=0x00800001a2, bank=1, row= 26, hist=0000000000000000000000000000000000000000000000000000000000000001 -[DEBUG][time= 517] JBTAC: read_resp: pc=0x0080000180, bank=1, row= 24, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 517] Frontend: inst:01010113 pc:00800000e0 -[INFO ][time= 517] Frontend: inst:00008067 pc:00800000e4 -[INFO ][time= 517] Frontend: inst:00d00513 pc:00800000e8 -[INFO ][time= 517] Frontend: inst:fc1ff0ef pc:00800000ec -[INFO ][time= 517] Frontend: inst:fd5ff06f pc:00800000f0 -[INFO ][time= 517] Frontend: inst:08058c63 pc:00800000f4 -[DEBUG][time= 517] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000e0 instr:01010113 -[DEBUG][time= 517] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000e4 instr:00008067 -[DEBUG][time= 517] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000e8 instr:00d00513 -[DEBUG][time= 517] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000ec instr:fc1ff0ef -[DEBUG][time= 517] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000f0 instr:fd5ff06f -[DEBUG][time= 517] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000f4 instr:08058c63 -[DEBUG][time= 517] Ibuffer: Enque: -[DEBUG][time= 517] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 517] Ibuffer: 00000011001101001000011001100011 -[DEBUG][time= 517] Ibuffer: 00000001000001000011011110000011 -[DEBUG][time= 517] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 517] Ibuffer: 11111100000001111001101011100011 -[DEBUG][time= 517] Ibuffer: 00000000000010010000010100010011 -[DEBUG][time= 517] Ibuffer: 11110000000111111111000011101111 -[DEBUG][time= 517] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 517] Ibuffer: Deque: -[DEBUG][time= 517] Ibuffer: 00000001000000010000000100010011 PC=00800000e0 v=1 r=1 -[DEBUG][time= 517] Ibuffer: 00000000000000001000000001100111 PC=00800000e4 v=1 r=1 -[DEBUG][time= 517] Ibuffer: 00000000110100000000010100010011 PC=00800000e8 v=1 r=1 -[DEBUG][time= 517] Ibuffer: 11111100000111111111000011101111 PC=00800000ec v=1 r=1 -[DEBUG][time= 517] Ibuffer: 11111101010111111111000001101111 PC=00800000f0 v=1 r=1 -[DEBUG][time= 517] Ibuffer: 00001000000001011000110001100011 PC=00800000f4 v=1 r=1 -[DEBUG][time= 517] Ibuffer: last_head_ptr= 48 last_tail_ptr= 96 -[DEBUG][time= 517] BTB: read: pc=0x00800001a0, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 517] BTB: read_resp: pc=0x0080000180, readIdx=192------------------------------- -[DEBUG][time= 517] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=14][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: read_resp[b=15][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 517] BTB: bankIdxInOrder:[DEBUG][time= 517] BTB: 0 [DEBUG][time= 517] BTB: 1 [DEBUG][time= 517] BTB: 2 [DEBUG][time= 517] BTB: 3 [DEBUG][time= 517] BTB: 4 [DEBUG][time= 517] BTB: 5 [DEBUG][time= 517] BTB: 6 [DEBUG][time= 517] BTB: 7 [DEBUG][time= 517] BTB: 8 [DEBUG][time= 517] BTB: 9 [DEBUG][time= 517] BTB: 10 [DEBUG][time= 517] BTB: 11 [DEBUG][time= 517] BTB: 12 [DEBUG][time= 517] BTB: 13 [DEBUG][time= 517] BTB: 14 [DEBUG][time= 517] BTB: 15 [DEBUG][time= 517] BTB: -[DEBUG][time= 517] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 1 -[DEBUG][time= 517] AluExeUnit: src1:0000000080009000 src2:fffffffffffffff0 offset:fffffffffffffff0 func:0000000 pc:0000000080000080 -[DEBUG][time= 517] AluExeUnit: res:0000000080008ff0 aluRes:10000000080008ff0 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080008ff0 taken:0 -[DEBUG][time= 517] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 1 -[DEBUG][time= 517] AluExeUnit_1: src1:000000008000008c src2:0000000000001000 offset:0000000000001000 func:0000000 pc:000000008000008c -[DEBUG][time= 517] AluExeUnit_1: res:000000008000108c aluRes:0000000008000108c isRVC:0 isBru:0 isBranch:0 isJump:0 target:008000108c taken:0 -[DEBUG][time= 517] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:1 -[DEBUG][time= 517] Jump: src1:0000000080000088 offset:0000000000000014 func:0011011 type:JUMP pc:0000000080000088 res:000000008000008c -[INFO ][time= 517] Rename: pc:00800000c8 in v:1 in rdy:1 lsrc1:14 -> psrc1: 47 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 48 old_pdest: 45 out v:1 r:1 -[INFO ][time= 517] Rename: pc:00800000cc in v:1 in rdy:1 lsrc1:15 -> psrc1: 48 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 49 old_pdest: 48 out v:1 r:1 -[INFO ][time= 517] Rename: pc:00800000d0 in v:1 in rdy:1 lsrc1:15 -> psrc1: 49 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 517] Rename: pc:00800000d4 in v:1 in rdy:1 lsrc1:14 -> psrc1: 47 lsrc2: 8 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 517] Rename: pc:00800000d8 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 44 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 50 old_pdest: 41 out v:1 r:1 -[INFO ][time= 517] Rename: pc:00800000dc in v:1 in rdy:1 lsrc1: 2 -> psrc1: 44 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 51 old_pdest: 46 out v:1 r:1 -[INFO ][time= 517] Dispatch1: pc 0x00800000b0 accepted by queue 0 0 1 -[INFO ][time= 517] Dispatch1: pc 0x00800000b4 accepted by queue 0 0 1 -[INFO ][time= 517] Dispatch1: pc 0x00800000b8 accepted by queue 1 0 0 -[INFO ][time= 517] Dispatch1: pc 0x00800000bc accepted by queue 1 0 0 -[INFO ][time= 517] Dispatch1: pc 0x00800000c0 accepted by queue 1 0 0 -[INFO ][time= 517] Dispatch1: pc 0x00800000c4 accepted by queue 1 0 0 -[DEBUG][time= 517] Dispatch1: pc 0x00800000b0 receives nroq 16 -[DEBUG][time= 517] Dispatch1: v:1 r:1 pc 0x00800000b0 of type 1101 is in 0-th slot -[DEBUG][time= 517] Dispatch1: pc 0x00800000b4 receives nroq 17 -[DEBUG][time= 517] Dispatch1: v:1 r:1 pc 0x00800000b4 of type 1101 is in 1-th slot -[DEBUG][time= 517] Dispatch1: pc 0x00800000b8 receives nroq 18 -[DEBUG][time= 517] Dispatch1: v:1 r:1 pc 0x00800000b8 of type 0011 is in 2-th slot -[DEBUG][time= 517] Dispatch1: pc 0x00800000bc receives nroq 19 -[DEBUG][time= 517] Dispatch1: v:1 r:1 pc 0x00800000bc of type 0011 is in 3-th slot -[DEBUG][time= 517] Dispatch1: pc 0x00800000c0 receives nroq 20 -[DEBUG][time= 517] Dispatch1: v:1 r:1 pc 0x00800000c0 of type 0011 is in 4-th slot -[DEBUG][time= 517] Dispatch1: pc 0x00800000c4 receives nroq 21 -[DEBUG][time= 517] Dispatch1: v:1 r:1 pc 0x00800000c4 of type 0011 is in 5-th slot -[DEBUG][time= 517] Dispatch2: int dp queue 0: 0080000094 type 0000 -[DEBUG][time= 517] Dispatch2: int dp queue 1: 0080000098 type 0000 -[DEBUG][time= 517] Dispatch2: int dp queue 2: 008000009c type 0011 -[DEBUG][time= 517] Dispatch2: int dp queue 3: 00800000a0 type 0011 -[DEBUG][time= 517] Dispatch2: ls dp queue 0: 00800000a4 type 1101 -[DEBUG][time= 517] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 517] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 517] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 517] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 517] Dispatch2: regfile 0 from 3 -[DEBUG][time= 517] Dispatch2: regfile 1 from 3 -[DEBUG][time= 517] Dispatch2: regfile 2 from 0 -[DEBUG][time= 517] Dispatch2: regfile 3 from 0 -[DEBUG][time= 517] Dispatch2: regfile 4 from 0 -[DEBUG][time= 517] Dispatch2: regfile 5 from 0 -[DEBUG][time= 517] Dispatch2: regfile 6 from 2 -[DEBUG][time= 517] Dispatch2: regfile 7 from 2 -[DEBUG][time= 517] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 8: addr 42, state 0 -[DEBUG][time= 517] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 9: addr 43, state 0 -[DEBUG][time= 517] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 517] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 517] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 517] Dispatch2: pc 0x0080000094 with type 0000 srcState(1 1 0) enters reservation station 0 from 0 -[INFO ][time= 517] Dispatch2: pc 0x00800000a0 with type 0011 srcState(1 1 0) enters reservation station 1 from 3 -[INFO ][time= 517] Dispatch2: pc 0x008000009c with type 0011 srcState(1 1 0) enters reservation station 4 from 2 -[INFO ][time= 517] Dispatch2: pc 0x00800000a4 with type 1101 srcState(0 0 0) enters reservation station 7 from 0 -[INFO ][time= 517] Dispatch2: pc 0x0080000094 leaves Int dispatch queue with nroq 9 -[DEBUG][time= 517] Dispatch2: pc 0x0080000098 waits at Int dispatch queue with index 1 -[INFO ][time= 517] Dispatch2: pc 0x008000009c leaves Int dispatch queue with nroq 11 -[INFO ][time= 517] Dispatch2: pc 0x00800000a0 leaves Int dispatch queue with nroq 12 -[INFO ][time= 517] Dispatch2: pc 0x00800000a4 leaves Ls dispatch queue with nroq 13 -[DEBUG][time= 517] Dispatch2: pc 0x0080000088 reads operands from ( 6, 0, 0000000080000088), ( 7, 0, 0000000000000014), ( 0, 0, 0000000000000000) -[DEBUG][time= 517] Dispatch2: pc 0x0080000080 reads operands from ( 0, 34, 0000000080009000), ( 1, 0, fffffffffffffff0), ( 0, 0, 0000000000000000) -[DEBUG][time= 517] Dispatch2: pc 0x008000008c reads operands from ( 2, 0, 000000008000008c), ( 3, 0, 0000000000001000), ( 0, 0, 0000000000000000) -[DEBUG][time= 517] Dispatch2: pc 0x0080000090 reads operands from ( 4, 38, d95ad4be237be8dc), ( 5, 0, fffffffffffffc49), ( 0, 0, 0000000000000000) -[DEBUG][time= 517] Dispatch2: pc 0x0080000084 reads operands from ( 8, 36, d95ad4be237be8dc), ( 9, 35, 0000000080000010), ( 0, 0, 0000000000000000) -[INFO ][time= 517] DecodeBuffer: in v:1 r:1 pc=00800000e0 -[INFO ][time= 517] DecodeBuffer: in v:1 r:1 pc=00800000e4 -[INFO ][time= 517] DecodeBuffer: in v:1 r:1 pc=00800000e8 -[INFO ][time= 517] DecodeBuffer: in v:1 r:1 pc=00800000ec -[INFO ][time= 517] DecodeBuffer: in v:1 r:1 pc=00800000f0 -[INFO ][time= 517] DecodeBuffer: in v:1 r:1 pc=00800000f4 -[INFO ][time= 517] DecodeBuffer: out v:1 r:1 pc=00800000c8 -[INFO ][time= 517] DecodeBuffer: out v:1 r:1 pc=00800000cc -[INFO ][time= 517] DecodeBuffer: out v:1 r:1 pc=00800000d0 -[INFO ][time= 517] DecodeBuffer: out v:1 r:1 pc=00800000d4 -[INFO ][time= 517] DecodeBuffer: out v:1 r:1 pc=00800000d8 -[INFO ][time= 517] DecodeBuffer: out v:1 r:1 pc=00800000dc -[ERROR][time= 517] Roq: CSR block should only happen in s_idle -[DEBUG][time= 517] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 517] Roq: dispatched 6 insts -[INFO ][time= 517] Roq: writebacked 2 insts -[INFO ][time= 517] Roq: writebacked pc 0x0080000080 wen 1 data 0x0000000080008ff0 ldst 2 pdst 36 skip 0 -[INFO ][time= 517] Roq: writebacked pc 0x008000008c wen 1 data 0x000000008000108c ldst 10 pdst 38 skip 0 -[DEBUG][time= 517] Roq: head 0:16 tail 0: 4 -[DEBUG][time= 517] Roq: ----vvvvvvvvvvvv---------------- -[DEBUG][time= 517] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 517] Roq: 0080000080 v 0080000084 v 0080000088 v 008000008c v -[DEBUG][time= 517] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 517] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 517] Roq: 0080000040 - 0080000044 - 0080000048 - 008000004c - -[DEBUG][time= 517] Roq: 0080000050 - 0080000054 - 0080000058 - 008000005c - -[DEBUG][time= 517] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 517] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 517] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 6 -> 7) -[DEBUG][time= 517] DispatchQueue_2: LsDpQ: num_enq = 2, tail = ( 7 -> 9) -[DEBUG][time= 517] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 6), tail = (0, 7), -[DEBUG][time= 517] DispatchQueue: IntDpQ: num_deq = 1, head = ( 1 -> 2) -[DEBUG][time= 517] DispatchQueue: IntDpQ: num_enq = 4, tail = ( 7 -> 11) -[DEBUG][time= 517] DispatchQueue: IntDpQ: valid_entries = 6, head = (1, 1), tail = (1, 7), -[DEBUG][time= 517] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 517] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 517] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 517] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 517] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484032------> s1 fire!!! -[DEBUG][time= 517] FakeCache: [Stage1_data] instr1:0x00813983 instr2:0x03010113 -[DEBUG][time= 517] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 517] FakeCache: [Stage2_data] instr1:0xfff4849b instr2:0x00178793 -[DEBUG][time= 517] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 517] FakeCache: [Stage3_data] instr1:0x02f43023 instr2:0x03348663 -[DEBUG][time= 517] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 517] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001a0 || if1_pcUpdate:1 if1_pc:0x0080000180 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 517] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000180 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 517] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 517] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 517] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000160 if3_npc:0x0080000180 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 517] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000140 if4_npc:0x0080000160 -[DEBUG][time= 517] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000160 -[DEBUG][time= 517] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] starPC:0x0080000140 GroupPC:0x0080000140n -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x0080000144 -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction 03348663 pnpc:0x0080000148 -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction 01043783 pnpc:0x008000014c -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000150 -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction fc079ae3 pnpc:0x0080000154 -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction 00090513 pnpc:0x0080000158 -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction f01ff0ef pnpc:0x008000015c -[DEBUG][time= 517] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000160 -[INFO ][time= 517] IssueQueue: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 40 oldDest: 37 pc:0080000094 roqIdx:09 -[INFO ][time= 517] IssueQueue: EnqData: src1:0000000080000088 src2:0000000000000014 src3:0000000000000000 pc:0080000088 roqIdx:06(for last cycle's Ctrl) -[INFO ][time= 517] IssueQueue: Deq:(1 1) [ 0|0000000080000088][ 0|0000000000000014][ 0|26f7d2634708bafb] pdest: 37 pc:0080000088 roqIdx:06 -[DEBUG][time= 517] IssueQueue: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 517] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 517] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 517] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 517] IssueQueue: 1 |1|1| 0|1|14aebf80d4026f54| 0|1|0000002473b437ff| 0|0|0000000000000000| 1 |0080000088|06 -[DEBUG][time= 517] IssueQueue: 0 |0|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 0 |008000000c|03 <- -[DEBUG][time= 517] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 517] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 517] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 517] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 517] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 517] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 517] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 43 oldDest: 14 pc:00800000a0 roqIdx:0c -[INFO ][time= 517] IssueQueue_1: EnqData: src1:0000000080009000 src2:fffffffffffffff0 src3:0000000000000000 pc:0080000080 roqIdx:04(for last cycle's Ctrl) -[INFO ][time= 517] IssueQueue_1: Deq:(1 1) [ 34|0000000080009000][ 0|fffffffffffffff0][ 0|c63124ed8740c04f] pdest: 36 pc:0080000080 roqIdx:04 -[DEBUG][time= 517] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 517] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 36) -[DEBUG][time= 517] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 517] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 517] IssueQueue_1: 0 |1|1| 34|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000080|04 -[DEBUG][time= 517] IssueQueue_1: 1 |0|0| 36|0|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 1 |0080000014|05 <- -[DEBUG][time= 517] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 517] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 517] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 517] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 517] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 517] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 517] IssueQueue_2: EnqData: src1:000000008000008c src2:0000000000001000 src3:0000000000000000 pc:008000008c roqIdx:07(for last cycle's Ctrl) -[INFO ][time= 517] IssueQueue_2: Deq:(1 1) [ 0|000000008000008c][ 0|0000000000001000][ 0|56e780095a4543a2] pdest: 38 pc:008000008c roqIdx:07 -[DEBUG][time= 517] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 517] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 38) -[DEBUG][time= 517] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 517] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 517] IssueQueue_2: 0 |1|1| 0|1|0000000080000004| 0|1|0000000000009000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 517] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 517] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 517] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 517] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 517] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 517] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 517] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 517] IssueQueue_3: WakeUpHit: IQIdx:2 Src0: 38 Ports:2 Data:000000008000108c Pc:008000008c RoqIdx:07 -[INFO ][time= 517] IssueQueue_3: EnqData: src1:d95ad4be237be8dc src2:fffffffffffffc49 src3:0000000000000000 pc:0080000090 roqIdx:08(for last cycle's Ctrl) -[DEBUG][time= 517] IssueQueue_3: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(0 1) Out(0 1) -[DEBUG][time= 517] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 517] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 517] IssueQueue_3: 2 |1|0| 38|0|0629971d2e90ebfe| 0|1|53fca68a7207a658| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 517] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 517] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 517] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 517] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 517] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 517] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 517] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 517] IssueQueue_4: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 42 oldDest: 15 pc:008000009c roqIdx:0b -[DEBUG][time= 517] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 517] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 517] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 517] IssueQueue_4: 1 |0|0| 112|1|bc354112ad3ee8a2| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 <- -[DEBUG][time= 517] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 -[DEBUG][time= 517] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 517] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 517] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 517] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 517] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 517] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 517] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 517] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 517] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 517] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 517] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 517] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 517] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 517] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 517] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 517] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 517] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 517] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 517] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 517] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 517] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 517] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 517] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 517] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 517] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 517] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 517] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 517] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 517] IssueQueue_7: WakeUpHit: IQIdx:0 Src0: 36 Ports:1 Data:0000000080008ff0 Pc:0080000080 RoqIdx:04 -[INFO ][time= 517] IssueQueue_7: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 42:0 43:0 0:0) Dest: 32 oldDest: 0 pc:00800000a4 roqIdx:0d -[INFO ][time= 517] IssueQueue_7: EnqData: src1:d95ad4be237be8dc src2:0000000080000010 src3:0000000000000000 pc:0080000084 roqIdx:05(for last cycle's Ctrl) -[DEBUG][time= 517] IssueQueue_7: tailAll: 1 KID(010) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000000 moveDot:00000010 In(1 1) Out(0 0) -[DEBUG][time= 517] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 517] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 517] IssueQueue_7: 0 |1|0| 36|0|0000002473b437ff| 35|1|14aebf80d4026f54| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 517] IssueQueue_7: 1 |0|1| 52|1|d95ad4be237be8dc| 92|1|53b69da4ca7bf091| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 517] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 517] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 517] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 517] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 517] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 517] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 517] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 517] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 517] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 517] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 517] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 517] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 517] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 517] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 517] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 517] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 517] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 517] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 517] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 517] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 17 -[DEBUG][time= 517] FreeList_1: req:1 canAlloc:1 pdest: 48 headNext:0: 17 -[DEBUG][time= 517] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 18 -[DEBUG][time= 517] FreeList_1: req:1 canAlloc:1 pdest: 49 headNext:0: 18 -[DEBUG][time= 517] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 18 -[DEBUG][time= 517] FreeList_1: req:0 canAlloc:1 pdest: 50 headNext:0: 18 -[DEBUG][time= 517] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 18 -[DEBUG][time= 517] FreeList_1: req:0 canAlloc:1 pdest: 50 headNext:0: 18 -[DEBUG][time= 517] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 19 -[DEBUG][time= 517] FreeList_1: req:1 canAlloc:1 pdest: 50 headNext:0: 19 -[DEBUG][time= 517] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 20 -[DEBUG][time= 517] FreeList_1: req:1 canAlloc:1 pdest: 51 headNext:0: 20 -[DEBUG][time= 517] FreeList_1: head:0: 16 tail:1: 4 -[DEBUG][time= 517] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 517] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v: 7 -[DEBUG][time= 517] Brq: ---------------- -[INFO ][time= 517] Brq: exu write back: brTag:f:0 v: 1 pc=0080000088 pnpc=008000008c target=008000009c -[DEBUG][time= 517] Brq: enq v:0 rdy:1 pc:00800000e0 brTag:f:0 v: 7 -[DEBUG][time= 517] Brq: enq v:1 rdy:1 pc:00800000e4 brTag:f:0 v: 7 -[DEBUG][time= 517] Brq: enq v:0 rdy:1 pc:00800000e8 brTag:f:0 v: 8 -[DEBUG][time= 517] Brq: enq v:1 rdy:1 pc:00800000ec brTag:f:0 v: 8 -[DEBUG][time= 517] Brq: enq v:1 rdy:1 pc:00800000f0 brTag:f:0 v: 9 -[DEBUG][time= 517] Brq: enq v:1 rdy:1 pc:00800000f4 brTag:f:0 v:10 -[DEBUG][time= 518] Tage: req: pc=0x008000009c, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 518] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 518] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 518] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 00d6ce6de0| 0 1) -[DEBUG][time= 518] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 518] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 518] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 518] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 518] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 518] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 518] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 518] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 518] BusyTable_1: 39 is busy -[DEBUG][time= 518] BusyTable_1: 40 is busy -[DEBUG][time= 518] BusyTable_1: 41 is busy -[DEBUG][time= 518] BusyTable_1: 42 is busy -[DEBUG][time= 518] BusyTable_1: 43 is busy -[DEBUG][time= 518] BusyTable_1: 44 is busy -[DEBUG][time= 518] BusyTable_1: 45 is busy -[DEBUG][time= 518] BusyTable_1: 46 is busy -[DEBUG][time= 518] BusyTable_1: 47 is busy -[DEBUG][time= 518] BusyTable_1: 48 is busy -[DEBUG][time= 518] BusyTable_1: 49 is busy -[DEBUG][time= 518] BusyTable_1: 50 is busy -[DEBUG][time= 518] BusyTable_1: 51 is busy -[DEBUG][time= 518] BPUStage2: in:(0 0) pc=00800001a0 out:(0 0) pc=0080000180 -[DEBUG][time= 518] BPUStage2: validLatch=1 pc=0080000180 -[DEBUG][time= 518] BPUStage2: flush!!! -[DEBUG][time= 518] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 518] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 518] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 518] DispatchGen: priority: data(3) = 1, priority = 3 -[DEBUG][time= 518] BPUStage1: in:(1 1) pc=008000009c ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 518] BPUStage1: outPred:(0) pc=0x00800001a0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 518] BPUStage1: flush from backend: pc=0080000088 tgt=008000009c brTgt=008000009c btbType=01 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000000000001 fetchIdx=2 isExcpt=0 -[DEBUG][time= 518] BPUStage3: flushS3=0 -[DEBUG][time= 518] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 518] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 518] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 518] JBTAC: read: pc=0x008000009c, histXORAddr=0x008000009a, bank=5, row= 9, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 518] JBTAC: read_resp: pc=0x00800001a0, bank=1, row= 26, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 518] JBTAC: [JBTAC]update_req: fetchPC=0x0080000080, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000000000001, bank=1, row= 8, target=0x008000009c, offset= 4, type=0x1 -[INFO ][time= 518] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 518] BTB: read: pc=0x008000009c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 518] BTB: read_resp: pc=0x00800001a0, readIdx=208------------------------------- -[DEBUG][time= 518] BTB: read_resp[b=0][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=1][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=2][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=3][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=4][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=5][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=6][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=7][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=12][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=13][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=14][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: read_resp[b=15][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 518] BTB: bankIdxInOrder:[DEBUG][time= 518] BTB: 0 [DEBUG][time= 518] BTB: 1 [DEBUG][time= 518] BTB: 2 [DEBUG][time= 518] BTB: 3 [DEBUG][time= 518] BTB: 4 [DEBUG][time= 518] BTB: 5 [DEBUG][time= 518] BTB: 6 [DEBUG][time= 518] BTB: 7 [DEBUG][time= 518] BTB: 8 [DEBUG][time= 518] BTB: 9 [DEBUG][time= 518] BTB: 10 [DEBUG][time= 518] BTB: 11 [DEBUG][time= 518] BTB: 12 [DEBUG][time= 518] BTB: 13 [DEBUG][time= 518] BTB: 14 [DEBUG][time= 518] BTB: 15 [DEBUG][time= 518] BTB: -[DEBUG][time= 518] BTB: update_req: pc=0x0080000088, hit=0, misPred=1, oldCtr=0, taken=1, target=0x008000009c, btbType=1 -[DEBUG][time= 518] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 4, row= 4, newCtr=2 -[DEBUG][time= 518] MulExeUnit: redirect: f:0 v: 1 -[DEBUG][time= 518] MulExeUnit_1: redirect: f:0 v: 1 -[INFO ][time= 518] Rename: pc:00800000e0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 44 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 44 out v:0 r:1 -[INFO ][time= 518] Rename: pc:00800000e4 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 50 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 518] Rename: pc:00800000e8 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 39 out v:0 r:1 -[INFO ][time= 518] Rename: pc:00800000ec in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 50 out v:0 r:1 -[INFO ][time= 518] Rename: pc:00800000f0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 518] Rename: pc:00800000f4 in v:0 in rdy:1 lsrc1:11 -> psrc1: 11 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 518] Rename: int rat arch: ldest: 2 pdest: 36 -[DEBUG][time= 518] Dispatch1: pc=00800000c8 brTag:f:0 v: 1 -[DEBUG][time= 518] Dispatch1: pc=00800000cc brTag:f:0 v: 1 -[DEBUG][time= 518] Dispatch1: pc=00800000d0 brTag:f:0 v: 1 -[DEBUG][time= 518] Dispatch1: pc=00800000d4 brTag:f:0 v: 1 -[DEBUG][time= 518] Dispatch1: pc=00800000d8 brTag:f:0 v: 1 -[DEBUG][time= 518] Dispatch1: pc=00800000dc brTag:f:0 v: 1 -[INFO ][time= 518] Dispatch1: pc 0x00800000c8 with brTag 1 cancelled -[INFO ][time= 518] Dispatch1: pc 0x00800000cc with brTag 1 cancelled -[INFO ][time= 518] Dispatch1: pc 0x00800000d0 with brTag 1 cancelled -[INFO ][time= 518] Dispatch1: pc 0x00800000d4 with brTag 1 cancelled -[INFO ][time= 518] Dispatch1: pc 0x00800000d8 with brTag 1 cancelled -[INFO ][time= 518] Dispatch1: pc 0x00800000dc with brTag 1 cancelled -[DEBUG][time= 518] Dispatch1: pc 0x00800000c8 receives nroq 22 -[DEBUG][time= 518] Dispatch1: v:1 r:1 pc 0x00800000c8 of type 1100 is in 0-th slot -[DEBUG][time= 518] Dispatch1: pc 0x00800000cc receives nroq 23 -[DEBUG][time= 518] Dispatch1: v:1 r:1 pc 0x00800000cc of type 0011 is in 1-th slot -[DEBUG][time= 518] Dispatch1: pc 0x00800000d0 receives nroq 24 -[DEBUG][time= 518] Dispatch1: v:1 r:1 pc 0x00800000d0 of type 0011 is in 2-th slot -[DEBUG][time= 518] Dispatch1: pc 0x00800000d4 receives nroq 25 -[DEBUG][time= 518] Dispatch1: v:1 r:1 pc 0x00800000d4 of type 1101 is in 3-th slot -[DEBUG][time= 518] Dispatch1: pc 0x00800000d8 receives nroq 26 -[DEBUG][time= 518] Dispatch1: v:1 r:1 pc 0x00800000d8 of type 1100 is in 4-th slot -[DEBUG][time= 518] Dispatch1: pc 0x00800000dc receives nroq 27 -[DEBUG][time= 518] Dispatch1: v:1 r:1 pc 0x00800000dc of type 1100 is in 5-th slot -[DEBUG][time= 518] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 518] Dispatch2: dispatch to iq index 1: 2 -[DEBUG][time= 518] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 518] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 518] Dispatch2: regfile 0 from 2 -[DEBUG][time= 518] Dispatch2: regfile 1 from 2 -[DEBUG][time= 518] Dispatch2: regfile 2 from 1 -[DEBUG][time= 518] Dispatch2: regfile 3 from 1 -[DEBUG][time= 518] Dispatch2: regfile 4 from 0 -[DEBUG][time= 518] Dispatch2: regfile 5 from 0 -[DEBUG][time= 518] Dispatch2: regfile 6 from 0 -[DEBUG][time= 518] Dispatch2: regfile 7 from 0 -[DEBUG][time= 518] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 8: addr 44, state 0 -[DEBUG][time= 518] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 518] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 518] Dispatch2: pc 0x0080000094 reads operands from ( 2, 0, 0000000080000094), ( 3, 0, ffffffffffffff7c), ( 0, 0, 0000000000000000) -[DEBUG][time= 518] Dispatch2: pc 0x00800000a0 reads operands from ( 0, 0, 0000000000000000), ( 1, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[DEBUG][time= 518] Dispatch2: pc 0x008000009c reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 0000000040600000), ( 0, 0, 0000000000000000) -[DEBUG][time= 518] Dispatch2: pc 0x00800000a4 reads operands from ( 8, 42, d95ad4be237be8dc), ( 9, 43, d95ad4be237be8dc), ( 0, 0, 0000000000000000) -[INFO ][time= 518] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 518] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 518] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 518] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 518] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 518] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 518] DecodeBuffer: out v:0 r:1 pc=00800000e0 -[INFO ][time= 518] DecodeBuffer: out v:0 r:1 pc=00800000e4 -[INFO ][time= 518] DecodeBuffer: out v:0 r:1 pc=00800000e8 -[INFO ][time= 518] DecodeBuffer: out v:0 r:1 pc=00800000ec -[INFO ][time= 518] DecodeBuffer: out v:0 r:1 pc=00800000f0 -[INFO ][time= 518] DecodeBuffer: out v:0 r:1 pc=00800000f4 -[ERROR][time= 518] Roq: CSR block should only happen in s_idle -[DEBUG][time= 518] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 518] Roq: dispatched 6 insts -[INFO ][time= 518] Roq: writebacked 1 insts -[INFO ][time= 518] Roq: writebacked pc 0x0080000088 wen 1 data 0x000000008000008c ldst 1 pdst 37 skip 0 -[INFO ][time= 518] Roq: retired pc 0080000080 wen 1 ldst 2 data 0000000080008ff0 -[INFO ][time= 518] Roq: retired 1 insts -[DEBUG][time= 518] Roq: head 0:22 tail 0: 4 -[DEBUG][time= 518] Roq: ----wvvwvvvvvvvvvvvvvv---------- -[DEBUG][time= 518] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 518] Roq: 0080000080 w 0080000084 v 0080000088 v 008000008c w -[DEBUG][time= 518] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 518] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 518] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 518] Roq: 00800000c0 v 00800000c4 v 0080000058 - 008000005c - -[DEBUG][time= 518] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 518] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[INFO ][time= 518] DispatchQueue_2: LsDpQ: valid entry(7)(pc = 00800000b0) cancelled with brTag 1 -[INFO ][time= 518] DispatchQueue_2: LsDpQ: valid entry( 8)(pc = 00800000b4) cancelled with brTag 1 -[DEBUG][time= 518] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 7), tail = (0, 9), -[INFO ][time= 518] DispatchQueue: IntDpQ: valid entry(2)(pc = 0080000098) cancelled with brTag 1 -[INFO ][time= 518] DispatchQueue: IntDpQ: valid entry(5)(pc = 00800000a8) cancelled with brTag 1 -[INFO ][time= 518] DispatchQueue: IntDpQ: valid entry(6)(pc = 00800000ac) cancelled with brTag 1 -[INFO ][time= 518] DispatchQueue: IntDpQ: valid entry(7)(pc = 00800000b8) cancelled with brTag 1 -[INFO ][time= 518] DispatchQueue: IntDpQ: valid entry( 8)(pc = 00800000bc) cancelled with brTag 1 -[INFO ][time= 518] DispatchQueue: IntDpQ: valid entry( 9)(pc = 00800000c0) cancelled with brTag 1 -[INFO ][time= 518] DispatchQueue: IntDpQ: valid entry(10)(pc = 00800000c4) cancelled with brTag 1 -[DEBUG][time= 518] DispatchQueue: IntDpQ: valid_entries = 9, head = (1, 2), tail = (1, 11), -[DEBUG][time= 518] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr c6febe33d6ce6de0 size 2 data ca7bf091ca7bf091 mask 000f cmd 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 518] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 518] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 518] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 518] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484064------> s1 fire!!! -[DEBUG][time= 518] FakeCache: [Stage1_data] instr1:0x0c913423 instr2:0x0d213023 -[DEBUG][time= 518] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 518] FakeCache: [Stage2_data] instr1:0x00813983 instr2:0x03010113 -[DEBUG][time= 518] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 518] FakeCache: [Stage3_data] instr1:0xfff4849b instr2:0x00178793 -[DEBUG][time= 518] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 518] IFU: [IF1]if1_valid:1 || if1_npc:0x008000009c || if1_pcUpdate:1 if1_pc:0x00800001a0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 518] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001a0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 518] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 518] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 518] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000180 if3_npc:0x00800001a0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 518] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000160 if4_npc:0x0080000180 -[DEBUG][time= 518] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000180 -[DEBUG][time= 518] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 518] IFU: [IFU-REDIRECT] target:0x008000009c -[INFO ][time= 518] IssueQueue: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:00000001 redIdHitVec:00000001 enqHit:1 selIsRed:1 -[INFO ][time= 518] IssueQueue: EnqData: src1:0000000080000094 src2:ffffffffffffff7c src3:0000000000000000 pc:0080000094 roqIdx:09(for last cycle's Ctrl) -[DEBUG][time= 518] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 518] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 -[DEBUG][time= 518] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 518] IssueQueue: 0 |1|1| 0|1|000000008000000c| 0|1|0000000000000074| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 518] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 518] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 518] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 518] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 518] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 518] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 518] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 518] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:00000010 redIdHitVec:00000001 enqHit:1 selIsRed:1 -[INFO ][time= 518] IssueQueue_1: EnqData: src1:0000000000000000 src2:0000000000000003 src3:0000000000000000 pc:00800000a0 roqIdx:0c(for last cycle's Ctrl) -[DEBUG][time= 518] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 518] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 43) -[DEBUG][time= 518] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 518] IssueQueue_1: 1 |1|1| 0|1|d95ad4be237be8dc| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 518] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 518] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 518] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 518] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 518] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 518] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 518] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 518] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:11111101 redIdHitVec:11111110 enqHit:1 selIsRed:0 -[DEBUG][time= 518] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 518] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 518] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 518] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 518] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 518] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 518] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 518] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 518] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 518] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 518] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 518] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:00000100 redIdHitVec:00000001 enqHit:1 selIsRed:1 -[DEBUG][time= 518] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 518] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 39) -[DEBUG][time= 518] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 518] IssueQueue_3: 2 |1|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 518] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 518] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 518] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 518] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 518] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 518] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 518] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 518] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:11111110 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 518] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000040600000 src3:0000000000000000 pc:008000009c roqIdx:0b(for last cycle's Ctrl) -[DEBUG][time= 518] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 518] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 42) -[DEBUG][time= 518] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 518] IssueQueue_4: 1 |1|1| 0|1|bc354112ad3ee8a2| 0|1|14aebf80d4026f54| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 518] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 518] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 518] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 518] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 518] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 518] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 518] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 518] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 518] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 518] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 518] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 518] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 518] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 518] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 518] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 518] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 518] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 518] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 518] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 518] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:0 -[DEBUG][time= 518] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 518] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 518] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 518] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 518] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 518] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 518] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 518] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 518] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 518] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 518] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 518] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 1 redHitVec:00000010 redIdHitVec:00000010 enqHit:1 selIsRed:0 -[INFO ][time= 518] IssueQueue_7: EnqData: src1:d95ad4be237be8dc src2:d95ad4be237be8dc src3:0000000000000000 pc:00800000a4 roqIdx:0d(for last cycle's Ctrl) -[DEBUG][time= 518] IssueQueue_7: tailAll: 2 KID(001) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000000 moveDot:00000110 In(0 1) Out(0 0) -[DEBUG][time= 518] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 518] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 518] IssueQueue_7: 0 |1|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 518] IssueQueue_7: 1 |1|0| 42|0|d95ad4be237be8dc| 43|0|53b69da4ca7bf091| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 518] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 518] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 518] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 518] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 518] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 518] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 518] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 518] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 518] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 518] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 518] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 518] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 518] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 518] FreeList: redirect: brqIdx= 1 -[DEBUG][time= 518] FreeList_1: dealloc preg: 34 -[DEBUG][time= 518] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 518] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 518] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 518] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 518] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 518] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 518] FreeList_1: head:0: 20 tail:1: 4 -[DEBUG][time= 518] FreeList_1: redirect: brqIdx= 1 -[DEBUG][time= 518] Brq: headIdx: 1 commitIdx: 1 -[DEBUG][time= 518] Brq: headPtr:f:0 v: 1 tailPtr:f:0 v:11 -[DEBUG][time= 518] Brq: -w-------------- -[INFO ][time= 518] Brq: commit branch to roq, mispred:1 pc=0080000088 -[INFO ][time= 518] Brq: brq redirect, target:008000009c -[DEBUG][time= 519] Tage: req: pc=0x00800000bc, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 519] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 519] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 519] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080008ff8| 0 1) -[DEBUG][time= 519] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 519] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 519] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 519] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 519] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 519] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 519] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 519] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 519] BusyTable_1: writeback 51 -[DEBUG][time= 519] BusyTable_1: writeback 50 -[DEBUG][time= 519] BusyTable_1: writeback 49 -[DEBUG][time= 519] BusyTable_1: writeback 48 -[DEBUG][time= 519] BusyTable_1: 39 is busy -[DEBUG][time= 519] BusyTable_1: 40 is busy -[DEBUG][time= 519] BusyTable_1: 41 is busy -[DEBUG][time= 519] BusyTable_1: 42 is busy -[DEBUG][time= 519] BusyTable_1: 43 is busy -[DEBUG][time= 519] BusyTable_1: 44 is busy -[DEBUG][time= 519] BusyTable_1: 45 is busy -[DEBUG][time= 519] BusyTable_1: 46 is busy -[DEBUG][time= 519] BusyTable_1: 47 is busy -[DEBUG][time= 519] BusyTable_1: 48 is busy -[DEBUG][time= 519] BusyTable_1: 49 is busy -[DEBUG][time= 519] BusyTable_1: 50 is busy -[DEBUG][time= 519] BusyTable_1: 51 is busy -[DEBUG][time= 519] BPUStage2: in:(1 1) pc=008000009c out:(0 1) pc=0080000180 -[DEBUG][time= 519] BPUStage2: validLatch=0 pc=0080000180 -[DEBUG][time= 519] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 519] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 519] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 519] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 519] BPUStage1: in:(1 1) pc=00800000bc ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 519] BPUStage1: outPred:(1) pc=0x008000009c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 519] BPUStage3: flushS3=1 -[DEBUG][time= 519] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 519] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 519] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 519] JBTAC: read: pc=0x00800000bc, histXORAddr=0x00800000ba, bank=5, row= 11, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 519] JBTAC: read_resp: pc=0x008000009c, bank=5, row= 9, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 519] BTB: read: pc=0x00800000bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 519] BTB: read_resp: pc=0x008000009c, readIdx= 78------------------------------- -[DEBUG][time= 519] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 519] BTB: bankIdxInOrder:[DEBUG][time= 519] BTB: 14 [DEBUG][time= 519] BTB: 15 [DEBUG][time= 519] BTB: 0 [DEBUG][time= 519] BTB: 1 [DEBUG][time= 519] BTB: 2 [DEBUG][time= 519] BTB: 3 [DEBUG][time= 519] BTB: 4 [DEBUG][time= 519] BTB: 5 [DEBUG][time= 519] BTB: 6 [DEBUG][time= 519] BTB: 7 [DEBUG][time= 519] BTB: 8 [DEBUG][time= 519] BTB: 9 [DEBUG][time= 519] BTB: 10 [DEBUG][time= 519] BTB: 11 [DEBUG][time= 519] BTB: 12 [DEBUG][time= 519] BTB: 13 [DEBUG][time= 519] BTB: -[INFO ][time= 519] Rename: int walk: pc:00800000dc ldst: 8 old_pdest: 46 -[INFO ][time= 519] Rename: int walk: pc:00800000d8 ldst: 1 old_pdest: 41 -[INFO ][time= 519] Rename: int walk: pc:00800000cc ldst:15 old_pdest: 48 -[INFO ][time= 519] Rename: int walk: pc:00800000c8 ldst:15 old_pdest: 45 -[DEBUG][time= 519] Dispatch1: v:0 r:1 pc 0x00800000c8 of type 1100 is in 0-th slot -[DEBUG][time= 519] Dispatch1: v:0 r:1 pc 0x00800000cc of type 0011 is in 1-th slot -[DEBUG][time= 519] Dispatch1: v:0 r:1 pc 0x00800000d0 of type 0011 is in 2-th slot -[DEBUG][time= 519] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 3-th slot -[DEBUG][time= 519] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 4-th slot -[DEBUG][time= 519] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 5-th slot -[DEBUG][time= 519] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 519] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 519] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 519] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 519] Dispatch2: regfile 0 from 1 -[DEBUG][time= 519] Dispatch2: regfile 1 from 1 -[DEBUG][time= 519] Dispatch2: regfile 2 from 2 -[DEBUG][time= 519] Dispatch2: regfile 3 from 2 -[DEBUG][time= 519] Dispatch2: regfile 4 from 0 -[DEBUG][time= 519] Dispatch2: regfile 5 from 0 -[DEBUG][time= 519] Dispatch2: regfile 6 from 0 -[DEBUG][time= 519] Dispatch2: regfile 7 from 0 -[DEBUG][time= 519] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 8: addr 44, state 0 -[DEBUG][time= 519] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 519] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 519] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 519] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 519] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 519] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 519] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 519] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 519] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 519] DecodeBuffer: out v:0 r:0 pc=00800000e0 -[INFO ][time= 519] DecodeBuffer: out v:0 r:0 pc=00800000e4 -[INFO ][time= 519] DecodeBuffer: out v:0 r:0 pc=00800000e8 -[INFO ][time= 519] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 519] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 519] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[ERROR][time= 519] Roq: CSR block should only happen in s_idle -[DEBUG][time= 519] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 519] Roq: writebacked 1 insts -[INFO ][time= 519] Roq: writebacked pc 0x0080000084 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[INFO ][time= 519] Roq: walked pc 00800000dc wen 1 ldst 8 data 14aebf80d4026f54 -[INFO ][time= 519] Roq: walked pc 00800000d8 wen 1 ldst 1 data 6a32e58cb45a9365 -[INFO ][time= 519] Roq: walked pc 00800000d4 wen 0 ldst 0 data d778a282da4f7138 -[INFO ][time= 519] Roq: walked pc 00800000d0 wen 0 ldst 0 data d990a6bfff0eb52d -[INFO ][time= 519] Roq: walked pc 00800000cc wen 1 ldst 15 data c38fe4e3d1a781e0 -[INFO ][time= 519] Roq: walked pc 00800000c8 wen 1 ldst 15 data 00000000591ea2fd -[INFO ][time= 519] Roq: rolling back: head 7 tail 5 walk 0:27 -[DEBUG][time= 519] Roq: head 0: 7 tail 0: 5 -[DEBUG][time= 519] Roq: -----vwwvvvvvvvvvvvvvvvvvvvv---- -[DEBUG][time= 519] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 519] Roq: 0080000080 - 0080000084 v 0080000088 w 008000008c w -[DEBUG][time= 519] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 519] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 519] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 519] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc v -[DEBUG][time= 519] Roq: 00800000d0 v 00800000d4 v 00800000d8 v 00800000dc v -[DEBUG][time= 519] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 519] DispatchQueue_2: LsDpQ: num_deq = 2, head = ( 7 -> 9) -[DEBUG][time= 519] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 7), tail = (0, 9), -[DEBUG][time= 519] DispatchQueue: IntDpQ: num_deq = 4, head = ( 2 -> 6) -[DEBUG][time= 519] DispatchQueue: IntDpQ: valid_entries = 9, head = (1, 2), tail = (1, 11), -[DEBUG][time= 519] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 0 ptr 0 valid 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 519] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 519] LsExeUnit: LSU fire: pc 0080000084 addr 0000000080008ff8 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres bbcfcbd75766fb24 fwdres 0000000000000000 -[DEBUG][time= 519] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 519] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 0 stqCommited 0 emptySlot 0 -[DEBUG][time= 519] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483804------> s1 fire!!! -[DEBUG][time= 519] FakeCache: [Stage1_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 519] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 519] FakeCache: [Stage2_data] instr1:0x0c913423 instr2:0x0d213023 -[DEBUG][time= 519] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 519] FakeCache: [Stage3_data] instr1:0x00813983 instr2:0x03010113 -[DEBUG][time= 519] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 519] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000bc || if1_pcUpdate:1 if1_pc:0x008000009c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 519] IFU: [IF2]if2_valid:1 || if2_pc:0x008000009c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 519] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 519] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 519] IFU: [IF3]if3_valid:0 || if3_pc:0x00800001a0 if3_npc:0x008000009c || if4_ready:1 -[DEBUG][time= 519] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000180 if4_npc:0x00800001a0 -[DEBUG][time= 519] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000180 -[DEBUG][time= 519] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 519] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 519] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 519] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 519] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 519] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 519] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 519] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 519] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 519] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 519] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 519] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 519] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 519] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 519] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 519] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 519] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 519] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 519] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 519] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 519] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 519] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 519] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 519] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 519] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 519] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 519] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 519] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 519] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 519] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 519] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 519] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 519] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 519] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 519] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 519] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 519] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 519] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 519] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 519] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 519] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 519] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 519] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 519] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 519] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 519] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 519] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 519] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 519] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 519] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 519] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 519] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 519] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 519] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 519] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 519] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 519] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 519] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 519] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 519] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 519] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 519] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 519] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 519] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 519] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 519] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 519] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 519] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 519] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 519] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 519] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 519] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 519] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 519] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 519] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 519] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 519] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 519] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 519] IssueQueue_7: Deq:(1 1) [ 36|0000000080008ff0][ 35|0000000080000010][ 0|0000000000000000] pdest: 32 pc:0080000084 roqIdx:05 -[DEBUG][time= 519] IssueQueue_7: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 1) -[INFO ][time= 519] IssueQueue_7: FireStage:Out(1 1) src1( 36|0000000080008ff0) src2( 35|0000000080000010) src3( 0|0000000000000000) deqFlush:0 pc:0080000084 roqIdx: 5 -[DEBUG][time= 519] IssueQueue_7: popOne:1 isPop:1 popSel:0 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 519] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 519] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 519] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 519] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 519] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 519] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 519] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 519] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 519] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 519] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 519] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 519] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 519] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 519] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 519] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 519] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 519] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 519] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 519] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 519] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 519] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 519] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 519] FreeList_1: head:0: 6 tail:1: 5 -[DEBUG][time= 519] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 519] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 2 -[DEBUG][time= 519] Brq: ---------------- -[DEBUG][time= 519] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 519] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 519] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 519] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 519] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 519] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 520] Tage: req: pc=0x00800000dc, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 520] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 520] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 520] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080008ff8| 0 1) -[DEBUG][time= 520] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 520] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 520] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 520] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 520] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 520] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 520] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 520] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 520] BusyTable_1: writeback 47 -[DEBUG][time= 520] BusyTable_1: writeback 46 -[DEBUG][time= 520] BusyTable_1: writeback 45 -[DEBUG][time= 520] BusyTable_1: 39 is busy -[DEBUG][time= 520] BusyTable_1: 40 is busy -[DEBUG][time= 520] BusyTable_1: 41 is busy -[DEBUG][time= 520] BusyTable_1: 42 is busy -[DEBUG][time= 520] BusyTable_1: 43 is busy -[DEBUG][time= 520] BusyTable_1: 44 is busy -[DEBUG][time= 520] BusyTable_1: 45 is busy -[DEBUG][time= 520] BusyTable_1: 46 is busy -[DEBUG][time= 520] BusyTable_1: 47 is busy -[DEBUG][time= 520] BPUStage2: in:(1 1) pc=00800000bc out:(1 1) pc=008000009c -[DEBUG][time= 520] BPUStage2: validLatch=1 pc=008000009c -[DEBUG][time= 520] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 520] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 520] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 520] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 520] BPUStage1: in:(1 1) pc=00800000dc ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 520] BPUStage1: outPred:(1) pc=0x00800000bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 520] BPUStage3: [RAS]:pc=0x008000009c, rasWritePosition= 1, rasWriteAddr=0x0080000180 -[DEBUG][time= 520] BPUStage3: in:(1 1) pc=008000009c -[DEBUG][time= 520] BPUStage3: flushS3=1 -[DEBUG][time= 520] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 520] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 520] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 520] JBTAC: read: pc=0x00800000dc, histXORAddr=0x00800000da, bank=5, row= 13, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 520] JBTAC: read_resp: pc=0x00800000bc, bank=5, row= 11, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 520] BTB: read: pc=0x00800000dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 520] BTB: read_resp: pc=0x00800000bc, readIdx= 94------------------------------- -[DEBUG][time= 520] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 520] BTB: bankIdxInOrder:[DEBUG][time= 520] BTB: 14 [DEBUG][time= 520] BTB: 15 [DEBUG][time= 520] BTB: 0 [DEBUG][time= 520] BTB: 1 [DEBUG][time= 520] BTB: 2 [DEBUG][time= 520] BTB: 3 [DEBUG][time= 520] BTB: 4 [DEBUG][time= 520] BTB: 5 [DEBUG][time= 520] BTB: 6 [DEBUG][time= 520] BTB: 7 [DEBUG][time= 520] BTB: 8 [DEBUG][time= 520] BTB: 9 [DEBUG][time= 520] BTB: 10 [DEBUG][time= 520] BTB: 11 [DEBUG][time= 520] BTB: 12 [DEBUG][time= 520] BTB: 13 [DEBUG][time= 520] BTB: -[INFO ][time= 520] Rename: int walk: pc:00800000c4 ldst:14 old_pdest: 43 -[INFO ][time= 520] Rename: int walk: pc:00800000bc ldst: 8 old_pdest: 32 -[INFO ][time= 520] Rename: int walk: pc:00800000b8 ldst:15 old_pdest: 42 -[DEBUG][time= 520] Dispatch1: v:0 r:1 pc 0x00800000c8 of type 1100 is in 0-th slot -[DEBUG][time= 520] Dispatch1: v:0 r:1 pc 0x00800000cc of type 0011 is in 1-th slot -[DEBUG][time= 520] Dispatch1: v:0 r:1 pc 0x00800000d0 of type 0011 is in 2-th slot -[DEBUG][time= 520] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 3-th slot -[DEBUG][time= 520] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 4-th slot -[DEBUG][time= 520] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 5-th slot -[DEBUG][time= 520] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 520] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 520] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 520] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 520] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 520] Dispatch2: regfile 0 from 0 -[DEBUG][time= 520] Dispatch2: regfile 1 from 0 -[DEBUG][time= 520] Dispatch2: regfile 2 from 1 -[DEBUG][time= 520] Dispatch2: regfile 3 from 1 -[DEBUG][time= 520] Dispatch2: regfile 4 from 2 -[DEBUG][time= 520] Dispatch2: regfile 5 from 2 -[DEBUG][time= 520] Dispatch2: regfile 6 from 3 -[DEBUG][time= 520] Dispatch2: regfile 7 from 3 -[DEBUG][time= 520] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 4: addr 39, state 0 -[DEBUG][time= 520] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 6: addr 39, state 0 -[DEBUG][time= 520] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 7: addr 45, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 8: addr 4, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 9: addr 83, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 12: addr 83, state 1 -[DEBUG][time= 520] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 520] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 520] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 520] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 520] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 520] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 520] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 520] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 520] DecodeBuffer: out v:0 r:0 pc=00800000e0 -[INFO ][time= 520] DecodeBuffer: out v:0 r:0 pc=00800000e4 -[INFO ][time= 520] DecodeBuffer: out v:0 r:0 pc=00800000e8 -[INFO ][time= 520] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 520] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 520] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[ERROR][time= 520] Roq: CSR block should only happen in s_idle -[DEBUG][time= 520] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 520] Roq: walked pc 00800000c4 wen 1 ldst 14 data 0f1b316d28f507ae -[INFO ][time= 520] Roq: walked pc 00800000c0 wen 0 ldst 0 data 52a9bf6dd6a01910 -[INFO ][time= 520] Roq: walked pc 00800000bc wen 1 ldst 8 data c4cb6f1ec27112f1 -[INFO ][time= 520] Roq: walked pc 00800000b8 wen 1 ldst 15 data 2a083d0ba8e3b0d3 -[INFO ][time= 520] Roq: walked pc 00800000b4 wen 0 ldst 0 data 3b2c06f87ac8f439 -[INFO ][time= 520] Roq: walked pc 00800000b0 wen 0 ldst 0 data bc976fc1d239e13d -[INFO ][time= 520] Roq: rolling back: head 7 tail 5 walk 0:21 -[DEBUG][time= 520] Roq: head 0: 7 tail 0: 5 -[DEBUG][time= 520] Roq: -----wwwvvvvvvvvvvvvvv---------- -[DEBUG][time= 520] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 520] Roq: 0080000080 - 0080000084 w 0080000088 w 008000008c w -[DEBUG][time= 520] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 520] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 520] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 520] Roq: 00800000c0 v 00800000c4 v 00800000c8 - 00800000cc - -[DEBUG][time= 520] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 520] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 520] DispatchQueue: IntDpQ: num_deq = 4, head = ( 6 -> 10) -[DEBUG][time= 520] DispatchQueue: IntDpQ: valid_entries = 5, head = (1, 6), tail = (1, 11), -[DEBUG][time= 520] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 0 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 0 data 10 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 3 data 80 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 520] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 520] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000084 addr 0000000080008ff8 data 0000000080000010 func 0b wmask 000000011111111 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 520] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 520] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 520] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 520] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483836------> s1 fire!!! -[DEBUG][time= 520] FakeCache: [Stage1_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 520] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 520] FakeCache: [Stage2_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 520] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 520] FakeCache: [Stage3_data] instr1:0x00813983 instr2:0x03010113 -[DEBUG][time= 520] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 520] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000dc || if1_pcUpdate:1 if1_pc:0x00800000bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 520] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 520] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 520] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 520] IFU: [IF3]if3_valid:1 || if3_pc:0x008000009c if3_npc:0x00800000bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 520] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000180 if4_npc:0x00800001a0 -[DEBUG][time= 520] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000180 -[DEBUG][time= 520] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 520] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 520] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 520] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 520] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 520] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 520] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 520] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 520] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 520] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 520] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 520] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 520] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 520] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 520] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 520] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 520] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 520] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 520] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 520] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 520] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 520] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 520] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 520] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 520] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 520] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 520] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 520] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 520] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 520] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 520] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 520] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 520] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 520] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 520] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 520] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 520] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 520] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 520] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 520] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 520] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 520] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 520] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 520] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 520] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 520] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 520] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 520] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 520] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 520] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 520] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 520] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 520] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 520] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 520] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 520] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 520] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 520] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 520] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 520] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 520] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 520] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 520] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 520] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 520] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 520] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 520] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 520] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 520] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 520] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 520] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 520] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 520] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 520] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 520] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 520] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 520] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 520] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 520] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 520] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 520] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 520] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 520] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 520] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 520] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 520] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 520] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 520] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 520] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 520] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 520] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 520] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 520] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 520] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 520] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 520] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 520] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 520] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 520] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 520] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 520] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 520] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 520] FreeList_1: head:0: 6 tail:1: 5 -[DEBUG][time= 520] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 520] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 2 -[DEBUG][time= 520] Brq: ---------------- -[DEBUG][time= 520] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 520] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 520] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 520] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 520] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 520] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 521] Tage: req: pc=0x00800000fc, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 521] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 521] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 521] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080008ff8| 0 1) -[DEBUG][time= 521] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 521] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 521] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 521] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 521] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 521] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 521] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 521] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 521] BusyTable_1: writeback 44 -[DEBUG][time= 521] BusyTable_1: writeback 43 -[DEBUG][time= 521] BusyTable_1: writeback 42 -[DEBUG][time= 521] BusyTable_1: writeback 41 -[DEBUG][time= 521] BusyTable_1: 39 is busy -[DEBUG][time= 521] BusyTable_1: 40 is busy -[DEBUG][time= 521] BusyTable_1: 41 is busy -[DEBUG][time= 521] BusyTable_1: 42 is busy -[DEBUG][time= 521] BusyTable_1: 43 is busy -[DEBUG][time= 521] BusyTable_1: 44 is busy -[DEBUG][time= 521] BPUStage2: in:(1 1) pc=00800000dc out:(1 1) pc=00800000bc -[DEBUG][time= 521] BPUStage2: validLatch=1 pc=00800000bc -[DEBUG][time= 521] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 521] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 521] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 521] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 521] BPUStage1: in:(1 1) pc=00800000fc ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 521] BPUStage1: outPred:(1) pc=0x00800000dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 521] BPUStage3: [RAS]:pc=0x00800000bc, rasWritePosition= 1, rasWriteAddr=0x00800000bc -[DEBUG][time= 521] BPUStage3: in:(1 1) pc=00800000bc -[DEBUG][time= 521] BPUStage3: out:1 pc=008000009c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000bc -[DEBUG][time= 521] BPUStage3: flushS3=0 -[DEBUG][time= 521] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 521] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 521] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 521] JBTAC: read: pc=0x00800000fc, histXORAddr=0x00800000fa, bank=5, row= 15, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 521] JBTAC: read_resp: pc=0x00800000dc, bank=5, row= 13, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 521] Ibuffer: Enque: -[DEBUG][time= 521] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 521] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 521] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 521] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 521] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 521] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 521] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 521] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 521] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 521] BTB: read: pc=0x00800000fc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 521] BTB: read_resp: pc=0x00800000dc, readIdx=110------------------------------- -[DEBUG][time= 521] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 521] BTB: bankIdxInOrder:[DEBUG][time= 521] BTB: 14 [DEBUG][time= 521] BTB: 15 [DEBUG][time= 521] BTB: 0 [DEBUG][time= 521] BTB: 1 [DEBUG][time= 521] BTB: 2 [DEBUG][time= 521] BTB: 3 [DEBUG][time= 521] BTB: 4 [DEBUG][time= 521] BTB: 5 [DEBUG][time= 521] BTB: 6 [DEBUG][time= 521] BTB: 7 [DEBUG][time= 521] BTB: 8 [DEBUG][time= 521] BTB: 9 [DEBUG][time= 521] BTB: 10 [DEBUG][time= 521] BTB: 11 [DEBUG][time= 521] BTB: 12 [DEBUG][time= 521] BTB: 13 [DEBUG][time= 521] BTB: -[INFO ][time= 521] Rename: int walk: pc:00800000ac ldst: 2 old_pdest: 36 -[INFO ][time= 521] Rename: int walk: pc:00800000a0 ldst:14 old_pdest: 14 -[INFO ][time= 521] Rename: int walk: pc:008000009c ldst:15 old_pdest: 15 -[INFO ][time= 521] Rename: int walk: pc:0080000098 ldst: 1 old_pdest: 40 -[DEBUG][time= 521] Dispatch1: v:0 r:1 pc 0x00800000c8 of type 1100 is in 0-th slot -[DEBUG][time= 521] Dispatch1: v:0 r:1 pc 0x00800000cc of type 0011 is in 1-th slot -[DEBUG][time= 521] Dispatch1: v:0 r:1 pc 0x00800000d0 of type 0011 is in 2-th slot -[DEBUG][time= 521] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 3-th slot -[DEBUG][time= 521] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 4-th slot -[DEBUG][time= 521] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 5-th slot -[DEBUG][time= 521] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 521] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 521] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 521] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 521] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 521] Dispatch2: regfile 0 from 0 -[DEBUG][time= 521] Dispatch2: regfile 1 from 0 -[DEBUG][time= 521] Dispatch2: regfile 2 from 1 -[DEBUG][time= 521] Dispatch2: regfile 3 from 1 -[DEBUG][time= 521] Dispatch2: regfile 4 from 2 -[DEBUG][time= 521] Dispatch2: regfile 5 from 2 -[DEBUG][time= 521] Dispatch2: regfile 6 from 3 -[DEBUG][time= 521] Dispatch2: regfile 7 from 3 -[DEBUG][time= 521] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 2: addr 38, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 4: addr 40, state 0 -[DEBUG][time= 521] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 6: addr 34, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 8: addr 4, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 9: addr 83, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 12: addr 83, state 1 -[DEBUG][time= 521] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 521] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 521] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 521] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 521] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 521] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 521] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 521] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 521] DecodeBuffer: out v:0 r:0 pc=00800000e0 -[INFO ][time= 521] DecodeBuffer: out v:0 r:0 pc=00800000e4 -[INFO ][time= 521] DecodeBuffer: out v:0 r:0 pc=00800000e8 -[INFO ][time= 521] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 521] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 521] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[ERROR][time= 521] Roq: CSR block should only happen in s_idle -[DEBUG][time= 521] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 521] Roq: walked pc 00800000ac wen 1 ldst 2 data 617691e7ef800c75 -[INFO ][time= 521] Roq: walked pc 00800000a8 wen 1 ldst 0 data 4e75619b606735e4 -[INFO ][time= 521] Roq: walked pc 00800000a4 wen 0 ldst 0 data 38768681721d4080 -[INFO ][time= 521] Roq: walked pc 00800000a0 wen 1 ldst 14 data 713d2c3af2f5501c -[INFO ][time= 521] Roq: walked pc 008000009c wen 1 ldst 15 data 298f9cdf4a100ac9 -[INFO ][time= 521] Roq: walked pc 0080000098 wen 1 ldst 1 data 508a021319ca8f8d -[INFO ][time= 521] Roq: rolling back: head 7 tail 5 walk 0:15 -[DEBUG][time= 521] Roq: head 0: 7 tail 0: 5 -[DEBUG][time= 521] Roq: -----wwwvvvvvvvv---------------- -[DEBUG][time= 521] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 521] Roq: 0080000080 - 0080000084 w 0080000088 w 008000008c w -[DEBUG][time= 521] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 521] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 521] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 521] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 521] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 521] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 521] DispatchQueue: IntDpQ: num_deq = 1, head = (10 -> 11) -[DEBUG][time= 521] DispatchQueue: IntDpQ: valid_entries = 1, head = (1, 10), tail = (1, 11), -[DEBUG][time= 521] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 0 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 0 data 10 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 3 data 80 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 521] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 521] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000084 addr 0000000080008ff8 data 0000000080000010 func 0b wmask 000000011111111 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 521] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 521] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 521] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 521] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483868------> s1 fire!!! -[DEBUG][time= 521] FakeCache: [Stage1_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 521] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 521] FakeCache: [Stage2_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 521] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 521] FakeCache: [Stage3_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 521] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 521] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000fc || if1_pcUpdate:1 if1_pc:0x00800000dc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 521] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000dc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 521] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 521] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 521] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000bc if3_npc:0x00800000dc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 521] IFU: [IF4]if4_valid:1 || if4_pc:0x008000009c if4_npc:0x00800000bc -[DEBUG][time= 521] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000bc -[DEBUG][time= 521] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] starPC:0x008000009c GroupPC:0x0080000080n -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 521] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 521] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 521] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 521] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 521] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 521] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 521] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 521] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 521] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 521] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 521] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 521] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 521] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 521] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 521] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 521] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 521] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 521] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 521] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 521] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 521] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 521] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 521] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 521] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 521] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 521] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 521] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 521] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 521] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 521] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 521] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 521] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 521] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 521] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 521] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 521] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 521] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 521] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 521] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 521] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 521] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 521] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 521] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 521] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 521] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 521] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 521] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 521] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 521] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 521] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 521] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 521] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 521] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 521] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 521] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 521] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 521] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 521] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 521] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 521] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 521] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 521] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 521] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 521] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 521] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 521] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 521] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 521] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 521] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 521] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 521] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 521] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 521] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 521] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 521] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 521] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 521] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 521] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 521] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 521] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 521] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 521] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 521] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 521] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 521] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 521] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 521] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 521] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 521] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 521] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 521] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 521] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 521] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 521] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 521] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 521] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 521] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 521] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 521] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 521] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 521] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 521] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 521] FreeList_1: head:0: 6 tail:1: 5 -[DEBUG][time= 521] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 521] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 2 -[DEBUG][time= 521] Brq: ---------------- -[DEBUG][time= 521] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 521] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 521] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 521] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 521] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 521] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 2 -[DEBUG][time= 522] Tage: req: pc=0x008000011c, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 522] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 522] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 522] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080008ff8| 0 1) -[DEBUG][time= 522] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 522] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 522] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 522] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 522] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 522] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 522] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 522] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 522] BusyTable_1: writeback 40 -[DEBUG][time= 522] BusyTable_1: writeback 39 -[DEBUG][time= 522] BusyTable_1: writeback 38 -[DEBUG][time= 522] BusyTable_1: 39 is busy -[DEBUG][time= 522] BusyTable_1: 40 is busy -[DEBUG][time= 522] BPUStage2: in:(1 1) pc=00800000fc out:(1 1) pc=00800000dc -[DEBUG][time= 522] BPUStage2: validLatch=1 pc=00800000dc -[DEBUG][time= 522] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 522] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 522] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 522] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 522] BPUStage1: in:(1 1) pc=008000011c ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 522] BPUStage1: outPred:(1) pc=0x00800000fc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 522] BPUStage3: [RAS]:pc=0x00800000dc, rasWritePosition= 1, rasWriteAddr=0x00800000dc -[DEBUG][time= 522] BPUStage3: in:(1 1) pc=00800000dc -[DEBUG][time= 522] BPUStage3: out:1 pc=00800000bc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000dc -[DEBUG][time= 522] BPUStage3: flushS3=0 -[DEBUG][time= 522] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 522] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 522] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 522] JBTAC: read: pc=0x008000011c, histXORAddr=0x008000011a, bank=5, row= 17, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 522] JBTAC: read_resp: pc=0x00800000fc, bank=5, row= 15, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 522] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 522] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 522] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 522] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 522] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 522] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 522] Ibuffer: Enque: -[DEBUG][time= 522] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 522] Ibuffer: 00000010111101010000010001100011 -[DEBUG][time= 522] Ibuffer: 01000000011000000000011100110111 -[DEBUG][time= 522] Ibuffer: 00000000100001110100011110000011 -[DEBUG][time= 522] Ibuffer: 00000000100001111111011110010011 -[DEBUG][time= 522] Ibuffer: 11111110000001111001110011100011 -[DEBUG][time= 522] Ibuffer: 00000000100001110000001000100011 -[DEBUG][time= 522] Ibuffer: 00000000100000010011000010000011 -[DEBUG][time= 522] Ibuffer: Deque: -[DEBUG][time= 522] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=0 -[DEBUG][time= 522] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=0 -[DEBUG][time= 522] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=0 -[DEBUG][time= 522] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=0 -[DEBUG][time= 522] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=0 -[DEBUG][time= 522] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=0 -[DEBUG][time= 522] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 522] BTB: read: pc=0x008000011c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 522] BTB: read_resp: pc=0x00800000fc, readIdx=126------------------------------- -[DEBUG][time= 522] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 522] BTB: bankIdxInOrder:[DEBUG][time= 522] BTB: 14 [DEBUG][time= 522] BTB: 15 [DEBUG][time= 522] BTB: 0 [DEBUG][time= 522] BTB: 1 [DEBUG][time= 522] BTB: 2 [DEBUG][time= 522] BTB: 3 [DEBUG][time= 522] BTB: 4 [DEBUG][time= 522] BTB: 5 [DEBUG][time= 522] BTB: 6 [DEBUG][time= 522] BTB: 7 [DEBUG][time= 522] BTB: 8 [DEBUG][time= 522] BTB: 9 [DEBUG][time= 522] BTB: 10 [DEBUG][time= 522] BTB: 11 [DEBUG][time= 522] BTB: 12 [DEBUG][time= 522] BTB: 13 [DEBUG][time= 522] BTB: -[INFO ][time= 522] Rename: int walk: pc:0080000094 ldst: 1 old_pdest: 37 -[INFO ][time= 522] Rename: int walk: pc:0080000090 ldst:10 old_pdest: 38 -[INFO ][time= 522] Rename: int walk: pc:008000008c ldst:10 old_pdest: 10 -[DEBUG][time= 522] Dispatch1: v:0 r:1 pc 0x00800000c8 of type 1100 is in 0-th slot -[DEBUG][time= 522] Dispatch1: v:0 r:1 pc 0x00800000cc of type 0011 is in 1-th slot -[DEBUG][time= 522] Dispatch1: v:0 r:1 pc 0x00800000d0 of type 0011 is in 2-th slot -[DEBUG][time= 522] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 3-th slot -[DEBUG][time= 522] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 4-th slot -[DEBUG][time= 522] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 5-th slot -[DEBUG][time= 522] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 522] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 522] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 522] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 522] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 522] Dispatch2: regfile 0 from 0 -[DEBUG][time= 522] Dispatch2: regfile 1 from 0 -[DEBUG][time= 522] Dispatch2: regfile 2 from 1 -[DEBUG][time= 522] Dispatch2: regfile 3 from 1 -[DEBUG][time= 522] Dispatch2: regfile 4 from 2 -[DEBUG][time= 522] Dispatch2: regfile 5 from 2 -[DEBUG][time= 522] Dispatch2: regfile 6 from 3 -[DEBUG][time= 522] Dispatch2: regfile 7 from 3 -[DEBUG][time= 522] Dispatch2: int regfile 0: addr 38, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 4: addr 34, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 8: addr 4, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 9: addr 83, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 12: addr 83, state 1 -[DEBUG][time= 522] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 522] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 522] DecodeBuffer: in v:1 r:0 pc=008000009c -[INFO ][time= 522] DecodeBuffer: in v:0 r:0 pc=008000009c -[INFO ][time= 522] DecodeBuffer: in v:0 r:0 pc=008000009c -[INFO ][time= 522] DecodeBuffer: in v:0 r:0 pc=008000009c -[INFO ][time= 522] DecodeBuffer: in v:0 r:0 pc=008000009c -[INFO ][time= 522] DecodeBuffer: in v:0 r:0 pc=008000009c -[INFO ][time= 522] DecodeBuffer: out v:0 r:0 pc=00800000e0 -[INFO ][time= 522] DecodeBuffer: out v:0 r:0 pc=00800000e4 -[INFO ][time= 522] DecodeBuffer: out v:0 r:0 pc=00800000e8 -[INFO ][time= 522] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 522] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 522] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[ERROR][time= 522] Roq: CSR block should only happen in s_idle -[DEBUG][time= 522] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 522] Roq: walked pc 0080000094 wen 1 ldst 1 data c8d7a40c7759fc62 -[INFO ][time= 522] Roq: walked pc 0080000090 wen 1 ldst 10 data a9578844c1f1600d -[INFO ][time= 522] Roq: walked pc 008000008c wen 1 ldst 10 data 000000008000108c -[INFO ][time= 522] Roq: rolling back: head 7 tail 5 walk 0: 9 -[DEBUG][time= 522] Roq: head 0: 7 tail 0: 5 -[DEBUG][time= 522] Roq: -----wwwvv---------------------- -[DEBUG][time= 522] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 522] Roq: 0080000080 - 0080000084 w 0080000088 w 008000008c w -[DEBUG][time= 522] Roq: 0080000090 v 0080000094 v 0080000098 - 008000009c - -[DEBUG][time= 522] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 522] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 522] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 522] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 522] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 522] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 0 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 0 data 10 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 3 data 80 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 522] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 522] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000084 addr 0000000080008ff8 data 0000000080000010 func 0b wmask 000000011111111 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 522] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 522] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 522] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 522] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483900------> s1 fire!!! -[DEBUG][time= 522] FakeCache: [Stage1_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 522] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 522] FakeCache: [Stage2_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 522] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 522] FakeCache: [Stage3_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 522] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 522] IFU: [IF1]if1_valid:1 || if1_npc:0x008000011c || if1_pcUpdate:1 if1_pc:0x00800000fc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 522] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000fc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 522] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 522] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 522] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000dc if3_npc:0x00800000fc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 522] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000bc if4_npc:0x00800000dc -[DEBUG][time= 522] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 522] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] starPC:0x00800000bc GroupPC:0x00800000a0n -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction 02f50463 pnpc:0x00800000c4 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction 40600737 pnpc:0x00800000c8 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction 00874783 pnpc:0x00800000cc -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction 0087f793 pnpc:0x00800000d0 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction fe079ce3 pnpc:0x00800000d4 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction 00870223 pnpc:0x00800000d8 -[DEBUG][time= 522] IFU: [IFU-Out-FetchPacket] instruction 00813083 pnpc:0x00800000dc -[DEBUG][time= 522] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 522] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 522] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 522] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 522] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 522] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 522] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 522] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 522] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 522] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 522] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 522] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 522] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 522] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 522] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 522] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 522] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 522] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 522] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 522] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 522] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 522] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 522] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 522] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 522] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 522] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 522] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 522] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 522] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 522] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 522] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 522] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 522] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 522] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 522] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 522] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 522] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 522] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 522] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 522] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 522] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 522] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 522] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 522] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 522] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 522] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 522] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 522] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 522] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 522] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 522] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 522] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 522] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 522] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 522] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 522] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 522] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 522] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 522] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 522] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 522] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 522] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 522] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 522] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 522] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 522] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 522] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 522] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 522] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 522] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 522] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 522] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 522] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 522] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 522] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 522] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 522] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 522] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 522] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 522] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 522] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 522] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 522] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 522] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 522] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 522] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 522] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 522] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 522] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 522] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 522] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 522] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 522] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 522] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 522] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 522] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 522] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 522] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 522] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 522] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 522] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 522] FreeList_1: head:0: 6 tail:1: 5 -[DEBUG][time= 522] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 522] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 2 -[DEBUG][time= 522] Brq: ---------------- -[DEBUG][time= 522] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 2 -[DEBUG][time= 522] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 2 -[DEBUG][time= 522] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 2 -[DEBUG][time= 522] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 2 -[DEBUG][time= 522] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 2 -[DEBUG][time= 522] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 2 -[DEBUG][time= 523] Tage: req: pc=0x008000013c, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 523] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 523] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 523] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080008ff8| 0 1) -[DEBUG][time= 523] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 523] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 523] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 523] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 523] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 523] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 523] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 523] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 523] BPUStage2: in:(1 1) pc=008000011c out:(1 1) pc=00800000fc -[DEBUG][time= 523] BPUStage2: validLatch=1 pc=00800000fc -[DEBUG][time= 523] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 523] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 523] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 523] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 523] BPUStage1: in:(1 1) pc=008000013c ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 523] BPUStage1: outPred:(1) pc=0x008000011c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 523] BPUStage3: [RAS]:pc=0x00800000fc, rasWritePosition= 1, rasWriteAddr=0x00800000fc -[DEBUG][time= 523] BPUStage3: in:(1 1) pc=00800000fc -[DEBUG][time= 523] BPUStage3: out:1 pc=00800000dc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000fc -[DEBUG][time= 523] BPUStage3: flushS3=0 -[DEBUG][time= 523] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 523] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 523] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 523] JBTAC: read: pc=0x008000013c, histXORAddr=0x008000013a, bank=5, row= 19, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 523] JBTAC: read_resp: pc=0x008000011c, bank=5, row= 17, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 523] Frontend: inst:406007b7 pc:008000009c -[INFO ][time= 523] Frontend: inst:00300713 pc:00800000a0 -[INFO ][time= 523] Frontend: inst:00e78623 pc:00800000a4 -[INFO ][time= 523] Frontend: inst:00008067 pc:00800000a8 -[INFO ][time= 523] Frontend: inst:ff010113 pc:00800000ac -[INFO ][time= 523] Frontend: inst:00813023 pc:00800000b0 -[DEBUG][time= 523] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 523] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a0 instr:00300713 -[DEBUG][time= 523] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a4 instr:00e78623 -[DEBUG][time= 523] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000a8 instr:00008067 -[DEBUG][time= 523] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000ac instr:ff010113 -[DEBUG][time= 523] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b0 instr:00813023 -[DEBUG][time= 523] Ibuffer: Enque: -[DEBUG][time= 523] Ibuffer: 00000000000000010011010000000011 -[DEBUG][time= 523] Ibuffer: 00000001000000010000000100010011 -[DEBUG][time= 523] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 523] Ibuffer: 00000000110100000000010100010011 -[DEBUG][time= 523] Ibuffer: 11111100000111111111000011101111 -[DEBUG][time= 523] Ibuffer: 11111101010111111111000001101111 -[DEBUG][time= 523] Ibuffer: 00001000000001011000110001100011 -[DEBUG][time= 523] Ibuffer: 11111101000000010000000100010011 -[DEBUG][time= 523] Ibuffer: Deque: -[DEBUG][time= 523] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=1 -[DEBUG][time= 523] Ibuffer: 00000000001100000000011100010011 PC=00800000a0 v=1 r=1 -[DEBUG][time= 523] Ibuffer: 00000000111001111000011000100011 PC=00800000a4 v=1 r=1 -[DEBUG][time= 523] Ibuffer: 00000000000000001000000001100111 PC=00800000a8 v=1 r=1 -[DEBUG][time= 523] Ibuffer: 11111111000000010000000100010011 PC=00800000ac v=1 r=1 -[DEBUG][time= 523] Ibuffer: 00000000100000010011000000100011 PC=00800000b0 v=1 r=1 -[DEBUG][time= 523] Ibuffer: last_head_ptr= 0 last_tail_ptr= 32 -[DEBUG][time= 523] BTB: read: pc=0x008000013c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 523] BTB: read_resp: pc=0x008000011c, readIdx=142------------------------------- -[DEBUG][time= 523] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 523] BTB: bankIdxInOrder:[DEBUG][time= 523] BTB: 14 [DEBUG][time= 523] BTB: 15 [DEBUG][time= 523] BTB: 0 [DEBUG][time= 523] BTB: 1 [DEBUG][time= 523] BTB: 2 [DEBUG][time= 523] BTB: 3 [DEBUG][time= 523] BTB: 4 [DEBUG][time= 523] BTB: 5 [DEBUG][time= 523] BTB: 6 [DEBUG][time= 523] BTB: 7 [DEBUG][time= 523] BTB: 8 [DEBUG][time= 523] BTB: 9 [DEBUG][time= 523] BTB: 10 [DEBUG][time= 523] BTB: 11 [DEBUG][time= 523] BTB: 12 [DEBUG][time= 523] BTB: 13 [DEBUG][time= 523] BTB: -[INFO ][time= 523] Rename: pc:00800000e0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 36 out v:0 r:1 -[INFO ][time= 523] Rename: pc:00800000e4 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 37 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 523] Rename: pc:00800000e8 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 10 out v:0 r:1 -[INFO ][time= 523] Rename: pc:00800000ec in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 37 out v:0 r:1 -[INFO ][time= 523] Rename: pc:00800000f0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 523] Rename: pc:00800000f4 in v:0 in rdy:1 lsrc1:11 -> psrc1: 11 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 523] Rename: int rat arch: ldest: 1 pdest: 37 -[DEBUG][time= 523] Dispatch1: v:0 r:1 pc 0x00800000c8 of type 1100 is in 0-th slot -[DEBUG][time= 523] Dispatch1: v:0 r:1 pc 0x00800000cc of type 0011 is in 1-th slot -[DEBUG][time= 523] Dispatch1: v:0 r:1 pc 0x00800000d0 of type 0011 is in 2-th slot -[DEBUG][time= 523] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 3-th slot -[DEBUG][time= 523] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 4-th slot -[DEBUG][time= 523] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 5-th slot -[DEBUG][time= 523] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 523] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 523] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 523] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 523] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 523] Dispatch2: regfile 0 from 0 -[DEBUG][time= 523] Dispatch2: regfile 1 from 0 -[DEBUG][time= 523] Dispatch2: regfile 2 from 1 -[DEBUG][time= 523] Dispatch2: regfile 3 from 1 -[DEBUG][time= 523] Dispatch2: regfile 4 from 2 -[DEBUG][time= 523] Dispatch2: regfile 5 from 2 -[DEBUG][time= 523] Dispatch2: regfile 6 from 3 -[DEBUG][time= 523] Dispatch2: regfile 7 from 3 -[DEBUG][time= 523] Dispatch2: int regfile 0: addr 38, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 4: addr 34, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 8: addr 4, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 9: addr 83, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 12: addr 83, state 1 -[DEBUG][time= 523] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 523] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 523] DecodeBuffer: in v:1 r:1 pc=008000009c -[INFO ][time= 523] DecodeBuffer: in v:1 r:1 pc=00800000a0 -[INFO ][time= 523] DecodeBuffer: in v:1 r:1 pc=00800000a4 -[INFO ][time= 523] DecodeBuffer: in v:1 r:1 pc=00800000a8 -[INFO ][time= 523] DecodeBuffer: in v:1 r:1 pc=00800000ac -[INFO ][time= 523] DecodeBuffer: in v:1 r:1 pc=00800000b0 -[INFO ][time= 523] DecodeBuffer: out v:0 r:1 pc=00800000e0 -[INFO ][time= 523] DecodeBuffer: out v:0 r:1 pc=00800000e4 -[INFO ][time= 523] DecodeBuffer: out v:0 r:1 pc=00800000e8 -[INFO ][time= 523] DecodeBuffer: out v:0 r:1 pc=00800000ec -[INFO ][time= 523] DecodeBuffer: out v:0 r:1 pc=00800000f0 -[INFO ][time= 523] DecodeBuffer: out v:0 r:1 pc=00800000f4 -[ERROR][time= 523] Roq: CSR block should only happen in s_idle -[DEBUG][time= 523] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 523] Roq: retired pc 0080000084 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 523] Roq: retired pc 0080000088 wen 1 ldst 1 data 000000008000008c -[INFO ][time= 523] Roq: retired 2 insts -[DEBUG][time= 523] Roq: head 0: 7 tail 0: 5 -[DEBUG][time= 523] Roq: -----ww------------------------- -[DEBUG][time= 523] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 523] Roq: 0080000080 - 0080000084 w 0080000088 w 008000008c - -[DEBUG][time= 523] Roq: 0080000090 - 0080000094 - 0080000098 - 008000009c - -[DEBUG][time= 523] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 523] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 523] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 523] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 523] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 523] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 0 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 0 data 10 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 3 data 80 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 523] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 523] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000084 addr 0000000080008ff8 data 0000000080000010 func 0b wmask 000000011111111 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 523] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 523] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 523] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 523] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483932------> s1 fire!!! -[DEBUG][time= 523] FakeCache: [Stage1_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 523] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 523] FakeCache: [Stage2_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 523] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 523] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 523] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 523] IFU: [IF1]if1_valid:1 || if1_npc:0x008000013c || if1_pcUpdate:1 if1_pc:0x008000011c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 523] IFU: [IF2]if2_valid:1 || if2_pc:0x008000011c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 523] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 523] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 523] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000fc if3_npc:0x008000011c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 523] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 523] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000fc -[DEBUG][time= 523] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] starPC:0x00800000dc GroupPC:0x00800000c0n -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction 00013403 pnpc:0x00800000e0 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction 01010113 pnpc:0x00800000e4 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000e8 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction 00d00513 pnpc:0x00800000ec -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction fc1ff0ef pnpc:0x00800000f0 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction fd5ff06f pnpc:0x00800000f4 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction 08058c63 pnpc:0x00800000f8 -[DEBUG][time= 523] IFU: [IFU-Out-FetchPacket] instruction fd010113 pnpc:0x00800000fc -[DEBUG][time= 523] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 523] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 523] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 523] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 523] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 523] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 523] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 523] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 523] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 523] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 523] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 523] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 523] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 523] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 523] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 523] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 523] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 523] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 523] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 523] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 523] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 523] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 523] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 523] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 523] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 523] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 523] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 523] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 523] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 523] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 523] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 523] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 523] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 523] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 523] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 523] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 523] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 523] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 523] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 523] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 523] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 523] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 523] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 523] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 523] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 523] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 523] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 523] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 523] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 523] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 523] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 523] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 523] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 523] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 523] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 523] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 523] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 523] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 523] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 523] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 523] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 523] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 523] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 523] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 523] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 523] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 523] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 523] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 523] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 523] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 523] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 523] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 523] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 523] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 523] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 523] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 523] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 523] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 523] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 523] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 523] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 523] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 523] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 523] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 523] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 523] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 523] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 523] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 523] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 523] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 523] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 523] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 523] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 523] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 523] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 523] FreeList_1: dealloc preg: 35 -[DEBUG][time= 523] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 523] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 523] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 523] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 523] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 523] FreeList_1: req:0 canAlloc:1 pdest: 38 headNext:0: 6 -[DEBUG][time= 523] FreeList_1: head:0: 6 tail:1: 5 -[DEBUG][time= 523] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 523] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 2 -[DEBUG][time= 523] Brq: ---------------- -[DEBUG][time= 523] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 2 -[DEBUG][time= 523] Brq: enq v:0 rdy:1 pc:00800000a0 brTag:f:0 v: 2 -[DEBUG][time= 523] Brq: enq v:0 rdy:1 pc:00800000a4 brTag:f:0 v: 2 -[DEBUG][time= 523] Brq: enq v:1 rdy:1 pc:00800000a8 brTag:f:0 v: 2 -[DEBUG][time= 523] Brq: enq v:0 rdy:1 pc:00800000ac brTag:f:0 v: 3 -[DEBUG][time= 523] Brq: enq v:0 rdy:1 pc:00800000b0 brTag:f:0 v: 3 -[DEBUG][time= 524] Tage: req: pc=0x008000015c, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 524] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 524] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 524] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080008ff8| 0 1) -[DEBUG][time= 524] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 524] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 524] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 524] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 524] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 524] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 524] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 524] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 524] BusyTable_1: Allocate 38 -[DEBUG][time= 524] BusyTable_1: Allocate 39 -[DEBUG][time= 524] BusyTable_1: Allocate 40 -[DEBUG][time= 524] BPUStage2: in:(1 1) pc=008000013c out:(1 1) pc=008000011c -[DEBUG][time= 524] BPUStage2: validLatch=1 pc=008000011c -[DEBUG][time= 524] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 524] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 524] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 524] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 524] BPUStage1: in:(1 1) pc=008000015c ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 524] BPUStage1: outPred:(1) pc=0x008000013c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 524] BPUStage3: [RAS]:pc=0x008000011c, rasWritePosition= 1, rasWriteAddr=0x008000011c -[DEBUG][time= 524] BPUStage3: in:(1 1) pc=008000011c -[DEBUG][time= 524] BPUStage3: out:1 pc=00800000fc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000011c -[DEBUG][time= 524] BPUStage3: flushS3=0 -[DEBUG][time= 524] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 524] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 524] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 524] JBTAC: read: pc=0x008000015c, histXORAddr=0x008000015a, bank=5, row= 21, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 524] JBTAC: read_resp: pc=0x008000013c, bank=5, row= 19, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 524] Frontend: inst:00113423 pc:00800000b4 -[INFO ][time= 524] Frontend: inst:00a00793 pc:00800000b8 -[INFO ][time= 524] Frontend: inst:00050413 pc:00800000bc -[INFO ][time= 524] Frontend: inst:02f50463 pc:00800000c0 -[INFO ][time= 524] Frontend: inst:40600737 pc:00800000c4 -[INFO ][time= 524] Frontend: inst:00874783 pc:00800000c8 -[DEBUG][time= 524] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 524] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b8 instr:00a00793 -[DEBUG][time= 524] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000bc instr:00050413 -[DEBUG][time= 524] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000c0 instr:02f50463 -[DEBUG][time= 524] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000c4 instr:40600737 -[DEBUG][time= 524] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 524] Ibuffer: Enque: -[DEBUG][time= 524] Ibuffer: 00000010100000010011000000100011 -[DEBUG][time= 524] Ibuffer: 00000000100100010011110000100011 -[DEBUG][time= 524] Ibuffer: 00000001001000010011100000100011 -[DEBUG][time= 524] Ibuffer: 00000001001100010011010000100011 -[DEBUG][time= 524] Ibuffer: 00000010000100010011010000100011 -[DEBUG][time= 524] Ibuffer: 11111111111101011000010010011011 -[DEBUG][time= 524] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 524] Ibuffer: 00000000000001010000100100010011 -[DEBUG][time= 524] Ibuffer: Deque: -[DEBUG][time= 524] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=1 -[DEBUG][time= 524] Ibuffer: 00000000101000000000011110010011 PC=00800000b8 v=1 r=1 -[DEBUG][time= 524] Ibuffer: 00000000000001010000010000010011 PC=00800000bc v=1 r=1 -[DEBUG][time= 524] Ibuffer: 00000010111101010000010001100011 PC=00800000c0 v=1 r=1 -[DEBUG][time= 524] Ibuffer: 01000000011000000000011100110111 PC=00800000c4 v=1 r=1 -[DEBUG][time= 524] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=1 -[DEBUG][time= 524] Ibuffer: last_head_ptr= 12 last_tail_ptr= 48 -[DEBUG][time= 524] BTB: read: pc=0x008000015c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 524] BTB: read_resp: pc=0x008000013c, readIdx=158------------------------------- -[DEBUG][time= 524] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 524] BTB: bankIdxInOrder:[DEBUG][time= 524] BTB: 14 [DEBUG][time= 524] BTB: 15 [DEBUG][time= 524] BTB: 0 [DEBUG][time= 524] BTB: 1 [DEBUG][time= 524] BTB: 2 [DEBUG][time= 524] BTB: 3 [DEBUG][time= 524] BTB: 4 [DEBUG][time= 524] BTB: 5 [DEBUG][time= 524] BTB: 6 [DEBUG][time= 524] BTB: 7 [DEBUG][time= 524] BTB: 8 [DEBUG][time= 524] BTB: 9 [DEBUG][time= 524] BTB: 10 [DEBUG][time= 524] BTB: 11 [DEBUG][time= 524] BTB: 12 [DEBUG][time= 524] BTB: 13 [DEBUG][time= 524] BTB: -[INFO ][time= 524] Rename: pc:008000009c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 38 old_pdest: 15 out v:1 r:1 -[INFO ][time= 524] Rename: pc:00800000a0 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 39 old_pdest: 14 out v:1 r:1 -[INFO ][time= 524] Rename: pc:00800000a4 in v:1 in rdy:1 lsrc1:15 -> psrc1: 38 lsrc2:14 -> psrc2: 39 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 524] Rename: pc:00800000a8 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 37 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 524] Rename: pc:00800000ac in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 40 old_pdest: 36 out v:1 r:1 -[INFO ][time= 524] Rename: pc:00800000b0 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 40 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[DEBUG][time= 524] Dispatch1: v:0 r:1 pc 0x00800000c8 of type 1100 is in 0-th slot -[DEBUG][time= 524] Dispatch1: v:0 r:1 pc 0x00800000cc of type 0011 is in 1-th slot -[DEBUG][time= 524] Dispatch1: v:0 r:1 pc 0x00800000d0 of type 0011 is in 2-th slot -[DEBUG][time= 524] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 3-th slot -[DEBUG][time= 524] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 4-th slot -[DEBUG][time= 524] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 5-th slot -[DEBUG][time= 524] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 524] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 524] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 524] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 524] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 524] Dispatch2: regfile 0 from 0 -[DEBUG][time= 524] Dispatch2: regfile 1 from 0 -[DEBUG][time= 524] Dispatch2: regfile 2 from 1 -[DEBUG][time= 524] Dispatch2: regfile 3 from 1 -[DEBUG][time= 524] Dispatch2: regfile 4 from 2 -[DEBUG][time= 524] Dispatch2: regfile 5 from 2 -[DEBUG][time= 524] Dispatch2: regfile 6 from 3 -[DEBUG][time= 524] Dispatch2: regfile 7 from 3 -[DEBUG][time= 524] Dispatch2: int regfile 0: addr 38, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 4: addr 34, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 8: addr 4, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 9: addr 83, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 12: addr 83, state 1 -[DEBUG][time= 524] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 524] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 524] DecodeBuffer: in v:1 r:1 pc=00800000b4 -[INFO ][time= 524] DecodeBuffer: in v:1 r:1 pc=00800000b8 -[INFO ][time= 524] DecodeBuffer: in v:1 r:1 pc=00800000bc -[INFO ][time= 524] DecodeBuffer: in v:1 r:1 pc=00800000c0 -[INFO ][time= 524] DecodeBuffer: in v:1 r:1 pc=00800000c4 -[INFO ][time= 524] DecodeBuffer: in v:1 r:1 pc=00800000c8 -[INFO ][time= 524] DecodeBuffer: out v:1 r:1 pc=008000009c -[INFO ][time= 524] DecodeBuffer: out v:1 r:1 pc=00800000a0 -[INFO ][time= 524] DecodeBuffer: out v:1 r:1 pc=00800000a4 -[INFO ][time= 524] DecodeBuffer: out v:1 r:1 pc=00800000a8 -[INFO ][time= 524] DecodeBuffer: out v:1 r:1 pc=00800000ac -[INFO ][time= 524] DecodeBuffer: out v:1 r:1 pc=00800000b0 -[ERROR][time= 524] Roq: CSR block should only happen in s_idle -[DEBUG][time= 524] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 524] Roq: head 0: 7 tail 0: 7 -[DEBUG][time= 524] Roq: -------------------------------- -[DEBUG][time= 524] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 524] Roq: 0080000080 - 0080000084 - 0080000088 - 008000008c - -[DEBUG][time= 524] Roq: 0080000090 - 0080000094 - 0080000098 - 008000009c - -[DEBUG][time= 524] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 524] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 524] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 524] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 524] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 524] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 0 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 0 data 10 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 3 data 80 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 524] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 524] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000084 addr 0000000080008ff8 data 0000000080000010 func 0b wmask 000000011111111 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 524] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 524] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 524] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 1 emptySlot 1 -[DEBUG][time= 524] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483964------> s1 fire!!! -[DEBUG][time= 524] FakeCache: [Stage1_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 524] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 524] FakeCache: [Stage2_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 524] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 524] FakeCache: [Stage3_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 524] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 524] IFU: [IF1]if1_valid:1 || if1_npc:0x008000015c || if1_pcUpdate:1 if1_pc:0x008000013c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 524] IFU: [IF2]if2_valid:1 || if2_pc:0x008000013c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 524] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 524] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 524] IFU: [IF3]if3_valid:1 || if3_pc:0x008000011c if3_npc:0x008000013c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 524] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000fc if4_npc:0x008000011c -[DEBUG][time= 524] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000011c -[DEBUG][time= 524] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] starPC:0x00800000fc GroupPC:0x00800000e0n -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction 02813023 pnpc:0x0080000100 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction 00913c23 pnpc:0x0080000104 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction 01213823 pnpc:0x0080000108 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction 01313423 pnpc:0x008000010c -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction 02113423 pnpc:0x0080000110 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction fff5849b pnpc:0x0080000114 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x0080000118 -[DEBUG][time= 524] IFU: [IFU-Out-FetchPacket] instruction 00050913 pnpc:0x008000011c -[DEBUG][time= 524] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 524] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 524] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 524] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 524] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 524] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 524] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 524] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 524] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 524] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 524] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 524] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 524] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 524] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 524] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 524] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 524] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 524] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 524] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 524] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 524] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 524] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 524] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 524] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 524] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 524] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 524] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 524] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 524] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 524] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 524] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 524] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 524] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 524] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 524] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 524] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 524] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 524] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 524] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 524] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 524] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 524] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 524] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 524] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 524] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 524] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 524] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 524] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 524] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 524] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 524] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 524] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 524] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 524] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 524] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 524] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 524] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 524] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 524] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 524] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 524] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 524] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 524] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 524] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 524] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 524] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 524] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 524] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 524] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 524] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 524] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 524] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 524] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 524] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 524] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 524] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 524] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 524] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 524] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 524] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 524] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 524] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 524] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 524] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 524] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 524] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 524] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 524] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 524] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 524] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 524] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 524] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 524] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 524] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 524] FreeList: do checkPt at BrqIdx= 2 headPtr:0: 0 -[DEBUG][time= 524] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 524] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 524] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 524] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 524] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 524] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 524] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 7 -[DEBUG][time= 524] FreeList_1: req:1 canAlloc:1 pdest: 38 headNext:0: 7 -[DEBUG][time= 524] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 8 -[DEBUG][time= 524] FreeList_1: req:1 canAlloc:1 pdest: 39 headNext:0: 8 -[DEBUG][time= 524] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 8 -[DEBUG][time= 524] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 524] FreeList_1: do checkPt at BrqIdx= 2 headPtr:0: 8 -[DEBUG][time= 524] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 524] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 9 -[DEBUG][time= 524] FreeList_1: req:1 canAlloc:1 pdest: 40 headNext:0: 9 -[DEBUG][time= 524] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 9 -[DEBUG][time= 524] FreeList_1: req:0 canAlloc:1 pdest: 41 headNext:0: 9 -[DEBUG][time= 524] FreeList_1: head:0: 6 tail:1: 6 -[DEBUG][time= 524] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 524] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 3 -[DEBUG][time= 524] Brq: ---------------- -[DEBUG][time= 524] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v: 3 -[DEBUG][time= 524] Brq: enq v:0 rdy:1 pc:00800000b8 brTag:f:0 v: 3 -[DEBUG][time= 524] Brq: enq v:0 rdy:1 pc:00800000bc brTag:f:0 v: 3 -[DEBUG][time= 524] Brq: enq v:1 rdy:1 pc:00800000c0 brTag:f:0 v: 3 -[DEBUG][time= 524] Brq: enq v:0 rdy:1 pc:00800000c4 brTag:f:0 v: 4 -[DEBUG][time= 524] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v: 4 -[DEBUG][time= 525] Tage: req: pc=0x008000017c, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 525] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 525] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 525] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80008ff8| 0 1) tlb (1 1 0080008ff8| 0 1) -[DEBUG][time= 525] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 525] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 525] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 525] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 525] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 525] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 525] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 525] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 525] BusyTable_1: Allocate 41 -[DEBUG][time= 525] BusyTable_1: Allocate 42 -[DEBUG][time= 525] BusyTable_1: Allocate 43 -[DEBUG][time= 525] BusyTable_1: Allocate 44 -[DEBUG][time= 525] BusyTable_1: 38 is busy -[DEBUG][time= 525] BusyTable_1: 39 is busy -[DEBUG][time= 525] BusyTable_1: 40 is busy -[DEBUG][time= 525] BPUStage2: in:(1 1) pc=008000015c out:(1 1) pc=008000013c -[DEBUG][time= 525] BPUStage2: validLatch=1 pc=008000013c -[DEBUG][time= 525] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 525] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 525] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 525] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 525] BPUStage1: in:(1 1) pc=008000017c ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 525] BPUStage1: outPred:(1) pc=0x008000015c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 525] BPUStage3: [RAS]:pc=0x008000013c, rasWritePosition= 1, rasWriteAddr=0x008000013c -[DEBUG][time= 525] BPUStage3: in:(1 1) pc=008000013c -[DEBUG][time= 525] BPUStage3: out:1 pc=008000011c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000013c -[DEBUG][time= 525] BPUStage3: flushS3=0 -[DEBUG][time= 525] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 525] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 525] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 525] JBTAC: read: pc=0x008000017c, histXORAddr=0x008000017a, bank=5, row= 23, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 525] JBTAC: read_resp: pc=0x008000015c, bank=5, row= 21, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 525] Frontend: inst:0087f793 pc:00800000cc -[INFO ][time= 525] Frontend: inst:fe079ce3 pc:00800000d0 -[INFO ][time= 525] Frontend: inst:00870223 pc:00800000d4 -[INFO ][time= 525] Frontend: inst:00813083 pc:00800000d8 -[INFO ][time= 525] Frontend: inst:00013403 pc:00800000dc -[INFO ][time= 525] Frontend: inst:01010113 pc:00800000e0 -[DEBUG][time= 525] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000cc instr:0087f793 -[DEBUG][time= 525] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000d0 instr:fe079ce3 -[DEBUG][time= 525] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000d4 instr:00870223 -[DEBUG][time= 525] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000d8 instr:00813083 -[DEBUG][time= 525] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000dc instr:00013403 -[DEBUG][time= 525] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000e0 instr:01010113 -[DEBUG][time= 525] Ibuffer: Enque: -[DEBUG][time= 525] Ibuffer: 11111111111100000000100110010011 -[DEBUG][time= 525] Ibuffer: 00000010100000000000000001101111 -[DEBUG][time= 525] Ibuffer: 00000001100001000011011100000011 -[DEBUG][time= 525] Ibuffer: 00000000111001111000011001100011 -[DEBUG][time= 525] Ibuffer: 00000000110101000011100000100011 -[DEBUG][time= 525] Ibuffer: 00000001001001111000000000100011 -[DEBUG][time= 525] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 525] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 525] Ibuffer: Deque: -[DEBUG][time= 525] Ibuffer: 00000000100001111111011110010011 PC=00800000cc v=1 r=1 -[DEBUG][time= 525] Ibuffer: 11111110000001111001110011100011 PC=00800000d0 v=1 r=1 -[DEBUG][time= 525] Ibuffer: 00000000100001110000001000100011 PC=00800000d4 v=1 r=1 -[DEBUG][time= 525] Ibuffer: 00000000100000010011000010000011 PC=00800000d8 v=1 r=1 -[DEBUG][time= 525] Ibuffer: 00000000000000010011010000000011 PC=00800000dc v=1 r=1 -[DEBUG][time= 525] Ibuffer: 00000001000000010000000100010011 PC=00800000e0 v=1 r=1 -[DEBUG][time= 525] Ibuffer: last_head_ptr= 24 last_tail_ptr= 64 -[DEBUG][time= 525] BTB: read: pc=0x008000017c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 525] BTB: read_resp: pc=0x008000015c, readIdx=174------------------------------- -[DEBUG][time= 525] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 525] BTB: bankIdxInOrder:[DEBUG][time= 525] BTB: 14 [DEBUG][time= 525] BTB: 15 [DEBUG][time= 525] BTB: 0 [DEBUG][time= 525] BTB: 1 [DEBUG][time= 525] BTB: 2 [DEBUG][time= 525] BTB: 3 [DEBUG][time= 525] BTB: 4 [DEBUG][time= 525] BTB: 5 [DEBUG][time= 525] BTB: 6 [DEBUG][time= 525] BTB: 7 [DEBUG][time= 525] BTB: 8 [DEBUG][time= 525] BTB: 9 [DEBUG][time= 525] BTB: 10 [DEBUG][time= 525] BTB: 11 [DEBUG][time= 525] BTB: 12 [DEBUG][time= 525] BTB: 13 [DEBUG][time= 525] BTB: -[INFO ][time= 525] Rename: pc:00800000b4 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 40 lsrc2: 1 -> psrc2: 37 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 525] Rename: pc:00800000b8 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 41 old_pdest: 38 out v:1 r:1 -[INFO ][time= 525] Rename: pc:00800000bc in v:1 in rdy:1 lsrc1:10 -> psrc1: 10 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 42 old_pdest: 32 out v:1 r:1 -[INFO ][time= 525] Rename: pc:00800000c0 in v:1 in rdy:1 lsrc1:10 -> psrc1: 10 lsrc2:15 -> psrc2: 41 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 525] Rename: pc:00800000c4 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 43 old_pdest: 39 out v:1 r:1 -[INFO ][time= 525] Rename: pc:00800000c8 in v:1 in rdy:1 lsrc1:14 -> psrc1: 43 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 44 old_pdest: 41 out v:1 r:1 -[INFO ][time= 525] Dispatch1: pc 0x008000009c accepted by queue 1 0 0 -[INFO ][time= 525] Dispatch1: pc 0x00800000a0 accepted by queue 1 0 0 -[INFO ][time= 525] Dispatch1: pc 0x00800000a4 accepted by queue 0 0 1 -[INFO ][time= 525] Dispatch1: pc 0x00800000a8 accepted by queue 1 0 0 -[INFO ][time= 525] Dispatch1: pc 0x00800000ac accepted by queue 1 0 0 -[INFO ][time= 525] Dispatch1: pc 0x00800000b0 accepted by queue 0 0 1 -[DEBUG][time= 525] Dispatch1: pc 0x008000009c receives nroq 7 -[DEBUG][time= 525] Dispatch1: v:1 r:1 pc 0x008000009c of type 0011 is in 0-th slot -[DEBUG][time= 525] Dispatch1: pc 0x00800000a0 receives nroq 8 -[DEBUG][time= 525] Dispatch1: v:1 r:1 pc 0x00800000a0 of type 0011 is in 1-th slot -[DEBUG][time= 525] Dispatch1: pc 0x00800000a4 receives nroq 9 -[DEBUG][time= 525] Dispatch1: v:1 r:1 pc 0x00800000a4 of type 1101 is in 2-th slot -[DEBUG][time= 525] Dispatch1: pc 0x00800000a8 receives nroq 10 -[DEBUG][time= 525] Dispatch1: v:1 r:1 pc 0x00800000a8 of type 0000 is in 3-th slot -[DEBUG][time= 525] Dispatch1: pc 0x00800000ac receives nroq 11 -[DEBUG][time= 525] Dispatch1: v:1 r:1 pc 0x00800000ac of type 0011 is in 4-th slot -[DEBUG][time= 525] Dispatch1: pc 0x00800000b0 receives nroq 12 -[DEBUG][time= 525] Dispatch1: v:1 r:1 pc 0x00800000b0 of type 1101 is in 5-th slot -[DEBUG][time= 525] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 525] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 525] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 525] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 525] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 525] Dispatch2: regfile 0 from 0 -[DEBUG][time= 525] Dispatch2: regfile 1 from 0 -[DEBUG][time= 525] Dispatch2: regfile 2 from 1 -[DEBUG][time= 525] Dispatch2: regfile 3 from 1 -[DEBUG][time= 525] Dispatch2: regfile 4 from 2 -[DEBUG][time= 525] Dispatch2: regfile 5 from 2 -[DEBUG][time= 525] Dispatch2: regfile 6 from 3 -[DEBUG][time= 525] Dispatch2: regfile 7 from 3 -[DEBUG][time= 525] Dispatch2: int regfile 0: addr 38, state 0 -[DEBUG][time= 525] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 2: addr 40, state 0 -[DEBUG][time= 525] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 4: addr 34, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 8: addr 4, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 9: addr 83, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 12: addr 83, state 1 -[DEBUG][time= 525] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 525] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 525] DecodeBuffer: in v:1 r:1 pc=00800000cc -[INFO ][time= 525] DecodeBuffer: in v:1 r:1 pc=00800000d0 -[INFO ][time= 525] DecodeBuffer: in v:1 r:1 pc=00800000d4 -[INFO ][time= 525] DecodeBuffer: in v:1 r:1 pc=00800000d8 -[INFO ][time= 525] DecodeBuffer: in v:1 r:1 pc=00800000dc -[INFO ][time= 525] DecodeBuffer: in v:1 r:1 pc=00800000e0 -[INFO ][time= 525] DecodeBuffer: out v:1 r:1 pc=00800000b4 -[INFO ][time= 525] DecodeBuffer: out v:1 r:1 pc=00800000b8 -[INFO ][time= 525] DecodeBuffer: out v:1 r:1 pc=00800000bc -[INFO ][time= 525] DecodeBuffer: out v:1 r:1 pc=00800000c0 -[INFO ][time= 525] DecodeBuffer: out v:1 r:1 pc=00800000c4 -[INFO ][time= 525] DecodeBuffer: out v:1 r:1 pc=00800000c8 -[ERROR][time= 525] Roq: CSR block should only happen in s_idle -[DEBUG][time= 525] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 525] Roq: dispatched 6 insts -[DEBUG][time= 525] Roq: head 0: 7 tail 0: 7 -[DEBUG][time= 525] Roq: -------------------------------- -[DEBUG][time= 525] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 525] Roq: 0080000080 - 0080000084 - 0080000088 - 008000008c - -[DEBUG][time= 525] Roq: 0080000090 - 0080000094 - 0080000098 - 008000009c - -[DEBUG][time= 525] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 525] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 525] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 525] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 525] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 525] DispatchQueue_2: LsDpQ: num_enq = 2, tail = ( 9 -> 11) -[DEBUG][time= 525] DispatchQueue: IntDpQ: num_enq = 4, tail = (11 -> 15) -[DEBUG][time= 525] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 1 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 0 data 10 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 3 data 80 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 525] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 525] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000084 addr 0000000080008ff8 data 0000000080000010 func 0b wmask 000000011111111 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 525] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 525] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 525] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 1 emptySlot 1 -[DEBUG][time= 525] LsExeUnit: retiringStore now... -[INFO ][time= 525] LsExeUnit: [DMEM STORE REQ] addr 0x80008ff8 wdata 0x0000000080000010 size 3 -[DEBUG][time= 525] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483996------> s1 fire!!! -[DEBUG][time= 525] FakeCache: [Stage1_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 525] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 525] FakeCache: [Stage2_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 525] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 525] FakeCache: [Stage3_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 525] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 525] IFU: [IF1]if1_valid:1 || if1_npc:0x008000017c || if1_pcUpdate:1 if1_pc:0x008000015c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 525] IFU: [IF2]if2_valid:1 || if2_pc:0x008000015c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 525] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 525] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 525] IFU: [IF3]if3_valid:1 || if3_pc:0x008000013c if3_npc:0x008000015c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 525] IFU: [IF4]if4_valid:1 || if4_pc:0x008000011c if4_npc:0x008000013c -[DEBUG][time= 525] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000013c -[DEBUG][time= 525] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] starPC:0x008000011c GroupPC:0x0080000100n -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction fff00993 pnpc:0x0080000120 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction 0280006f pnpc:0x0080000124 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction 01843703 pnpc:0x0080000128 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction 00e78663 pnpc:0x008000012c -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction 00d43823 pnpc:0x0080000130 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction 01278023 pnpc:0x0080000134 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000138 -[DEBUG][time= 525] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x008000013c -[DEBUG][time= 525] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 525] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 525] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 525] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 525] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 525] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 525] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 525] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 525] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 525] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 525] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 525] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 525] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 525] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 525] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 525] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 525] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 525] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 525] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 525] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 525] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 525] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 525] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 525] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 525] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 525] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 525] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 525] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 525] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 525] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 525] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 525] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 525] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 525] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 525] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 525] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 525] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 525] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 525] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 525] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 525] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 525] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 525] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 525] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 525] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 525] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 525] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 525] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 525] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 525] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 525] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 525] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 525] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 525] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 525] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 525] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 525] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 525] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 525] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 525] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 525] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 525] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 525] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 525] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 525] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 525] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 525] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 525] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 525] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 525] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 525] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 525] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 525] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 525] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 525] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 525] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 525] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 525] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 525] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 525] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 525] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 525] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 525] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 525] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 525] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 525] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 525] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 525] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 525] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 525] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 525] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 525] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 525] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 525] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 525] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 525] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 525] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 525] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 525] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 525] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 525] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 525] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 9 -[DEBUG][time= 525] FreeList_1: req:0 canAlloc:1 pdest: 41 headNext:0: 9 -[DEBUG][time= 525] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 10 -[DEBUG][time= 525] FreeList_1: req:1 canAlloc:1 pdest: 41 headNext:0: 10 -[DEBUG][time= 525] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 11 -[DEBUG][time= 525] FreeList_1: req:1 canAlloc:1 pdest: 42 headNext:0: 11 -[DEBUG][time= 525] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 11 -[DEBUG][time= 525] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 525] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 12 -[DEBUG][time= 525] FreeList_1: req:1 canAlloc:1 pdest: 43 headNext:0: 12 -[DEBUG][time= 525] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 13 -[DEBUG][time= 525] FreeList_1: req:1 canAlloc:1 pdest: 44 headNext:0: 13 -[DEBUG][time= 525] FreeList_1: head:0: 9 tail:1: 6 -[DEBUG][time= 525] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 525] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 4 -[DEBUG][time= 525] Brq: ---------------- -[DEBUG][time= 525] Brq: enq v:0 rdy:1 pc:00800000cc brTag:f:0 v: 4 -[DEBUG][time= 525] Brq: enq v:1 rdy:1 pc:00800000d0 brTag:f:0 v: 4 -[DEBUG][time= 525] Brq: enq v:0 rdy:1 pc:00800000d4 brTag:f:0 v: 5 -[DEBUG][time= 525] Brq: enq v:0 rdy:1 pc:00800000d8 brTag:f:0 v: 5 -[DEBUG][time= 525] Brq: enq v:0 rdy:1 pc:00800000dc brTag:f:0 v: 5 -[DEBUG][time= 525] Brq: enq v:0 rdy:1 pc:00800000e0 brTag:f:0 v: 5 -[DEBUG][time= 526] Tage: req: pc=0x008000019c, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 526] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 526] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 526] XSCore: c-mem(0 0 fffff840| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080008ff8| 0 1) -[DEBUG][time= 526] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 526] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 526] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 526] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 526] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 526] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 526] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 526] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 526] BusyTable_1: Allocate 45 -[DEBUG][time= 526] BusyTable_1: Allocate 46 -[DEBUG][time= 526] BusyTable_1: Allocate 47 -[DEBUG][time= 526] BusyTable_1: Allocate 48 -[DEBUG][time= 526] BusyTable_1: 38 is busy -[DEBUG][time= 526] BusyTable_1: 39 is busy -[DEBUG][time= 526] BusyTable_1: 40 is busy -[DEBUG][time= 526] BusyTable_1: 41 is busy -[DEBUG][time= 526] BusyTable_1: 42 is busy -[DEBUG][time= 526] BusyTable_1: 43 is busy -[DEBUG][time= 526] BusyTable_1: 44 is busy -[DEBUG][time= 526] BPUStage2: in:(1 1) pc=008000017c out:(1 1) pc=008000015c -[DEBUG][time= 526] BPUStage2: validLatch=1 pc=008000015c -[DEBUG][time= 526] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 526] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 526] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 526] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 526] BPUStage1: in:(1 1) pc=008000019c ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 526] BPUStage1: outPred:(1) pc=0x008000017c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 526] BPUStage3: [RAS]:pc=0x008000015c, rasWritePosition= 1, rasWriteAddr=0x008000015c -[DEBUG][time= 526] BPUStage3: in:(1 1) pc=008000015c -[DEBUG][time= 526] BPUStage3: out:1 pc=008000013c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000015c -[DEBUG][time= 526] BPUStage3: flushS3=0 -[DEBUG][time= 526] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 526] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 526] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 526] JBTAC: read: pc=0x008000019c, histXORAddr=0x008000019a, bank=5, row= 25, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 526] JBTAC: read_resp: pc=0x008000017c, bank=5, row= 23, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 526] Frontend: inst:00008067 pc:00800000e4 -[INFO ][time= 526] Frontend: inst:00d00513 pc:00800000e8 -[INFO ][time= 526] Frontend: inst:fc1ff0ef pc:00800000ec -[INFO ][time= 526] Frontend: inst:fd5ff06f pc:00800000f0 -[INFO ][time= 526] Frontend: inst:08058c63 pc:00800000f4 -[INFO ][time= 526] Frontend: inst:fd010113 pc:00800000f8 -[DEBUG][time= 526] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000e4 instr:00008067 -[DEBUG][time= 526] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000e8 instr:00d00513 -[DEBUG][time= 526] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000ec instr:fc1ff0ef -[DEBUG][time= 526] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000f0 instr:fd5ff06f -[DEBUG][time= 526] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000f4 instr:08058c63 -[DEBUG][time= 526] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000f8 instr:fd010113 -[DEBUG][time= 526] Ibuffer: Enque: -[DEBUG][time= 526] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 526] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 526] Ibuffer: 00000011001101001000011001100011 -[DEBUG][time= 526] Ibuffer: 00000001000001000011011110000011 -[DEBUG][time= 526] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 526] Ibuffer: 11111100000001111001101011100011 -[DEBUG][time= 526] Ibuffer: 00000000000010010000010100010011 -[DEBUG][time= 526] Ibuffer: 11110000000111111111000011101111 -[DEBUG][time= 526] Ibuffer: Deque: -[DEBUG][time= 526] Ibuffer: 00000000000000001000000001100111 PC=00800000e4 v=1 r=1 -[DEBUG][time= 526] Ibuffer: 00000000110100000000010100010011 PC=00800000e8 v=1 r=1 -[DEBUG][time= 526] Ibuffer: 11111100000111111111000011101111 PC=00800000ec v=1 r=1 -[DEBUG][time= 526] Ibuffer: 11111101010111111111000001101111 PC=00800000f0 v=1 r=1 -[DEBUG][time= 526] Ibuffer: 00001000000001011000110001100011 PC=00800000f4 v=1 r=1 -[DEBUG][time= 526] Ibuffer: 11111101000000010000000100010011 PC=00800000f8 v=1 r=1 -[DEBUG][time= 526] Ibuffer: last_head_ptr= 36 last_tail_ptr= 80 -[DEBUG][time= 526] BTB: read: pc=0x008000019c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 526] BTB: read_resp: pc=0x008000017c, readIdx=190------------------------------- -[DEBUG][time= 526] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 526] BTB: bankIdxInOrder:[DEBUG][time= 526] BTB: 14 [DEBUG][time= 526] BTB: 15 [DEBUG][time= 526] BTB: 0 [DEBUG][time= 526] BTB: 1 [DEBUG][time= 526] BTB: 2 [DEBUG][time= 526] BTB: 3 [DEBUG][time= 526] BTB: 4 [DEBUG][time= 526] BTB: 5 [DEBUG][time= 526] BTB: 6 [DEBUG][time= 526] BTB: 7 [DEBUG][time= 526] BTB: 8 [DEBUG][time= 526] BTB: 9 [DEBUG][time= 526] BTB: 10 [DEBUG][time= 526] BTB: 11 [DEBUG][time= 526] BTB: 12 [DEBUG][time= 526] BTB: 13 [DEBUG][time= 526] BTB: -[INFO ][time= 526] Rename: pc:00800000cc in v:1 in rdy:1 lsrc1:15 -> psrc1: 44 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 45 old_pdest: 44 out v:1 r:1 -[INFO ][time= 526] Rename: pc:00800000d0 in v:1 in rdy:1 lsrc1:15 -> psrc1: 45 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 526] Rename: pc:00800000d4 in v:1 in rdy:1 lsrc1:14 -> psrc1: 43 lsrc2: 8 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 526] Rename: pc:00800000d8 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 40 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 46 old_pdest: 37 out v:1 r:1 -[INFO ][time= 526] Rename: pc:00800000dc in v:1 in rdy:1 lsrc1: 2 -> psrc1: 40 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 47 old_pdest: 42 out v:1 r:1 -[INFO ][time= 526] Rename: pc:00800000e0 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 40 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 48 old_pdest: 40 out v:1 r:1 -[INFO ][time= 526] Dispatch1: pc 0x00800000b4 accepted by queue 0 0 1 -[INFO ][time= 526] Dispatch1: pc 0x00800000b8 accepted by queue 1 0 0 -[INFO ][time= 526] Dispatch1: pc 0x00800000bc accepted by queue 1 0 0 -[INFO ][time= 526] Dispatch1: pc 0x00800000c0 accepted by queue 1 0 0 -[INFO ][time= 526] Dispatch1: pc 0x00800000c4 accepted by queue 1 0 0 -[INFO ][time= 526] Dispatch1: pc 0x00800000c8 accepted by queue 0 0 1 -[DEBUG][time= 526] Dispatch1: pc 0x00800000b4 receives nroq 13 -[DEBUG][time= 526] Dispatch1: v:1 r:1 pc 0x00800000b4 of type 1101 is in 0-th slot -[DEBUG][time= 526] Dispatch1: pc 0x00800000b8 receives nroq 14 -[DEBUG][time= 526] Dispatch1: v:1 r:1 pc 0x00800000b8 of type 0011 is in 1-th slot -[DEBUG][time= 526] Dispatch1: pc 0x00800000bc receives nroq 15 -[DEBUG][time= 526] Dispatch1: v:1 r:1 pc 0x00800000bc of type 0011 is in 2-th slot -[DEBUG][time= 526] Dispatch1: pc 0x00800000c0 receives nroq 16 -[DEBUG][time= 526] Dispatch1: v:1 r:1 pc 0x00800000c0 of type 0011 is in 3-th slot -[DEBUG][time= 526] Dispatch1: pc 0x00800000c4 receives nroq 17 -[DEBUG][time= 526] Dispatch1: v:1 r:1 pc 0x00800000c4 of type 0011 is in 4-th slot -[DEBUG][time= 526] Dispatch1: pc 0x00800000c8 receives nroq 18 -[DEBUG][time= 526] Dispatch1: v:1 r:1 pc 0x00800000c8 of type 1100 is in 5-th slot -[DEBUG][time= 526] Dispatch2: int dp queue 0: 008000009c type 0011 -[DEBUG][time= 526] Dispatch2: int dp queue 1: 00800000a0 type 0011 -[DEBUG][time= 526] Dispatch2: int dp queue 2: 00800000a8 type 0000 -[DEBUG][time= 526] Dispatch2: int dp queue 3: 00800000ac type 0011 -[DEBUG][time= 526] Dispatch2: ls dp queue 0: 00800000a4 type 1101 -[DEBUG][time= 526] Dispatch2: ls dp queue 1: 00800000b0 type 1101 -[DEBUG][time= 526] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 526] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 526] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 526] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 526] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 526] Dispatch2: regfile 0 from 0 -[DEBUG][time= 526] Dispatch2: regfile 1 from 0 -[DEBUG][time= 526] Dispatch2: regfile 2 from 1 -[DEBUG][time= 526] Dispatch2: regfile 3 from 1 -[DEBUG][time= 526] Dispatch2: regfile 4 from 3 -[DEBUG][time= 526] Dispatch2: regfile 5 from 3 -[DEBUG][time= 526] Dispatch2: regfile 6 from 2 -[DEBUG][time= 526] Dispatch2: regfile 7 from 2 -[DEBUG][time= 526] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 4: addr 36, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 6: addr 37, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 8: addr 38, state 0 -[DEBUG][time= 526] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 9: addr 39, state 0 -[DEBUG][time= 526] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 12: addr 39, state 1 -[DEBUG][time= 526] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 526] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 526] Dispatch2: pc 0x00800000a8 with type 0000 srcState(1 1 0) enters reservation station 0 from 2 -[INFO ][time= 526] Dispatch2: pc 0x008000009c with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 526] Dispatch2: pc 0x00800000a0 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 526] Dispatch2: pc 0x00800000ac with type 0011 srcState(1 1 0) enters reservation station 3 from 3 -[INFO ][time= 526] Dispatch2: pc 0x00800000a4 with type 1101 srcState(0 0 0) enters reservation station 7 from 0 -[INFO ][time= 526] Dispatch2: pc 0x008000009c leaves Int dispatch queue with nroq 7 -[INFO ][time= 526] Dispatch2: pc 0x00800000a0 leaves Int dispatch queue with nroq 8 -[INFO ][time= 526] Dispatch2: pc 0x00800000a8 leaves Int dispatch queue with nroq 10 -[INFO ][time= 526] Dispatch2: pc 0x00800000ac leaves Int dispatch queue with nroq 11 -[INFO ][time= 526] Dispatch2: pc 0x00800000a4 leaves Ls dispatch queue with nroq 9 -[DEBUG][time= 526] Dispatch2: pc 0x00800000b0 waits at Ls dispatch queue with index 1 -[INFO ][time= 526] DecodeBuffer: in v:1 r:1 pc=00800000e4 -[INFO ][time= 526] DecodeBuffer: in v:1 r:1 pc=00800000e8 -[INFO ][time= 526] DecodeBuffer: in v:1 r:1 pc=00800000ec -[INFO ][time= 526] DecodeBuffer: in v:1 r:1 pc=00800000f0 -[INFO ][time= 526] DecodeBuffer: in v:1 r:1 pc=00800000f4 -[INFO ][time= 526] DecodeBuffer: in v:1 r:1 pc=00800000f8 -[INFO ][time= 526] DecodeBuffer: out v:1 r:1 pc=00800000cc -[INFO ][time= 526] DecodeBuffer: out v:1 r:1 pc=00800000d0 -[INFO ][time= 526] DecodeBuffer: out v:1 r:1 pc=00800000d4 -[INFO ][time= 526] DecodeBuffer: out v:1 r:1 pc=00800000d8 -[INFO ][time= 526] DecodeBuffer: out v:1 r:1 pc=00800000dc -[INFO ][time= 526] DecodeBuffer: out v:1 r:1 pc=00800000e0 -[ERROR][time= 526] Roq: CSR block should only happen in s_idle -[DEBUG][time= 526] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 526] Roq: dispatched 6 insts -[DEBUG][time= 526] Roq: head 0:13 tail 0: 7 -[DEBUG][time= 526] Roq: -------vvvvvv------------------- -[DEBUG][time= 526] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 526] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c v -[DEBUG][time= 526] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 526] Roq: 00800000b0 v 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 526] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 526] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 526] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 526] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 526] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 9 -> 10) -[DEBUG][time= 526] DispatchQueue_2: LsDpQ: num_enq = 2, tail = (11 -> 13) -[DEBUG][time= 526] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 9), tail = (0, 11), -[DEBUG][time= 526] DispatchQueue: IntDpQ: num_deq = 4, head = (11 -> 15) -[DEBUG][time= 526] DispatchQueue: IntDpQ: num_enq = 4, tail = (15 -> 3) -[DEBUG][time= 526] DispatchQueue: IntDpQ: valid_entries = 4, head = (1, 11), tail = (1, 15), -[DEBUG][time= 526] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080008ff8 size 3 data 0000000080000010 mask 00ff cmd 1 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 0 data 10 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 3 data 80 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 526] LsExeUnit: forwarding data from stq, addr 0000000080008ff8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 526] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000084 addr 0000000080008ff8 data 0000000080000010 func 0b wmask 000000011111111 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 1 ptr 2 valid 0 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 2 ptr 3 valid 0 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 3 ptr 6 valid 0 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 5 ptr 2 valid 0 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 6 ptr 1 valid 0 -[DEBUG][time= 526] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 526] LsExeUnit: state: 2 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 526] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 1 emptySlot 1 -[DEBUG][time= 526] LsExeUnit: retiringStore now... -[DEBUG][time= 526] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484028------> s1 fire!!! -[DEBUG][time= 526] FakeCache: [Stage1_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 526] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 526] FakeCache: [Stage2_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 526] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 526] FakeCache: [Stage3_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 526] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 526] IFU: [IF1]if1_valid:1 || if1_npc:0x008000019c || if1_pcUpdate:1 if1_pc:0x008000017c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 526] IFU: [IF2]if2_valid:1 || if2_pc:0x008000017c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 526] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 526] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 526] IFU: [IF3]if3_valid:1 || if3_pc:0x008000015c if3_npc:0x008000017c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 526] IFU: [IF4]if4_valid:1 || if4_pc:0x008000013c if4_npc:0x008000015c -[DEBUG][time= 526] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000015c -[DEBUG][time= 526] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] starPC:0x008000013c GroupPC:0x0080000120n -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000140 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x0080000144 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction 03348663 pnpc:0x0080000148 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction 01043783 pnpc:0x008000014c -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000150 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction fc079ae3 pnpc:0x0080000154 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction 00090513 pnpc:0x0080000158 -[DEBUG][time= 526] IFU: [IFU-Out-FetchPacket] instruction f01ff0ef pnpc:0x008000015c -[INFO ][time= 526] IssueQueue: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 37:1 0:1 0:0) Dest: 0 oldDest: 0 pc:00800000a8 roqIdx:0a -[DEBUG][time= 526] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 526] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 526] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 526] IssueQueue: 1 |0|1| 0|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 1 |0080000088|06 <- -[DEBUG][time= 526] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 -[DEBUG][time= 526] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 526] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 526] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 526] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 526] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 526] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 526] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 38 oldDest: 15 pc:008000009c roqIdx:07 -[DEBUG][time= 526] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 526] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 526] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 526] IssueQueue_1: 0 |0|1| 34|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 1 |0080000080|04 <- -[DEBUG][time= 526] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c -[DEBUG][time= 526] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 526] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 526] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 526] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 526] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 526] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 526] IssueQueue_2: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 39 oldDest: 14 pc:00800000a0 roqIdx:08 -[DEBUG][time= 526] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 526] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 526] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 526] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 1 |0080000018|06 <- -[DEBUG][time= 526] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 -[DEBUG][time= 526] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 526] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 526] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 526] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 526] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 526] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 526] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 36:1 0:1 0:0) Dest: 40 oldDest: 36 pc:00800000ac roqIdx:0b -[DEBUG][time= 526] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 526] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 526] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 526] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 1 |008000001c|07 <- -[DEBUG][time= 526] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 -[DEBUG][time= 526] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 526] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 526] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 526] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 526] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 526] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 526] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 526] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 526] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 526] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 526] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 526] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 526] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 526] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 526] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 526] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 526] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 526] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 526] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 526] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 526] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 526] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 526] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 526] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 526] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 526] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 526] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 526] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 526] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 526] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 526] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 526] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 526] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 526] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 526] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 526] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 526] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 526] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 526] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 526] IssueQueue_7: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 38:0 39:0 0:0) Dest: 32 oldDest: 0 pc:00800000a4 roqIdx:09 -[DEBUG][time= 526] IssueQueue_7: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(1 1) Out(0 0) -[DEBUG][time= 526] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 526] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 526] IssueQueue_7: 2 |0|0| 52|0|14aebf80d4026f54| 92|1|d59417af1d1624f2| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 526] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d -[DEBUG][time= 526] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 526] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 526] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 526] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 526] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 526] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 526] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 526] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 526] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 526] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 526] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 526] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 526] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 526] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 526] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 526] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 526] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 526] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 526] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 526] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 14 -[DEBUG][time= 526] FreeList_1: req:1 canAlloc:1 pdest: 45 headNext:0: 14 -[DEBUG][time= 526] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 14 -[DEBUG][time= 526] FreeList_1: req:0 canAlloc:1 pdest: 46 headNext:0: 14 -[DEBUG][time= 526] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 14 -[DEBUG][time= 526] FreeList_1: req:0 canAlloc:1 pdest: 46 headNext:0: 14 -[DEBUG][time= 526] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 15 -[DEBUG][time= 526] FreeList_1: req:1 canAlloc:1 pdest: 46 headNext:0: 15 -[DEBUG][time= 526] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 16 -[DEBUG][time= 526] FreeList_1: req:1 canAlloc:1 pdest: 47 headNext:0: 16 -[DEBUG][time= 526] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 17 -[DEBUG][time= 526] FreeList_1: req:1 canAlloc:1 pdest: 48 headNext:0: 17 -[DEBUG][time= 526] FreeList_1: head:0: 13 tail:1: 6 -[DEBUG][time= 526] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 526] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 5 -[DEBUG][time= 526] Brq: ---------------- -[DEBUG][time= 526] Brq: enq v:1 rdy:1 pc:00800000e4 brTag:f:0 v: 5 -[DEBUG][time= 526] Brq: enq v:0 rdy:1 pc:00800000e8 brTag:f:0 v: 6 -[DEBUG][time= 526] Brq: enq v:1 rdy:1 pc:00800000ec brTag:f:0 v: 6 -[DEBUG][time= 526] Brq: enq v:1 rdy:1 pc:00800000f0 brTag:f:0 v: 7 -[DEBUG][time= 526] Brq: enq v:1 rdy:1 pc:00800000f4 brTag:f:0 v: 8 -[DEBUG][time= 526] Brq: enq v:0 rdy:1 pc:00800000f8 brTag:f:0 v: 9 -[DEBUG][time= 527] Tage: req: pc=0x00800001bc, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 527] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 527] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 527] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 527] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 527] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 527] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 527] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 527] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 527] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 527] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 527] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 527] BusyTable_1: Allocate 49 -[DEBUG][time= 527] BusyTable_1: Allocate 50 -[DEBUG][time= 527] BusyTable_1: Allocate 51 -[DEBUG][time= 527] BusyTable_1: writeback 38 -[DEBUG][time= 527] BusyTable_1: writeback 39 -[DEBUG][time= 527] BusyTable_1: writeback 40 -[DEBUG][time= 527] BusyTable_1: 38 is busy -[DEBUG][time= 527] BusyTable_1: 39 is busy -[DEBUG][time= 527] BusyTable_1: 40 is busy -[DEBUG][time= 527] BusyTable_1: 41 is busy -[DEBUG][time= 527] BusyTable_1: 42 is busy -[DEBUG][time= 527] BusyTable_1: 43 is busy -[DEBUG][time= 527] BusyTable_1: 44 is busy -[DEBUG][time= 527] BusyTable_1: 45 is busy -[DEBUG][time= 527] BusyTable_1: 46 is busy -[DEBUG][time= 527] BusyTable_1: 47 is busy -[DEBUG][time= 527] BusyTable_1: 48 is busy -[DEBUG][time= 527] BPUStage2: in:(1 1) pc=008000019c out:(1 1) pc=008000017c -[DEBUG][time= 527] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 527] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 527] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 527] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 527] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 527] WriteBackArbMtoN: out(0) pc(0x00800000a8) writebacks 0x00000000800000ac to pdest( 0) ldest( 0) -[INFO ][time= 527] WriteBackArbMtoN: out(1) pc(0x008000009c) writebacks 0x0000000040600000 to pdest( 38) ldest(15) -[INFO ][time= 527] WriteBackArbMtoN: out(2) pc(0x00800000a0) writebacks 0x0000000000000003 to pdest( 39) ldest(14) -[INFO ][time= 527] WriteBackArbMtoN: out(3) pc(0x00800000ac) writebacks 0x0000000080008fe0 to pdest( 40) ldest( 2) -[DEBUG][time= 527] BPUStage1: in:(1 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 527] BPUStage1: outPred:(1) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 527] BPUStage3: [RAS]:pc=0x008000017c, rasWritePosition= 1, rasWriteAddr=0x008000017c -[DEBUG][time= 527] BPUStage3: in:(1 1) pc=008000017c -[DEBUG][time= 527] BPUStage3: out:1 pc=008000015c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000017c -[DEBUG][time= 527] BPUStage3: flushS3=0 -[DEBUG][time= 527] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 527] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 527] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 527] JBTAC: read: pc=0x00800001bc, histXORAddr=0x00800001ba, bank=5, row= 27, hist=0000000000000000000000000000000000000000000000000000000000000011 -[DEBUG][time= 527] JBTAC: read_resp: pc=0x008000019c, bank=5, row= 25, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 527] Frontend: inst:02813023 pc:00800000fc -[INFO ][time= 527] Frontend: inst:00913c23 pc:0080000100 -[INFO ][time= 527] Frontend: inst:01213823 pc:0080000104 -[INFO ][time= 527] Frontend: inst:01313423 pc:0080000108 -[INFO ][time= 527] Frontend: inst:02113423 pc:008000010c -[INFO ][time= 527] Frontend: inst:fff5849b pc:0080000110 -[DEBUG][time= 527] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000fc instr:02813023 -[DEBUG][time= 527] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000100 instr:00913c23 -[DEBUG][time= 527] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000104 instr:01213823 -[DEBUG][time= 527] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000108 instr:01313423 -[DEBUG][time= 527] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:008000010c instr:02113423 -[DEBUG][time= 527] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000110 instr:fff5849b -[DEBUG][time= 527] Ibuffer: Enque: -[DEBUG][time= 527] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 527] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 527] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 527] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 527] Ibuffer: 11111101001101001001111011100011 -[DEBUG][time= 527] Ibuffer: 00000010100000010011000010000011 -[DEBUG][time= 527] Ibuffer: 00000010000000010011010000000011 -[DEBUG][time= 527] Ibuffer: 00000001100000010011010010000011 -[DEBUG][time= 527] Ibuffer: Deque: -[DEBUG][time= 527] Ibuffer: 00000010100000010011000000100011 PC=00800000fc v=1 r=1 -[DEBUG][time= 527] Ibuffer: 00000000100100010011110000100011 PC=0080000100 v=1 r=1 -[DEBUG][time= 527] Ibuffer: 00000001001000010011100000100011 PC=0080000104 v=1 r=1 -[DEBUG][time= 527] Ibuffer: 00000001001100010011010000100011 PC=0080000108 v=1 r=1 -[DEBUG][time= 527] Ibuffer: 00000010000100010011010000100011 PC=008000010c v=1 r=1 -[DEBUG][time= 527] Ibuffer: 11111111111101011000010010011011 PC=0080000110 v=1 r=1 -[DEBUG][time= 527] Ibuffer: last_head_ptr= 48 last_tail_ptr= 96 -[DEBUG][time= 527] BTB: read: pc=0x00800001bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 527] BTB: read_resp: pc=0x008000019c, readIdx=206------------------------------- -[DEBUG][time= 527] BTB: read_resp[b=0][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=1][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=2][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=3][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=4][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=5][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=6][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=7][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=12][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=13][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=14][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: read_resp[b=15][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 527] BTB: bankIdxInOrder:[DEBUG][time= 527] BTB: 14 [DEBUG][time= 527] BTB: 15 [DEBUG][time= 527] BTB: 0 [DEBUG][time= 527] BTB: 1 [DEBUG][time= 527] BTB: 2 [DEBUG][time= 527] BTB: 3 [DEBUG][time= 527] BTB: 4 [DEBUG][time= 527] BTB: 5 [DEBUG][time= 527] BTB: 6 [DEBUG][time= 527] BTB: 7 [DEBUG][time= 527] BTB: 8 [DEBUG][time= 527] BTB: 9 [DEBUG][time= 527] BTB: 10 [DEBUG][time= 527] BTB: 11 [DEBUG][time= 527] BTB: 12 [DEBUG][time= 527] BTB: 13 [DEBUG][time= 527] BTB: -[DEBUG][time= 527] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v:13 -[DEBUG][time= 527] AluExeUnit: src1:0000000000000000 src2:0000000040600000 offset:0000000040600000 func:0000000 pc:000000008000009c -[DEBUG][time= 527] AluExeUnit: res:0000000040600000 aluRes:00000000040600000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0040600000 taken:0 -[DEBUG][time= 527] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v:13 -[DEBUG][time= 527] AluExeUnit_1: src1:0000000000000000 src2:0000000000000003 offset:0000000000000003 func:0000000 pc:00000000800000a0 -[DEBUG][time= 527] AluExeUnit_1: res:0000000000000003 aluRes:00000000000000003 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000003 taken:0 -[DEBUG][time= 527] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v:13 -[DEBUG][time= 527] AluExeUnit_2: src1:0000000080008ff0 src2:fffffffffffffff0 offset:fffffffffffffff0 func:0000000 pc:00000000800000ac -[DEBUG][time= 527] AluExeUnit_2: res:0000000080008fe0 aluRes:10000000080008fe0 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080008fe0 taken:0 -[DEBUG][time= 527] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:d -[DEBUG][time= 527] Jump: src1:000000008000008c offset:0000000000000000 func:0011100 type:JUMP pc:00000000800000a8 res:00000000800000ac -[INFO ][time= 527] Rename: pc:00800000e4 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 527] Rename: pc:00800000e8 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 49 old_pdest: 10 out v:1 r:1 -[INFO ][time= 527] Rename: pc:00800000ec in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 50 old_pdest: 46 out v:1 r:1 -[INFO ][time= 527] Rename: pc:00800000f0 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 527] Rename: pc:00800000f4 in v:1 in rdy:1 lsrc1:11 -> psrc1: 11 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 527] Rename: pc:00800000f8 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 48 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 51 old_pdest: 48 out v:1 r:1 -[INFO ][time= 527] Dispatch1: pc 0x00800000cc accepted by queue 1 0 0 -[INFO ][time= 527] Dispatch1: pc 0x00800000d0 accepted by queue 1 0 0 -[INFO ][time= 527] Dispatch1: pc 0x00800000d4 accepted by queue 0 0 1 -[INFO ][time= 527] Dispatch1: pc 0x00800000d8 accepted by queue 0 0 1 -[INFO ][time= 527] Dispatch1: pc 0x00800000dc accepted by queue 0 0 1 -[INFO ][time= 527] Dispatch1: pc 0x00800000e0 accepted by queue 1 0 0 -[DEBUG][time= 527] Dispatch1: pc 0x00800000cc receives nroq 19 -[DEBUG][time= 527] Dispatch1: v:1 r:1 pc 0x00800000cc of type 0011 is in 0-th slot -[DEBUG][time= 527] Dispatch1: pc 0x00800000d0 receives nroq 20 -[DEBUG][time= 527] Dispatch1: v:1 r:1 pc 0x00800000d0 of type 0011 is in 1-th slot -[DEBUG][time= 527] Dispatch1: pc 0x00800000d4 receives nroq 21 -[DEBUG][time= 527] Dispatch1: v:1 r:1 pc 0x00800000d4 of type 1101 is in 2-th slot -[DEBUG][time= 527] Dispatch1: pc 0x00800000d8 receives nroq 22 -[DEBUG][time= 527] Dispatch1: v:1 r:1 pc 0x00800000d8 of type 1100 is in 3-th slot -[DEBUG][time= 527] Dispatch1: pc 0x00800000dc receives nroq 23 -[DEBUG][time= 527] Dispatch1: v:1 r:1 pc 0x00800000dc of type 1100 is in 4-th slot -[DEBUG][time= 527] Dispatch1: pc 0x00800000e0 receives nroq 24 -[DEBUG][time= 527] Dispatch1: v:1 r:1 pc 0x00800000e0 of type 0011 is in 5-th slot -[DEBUG][time= 527] Dispatch2: int dp queue 0: 00800000b8 type 0011 -[DEBUG][time= 527] Dispatch2: int dp queue 1: 00800000bc type 0011 -[DEBUG][time= 527] Dispatch2: int dp queue 2: 00800000c0 type 0011 -[DEBUG][time= 527] Dispatch2: int dp queue 3: 00800000c4 type 0011 -[DEBUG][time= 527] Dispatch2: ls dp queue 0: 00800000b0 type 1101 -[DEBUG][time= 527] Dispatch2: ls dp queue 1: 00800000b4 type 1101 -[DEBUG][time= 527] Dispatch2: ls dp queue 2: 00800000c8 type 1100 -[DEBUG][time= 527] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 527] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 527] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 527] Dispatch2: dispatch to iq index 4: 0 -[DEBUG][time= 527] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 527] Dispatch2: regfile 0 from 1 -[DEBUG][time= 527] Dispatch2: regfile 1 from 1 -[DEBUG][time= 527] Dispatch2: regfile 2 from 2 -[DEBUG][time= 527] Dispatch2: regfile 3 from 2 -[DEBUG][time= 527] Dispatch2: regfile 4 from 3 -[DEBUG][time= 527] Dispatch2: regfile 5 from 3 -[DEBUG][time= 527] Dispatch2: regfile 6 from 0 -[DEBUG][time= 527] Dispatch2: regfile 7 from 0 -[DEBUG][time= 527] Dispatch2: int regfile 0: addr 10, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 2: addr 10, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 3: addr 41, state 0 -[DEBUG][time= 527] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 527] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 527] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 527] Dispatch2: pc 0x00800000bc with type 0011 srcState(1 1 0) enters reservation station 1 from 1 -[INFO ][time= 527] Dispatch2: pc 0x00800000c0 with type 0011 srcState(1 0 0) enters reservation station 2 from 2 -[INFO ][time= 527] Dispatch2: pc 0x00800000c4 with type 0011 srcState(1 1 0) enters reservation station 3 from 3 -[INFO ][time= 527] Dispatch2: pc 0x00800000b8 with type 0011 srcState(1 1 0) enters reservation station 4 from 0 -[INFO ][time= 527] Dispatch2: pc 0x00800000b0 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 527] Dispatch2: pc 0x00800000b8 leaves Int dispatch queue with nroq 14 -[INFO ][time= 527] Dispatch2: pc 0x00800000bc leaves Int dispatch queue with nroq 15 -[INFO ][time= 527] Dispatch2: pc 0x00800000c0 leaves Int dispatch queue with nroq 16 -[INFO ][time= 527] Dispatch2: pc 0x00800000c4 leaves Int dispatch queue with nroq 17 -[INFO ][time= 527] Dispatch2: pc 0x00800000b0 leaves Ls dispatch queue with nroq 12 -[DEBUG][time= 527] Dispatch2: pc 0x00800000b4 waits at Ls dispatch queue with index 1 -[DEBUG][time= 527] Dispatch2: pc 0x00800000c8 waits at Ls dispatch queue with index 2 -[DEBUG][time= 527] Dispatch2: pc 0x00800000a8 reads operands from ( 6, 37, 000000008000008c), ( 7, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 527] Dispatch2: pc 0x008000009c reads operands from ( 0, 0, 0000000000000000), ( 1, 0, 0000000040600000), ( 0, 0, 0000000000000000) -[DEBUG][time= 527] Dispatch2: pc 0x00800000a0 reads operands from ( 2, 0, 0000000000000000), ( 3, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[DEBUG][time= 527] Dispatch2: pc 0x00800000ac reads operands from ( 4, 36, 0000000080008ff0), ( 5, 0, fffffffffffffff0), ( 0, 0, 0000000000000000) -[DEBUG][time= 527] Dispatch2: pc 0x00800000a4 reads operands from ( 8, 38, 000000008000108c), ( 9, 39, d95ad4be237be8dc), ( 0, 0, 0000000000000000) -[INFO ][time= 527] DecodeBuffer: in v:1 r:1 pc=00800000fc -[INFO ][time= 527] DecodeBuffer: in v:1 r:1 pc=0080000100 -[INFO ][time= 527] DecodeBuffer: in v:1 r:1 pc=0080000104 -[INFO ][time= 527] DecodeBuffer: in v:1 r:1 pc=0080000108 -[INFO ][time= 527] DecodeBuffer: in v:1 r:1 pc=008000010c -[INFO ][time= 527] DecodeBuffer: in v:1 r:1 pc=0080000110 -[INFO ][time= 527] DecodeBuffer: out v:1 r:1 pc=00800000e4 -[INFO ][time= 527] DecodeBuffer: out v:1 r:1 pc=00800000e8 -[INFO ][time= 527] DecodeBuffer: out v:1 r:1 pc=00800000ec -[INFO ][time= 527] DecodeBuffer: out v:1 r:1 pc=00800000f0 -[INFO ][time= 527] DecodeBuffer: out v:1 r:1 pc=00800000f4 -[INFO ][time= 527] DecodeBuffer: out v:1 r:1 pc=00800000f8 -[ERROR][time= 527] Roq: CSR block should only happen in s_idle -[DEBUG][time= 527] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 527] Roq: dispatched 6 insts -[INFO ][time= 527] Roq: writebacked 3 insts -[INFO ][time= 527] Roq: writebacked pc 0x008000009c wen 1 data 0x0000000040600000 ldst 15 pdst 38 skip 0 -[INFO ][time= 527] Roq: writebacked pc 0x00800000a0 wen 1 data 0x0000000000000003 ldst 14 pdst 39 skip 0 -[INFO ][time= 527] Roq: writebacked pc 0x00800000ac wen 1 data 0x0000000080008fe0 ldst 2 pdst 40 skip 0 -[DEBUG][time= 527] Roq: head 0:19 tail 0: 7 -[DEBUG][time= 527] Roq: -------vvvvvvvvvvvv------------- -[DEBUG][time= 527] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 527] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c v -[DEBUG][time= 527] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 527] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 527] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000bc - -[DEBUG][time= 527] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 527] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 527] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[DEBUG][time= 527] DispatchQueue_2: LsDpQ: num_deq = 1, head = (10 -> 11) -[DEBUG][time= 527] DispatchQueue_2: LsDpQ: num_enq = 3, tail = (13 -> 0) -[DEBUG][time= 527] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 10), tail = (0, 13), -[DEBUG][time= 527] DispatchQueue: IntDpQ: num_deq = 4, head = (15 -> 3) -[DEBUG][time= 527] DispatchQueue: IntDpQ: num_enq = 3, tail = ( 3 -> 6) -[DEBUG][time= 527] DispatchQueue: IntDpQ: valid_entries = 4, head = (1, 15), tail = (0, 3), -[DEBUG][time= 527] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 527] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 527] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 527] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 527] LsExeUnit: retiringStore now... -[DEBUG][time= 527] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484060------> s1 fire!!! -[DEBUG][time= 527] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 527] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 527] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 527] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 527] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 527] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 527] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:1 if1_pc:0x008000019c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 527] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 527] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 527] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 527] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 527] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 527] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 527] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] starPC:0x008000015c GroupPC:0x0080000140n -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000160 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x0080000164 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000168 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x008000016c -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction fd349ee3 pnpc:0x0080000170 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction 02813083 pnpc:0x0080000174 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction 02013403 pnpc:0x0080000178 -[DEBUG][time= 527] IFU: [IFU-Out-FetchPacket] instruction 01813483 pnpc:0x008000017c -[INFO ][time= 527] IssueQueue: EnqData: src1:000000008000008c src2:0000000000000000 src3:0000000000000000 pc:00800000a8 roqIdx:0a(for last cycle's Ctrl) -[INFO ][time= 527] IssueQueue: Deq:(1 1) [ 37|000000008000008c][ 0|0000000000000000][ 0|26f7d2634708bafb] pdest: 0 pc:00800000a8 roqIdx:0a -[DEBUG][time= 527] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 527] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 527] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 527] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 527] IssueQueue: 1 |1|1| 37|1|0000000080000088| 0|1|0000000000000014| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 527] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 527] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 527] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 527] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 527] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 527] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 527] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 527] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 10:1 0:1 0:0) Dest: 42 oldDest: 32 pc:00800000bc roqIdx:0f -[INFO ][time= 527] IssueQueue_1: EnqData: src1:0000000000000000 src2:0000000040600000 src3:0000000000000000 pc:008000009c roqIdx:07(for last cycle's Ctrl) -[INFO ][time= 527] IssueQueue_1: Deq:(1 1) [ 0|0000000000000000][ 0|0000000040600000][ 0|c63124ed8740c04f] pdest: 38 pc:008000009c roqIdx:07 -[DEBUG][time= 527] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 527] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 38) -[DEBUG][time= 527] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 527] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 527] IssueQueue_1: 0 |1|1| 0|1|0000000080009000| 0|1|fffffffffffffff0| 0|0|0000000000000000| 2 |008000009c|07 -[DEBUG][time= 527] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |00800000a0|0c <- -[DEBUG][time= 527] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 527] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 527] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 527] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 527] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 527] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 527] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 10:1 41:0 0:0) Dest: 32 oldDest: 0 pc:00800000c0 roqIdx:10 -[INFO ][time= 527] IssueQueue_2: EnqData: src1:0000000000000000 src2:0000000000000003 src3:0000000000000000 pc:00800000a0 roqIdx:08(for last cycle's Ctrl) -[INFO ][time= 527] IssueQueue_2: Deq:(1 1) [ 0|0000000000000000][ 0|0000000000000003][ 0|56e780095a4543a2] pdest: 39 pc:00800000a0 roqIdx:08 -[DEBUG][time= 527] IssueQueue_2: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 527] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 39) -[DEBUG][time= 527] IssueQueue_2: NoDelayIss: enqALRdy:0 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 527] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 527] IssueQueue_2: 1 |1|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a0|08 -[DEBUG][time= 527] IssueQueue_2: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 2 |008000008c|07 <- -[DEBUG][time= 527] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 527] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 527] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 527] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 527] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 527] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 527] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 0:1 0:1 0:0) Dest: 43 oldDest: 39 pc:00800000c4 roqIdx:11 -[INFO ][time= 527] IssueQueue_3: EnqData: src1:0000000080008ff0 src2:fffffffffffffff0 src3:0000000000000000 pc:00800000ac roqIdx:0b(for last cycle's Ctrl) -[INFO ][time= 527] IssueQueue_3: Deq:(1 1) [ 36|0000000080008ff0][ 0|fffffffffffffff0][ 0|04ea9d532908f0c8] pdest: 40 pc:00800000ac roqIdx:0b -[DEBUG][time= 527] IssueQueue_3: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 527] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 40) -[DEBUG][time= 527] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 527] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 527] IssueQueue_3: 1 |1|1| 36|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 3 |00800000ac|0b -[DEBUG][time= 527] IssueQueue_3: 2 |0|1| 38|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 2 |0080000090|08 <- -[DEBUG][time= 527] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 527] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 527] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 527] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 527] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 527] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 527] IssueQueue_4: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 41 oldDest: 38 pc:00800000b8 roqIdx:0e -[DEBUG][time= 527] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 527] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 527] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 527] IssueQueue_4: 0 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 1 |0080000010|04 <- -[DEBUG][time= 527] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b -[DEBUG][time= 527] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 527] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 527] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 527] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 527] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 527] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 527] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 527] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 527] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 527] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 527] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 527] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 527] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 527] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 527] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 527] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 527] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 527] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 527] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 527] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 527] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 527] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 527] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 527] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 527] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 527] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 527] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 527] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 527] IssueQueue_7: WakeUpHit: IQIdx:2 Src0: 38 Ports:1 Data:0000000040600000 Pc:008000009c RoqIdx:07 -[DEBUG][time= 527] IssueQueue_7: WakeUpHit: IQIdx:2 Src1: 39 Ports:2 Data:0000000000000003 Pc:00800000a0 RoqIdx:08 -[INFO ][time= 527] IssueQueue_7: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 40:1 32:1 0:0) Dest: 32 oldDest: 0 pc:00800000b0 roqIdx:0c -[INFO ][time= 527] IssueQueue_7: EnqData: src1:000000008000108c src2:d95ad4be237be8dc src3:0000000000000000 pc:00800000a4 roqIdx:09(for last cycle's Ctrl) -[DEBUG][time= 527] IssueQueue_7: tailAll: 1 KID(010) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000000 moveDot:00000010 In(1 1) Out(0 0) -[DEBUG][time= 527] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 527] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 527] IssueQueue_7: 2 |1|0| 38|0|14aebf80d4026f54| 39|0|d59417af1d1624f2| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 527] IssueQueue_7: 1 |0|0| 42|0|d95ad4be237be8dc| 43|0|d95ad4be237be8dc| 0|0|0000000000000000| 4 |00800000a4|0d <- -[DEBUG][time= 527] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 -[DEBUG][time= 527] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 527] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 527] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 527] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 527] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 527] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 527] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 527] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 527] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 527] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 527] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 527] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 527] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 527] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 527] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 527] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 527] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 527] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 527] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 17 -[DEBUG][time= 527] FreeList_1: req:0 canAlloc:1 pdest: 49 headNext:0: 17 -[DEBUG][time= 527] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 18 -[DEBUG][time= 527] FreeList_1: req:1 canAlloc:1 pdest: 49 headNext:0: 18 -[DEBUG][time= 527] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 19 -[DEBUG][time= 527] FreeList_1: req:1 canAlloc:1 pdest: 50 headNext:0: 19 -[DEBUG][time= 527] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 19 -[DEBUG][time= 527] FreeList_1: req:0 canAlloc:1 pdest: 51 headNext:0: 19 -[DEBUG][time= 527] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 19 -[DEBUG][time= 527] FreeList_1: req:0 canAlloc:1 pdest: 51 headNext:0: 19 -[DEBUG][time= 527] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 20 -[DEBUG][time= 527] FreeList_1: req:1 canAlloc:1 pdest: 51 headNext:0: 20 -[DEBUG][time= 527] FreeList_1: head:0: 17 tail:1: 6 -[DEBUG][time= 527] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 527] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 9 -[DEBUG][time= 527] Brq: ---------------- -[INFO ][time= 527] Brq: exu write back: brTag:f:0 v: 2 pc=00800000a8 pnpc=00800000ac target=008000008c -[DEBUG][time= 527] Brq: enq v:0 rdy:1 pc:00800000fc brTag:f:0 v: 9 -[DEBUG][time= 527] Brq: enq v:0 rdy:1 pc:0080000100 brTag:f:0 v: 9 -[DEBUG][time= 527] Brq: enq v:0 rdy:1 pc:0080000104 brTag:f:0 v: 9 -[DEBUG][time= 527] Brq: enq v:0 rdy:1 pc:0080000108 brTag:f:0 v: 9 -[DEBUG][time= 527] Brq: enq v:0 rdy:1 pc:008000010c brTag:f:0 v: 9 -[DEBUG][time= 527] Brq: enq v:0 rdy:1 pc:0080000110 brTag:f:0 v: 9 -[DEBUG][time= 528] Tage: req: pc=0x008000008c, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 528] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 528] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 528] XSCore: c-mem(1 1 80008ff8| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 528] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 528] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 528] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 528] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 528] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 528] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 528] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 528] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 528] BusyTable_1: 41 is busy -[DEBUG][time= 528] BusyTable_1: 42 is busy -[DEBUG][time= 528] BusyTable_1: 43 is busy -[DEBUG][time= 528] BusyTable_1: 44 is busy -[DEBUG][time= 528] BusyTable_1: 45 is busy -[DEBUG][time= 528] BusyTable_1: 46 is busy -[DEBUG][time= 528] BusyTable_1: 47 is busy -[DEBUG][time= 528] BusyTable_1: 48 is busy -[DEBUG][time= 528] BusyTable_1: 49 is busy -[DEBUG][time= 528] BusyTable_1: 50 is busy -[DEBUG][time= 528] BusyTable_1: 51 is busy -[DEBUG][time= 528] BPUStage2: in:(0 0) pc=00800001bc out:(0 0) pc=008000019c -[DEBUG][time= 528] BPUStage2: validLatch=1 pc=008000019c -[DEBUG][time= 528] BPUStage2: flush!!! -[DEBUG][time= 528] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 528] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 528] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 528] DispatchGen: priority: data(3) = 1, priority = 3 -[DEBUG][time= 528] BPUStage1: in:(1 1) pc=008000008c ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 528] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 528] BPUStage1: flush from backend: pc=00800000a8 tgt=008000008c brTgt=008000008c btbType=11 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000000000011 fetchIdx=3 isExcpt=0 -[DEBUG][time= 528] BPUStage3: flushS3=0 -[DEBUG][time= 528] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 528] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 528] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 528] JBTAC: read: pc=0x008000008c, histXORAddr=0x0080000082, bank=1, row= 8, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 528] JBTAC: read_resp: pc=0x00800001bc, bank=5, row= 27, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 528] JBTAC: [JBTAC]update_req: fetchPC=0x008000009c, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000000000011, bank=5, row= 9, target=0x008000008c, offset= 6, type=0x3 -[INFO ][time= 528] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 528] BTB: read: pc=0x008000008c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 528] BTB: read_resp: pc=0x00800001bc, readIdx=222------------------------------- -[DEBUG][time= 528] BTB: read_resp[b=0][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=1][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=2][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=3][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=4][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=5][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=6][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=7][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b= 8][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b= 9][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=10][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=11][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=12][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=13][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=14][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: read_resp[b=15][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 528] BTB: bankIdxInOrder:[DEBUG][time= 528] BTB: 14 [DEBUG][time= 528] BTB: 15 [DEBUG][time= 528] BTB: 0 [DEBUG][time= 528] BTB: 1 [DEBUG][time= 528] BTB: 2 [DEBUG][time= 528] BTB: 3 [DEBUG][time= 528] BTB: 4 [DEBUG][time= 528] BTB: 5 [DEBUG][time= 528] BTB: 6 [DEBUG][time= 528] BTB: 7 [DEBUG][time= 528] BTB: 8 [DEBUG][time= 528] BTB: 9 [DEBUG][time= 528] BTB: 10 [DEBUG][time= 528] BTB: 11 [DEBUG][time= 528] BTB: 12 [DEBUG][time= 528] BTB: 13 [DEBUG][time= 528] BTB: -[DEBUG][time= 528] BTB: update_req: pc=0x00800000a8, hit=0, misPred=1, oldCtr=0, taken=1, target=0x008000008c, btbType=3 -[DEBUG][time= 528] BTB: update: noNeedToUpdate=1, writeValid=0, bank= 4, row= 5, newCtr=2 -[DEBUG][time= 528] MulExeUnit: redirect: f:0 v: 2 -[DEBUG][time= 528] MulExeUnit_1: redirect: f:0 v: 2 -[INFO ][time= 528] Rename: pc:00800000fc in v:0 in rdy:1 lsrc1: 2 -> psrc1: 51 lsrc2: 8 -> psrc2: 47 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 528] Rename: pc:0080000100 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 51 lsrc2: 9 -> psrc2: 9 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 528] Rename: pc:0080000104 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 51 lsrc2:18 -> psrc2: 18 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 528] Rename: pc:0080000108 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 51 lsrc2:19 -> psrc2: 19 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 528] Rename: pc:008000010c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 51 lsrc2: 1 -> psrc2: 50 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 528] Rename: pc:0080000110 in v:0 in rdy:1 lsrc1:11 -> psrc1: 11 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 9 -> pdest: 32 old_pdest: 9 out v:0 r:1 -[INFO ][time= 528] Rename: int rat arch: ldest:15 pdest: 38 -[INFO ][time= 528] Rename: int rat arch: ldest:14 pdest: 39 -[DEBUG][time= 528] Dispatch1: pc=00800000e4 brTag:f:0 v: 2 -[DEBUG][time= 528] Dispatch1: pc=00800000e8 brTag:f:0 v: 2 -[DEBUG][time= 528] Dispatch1: pc=00800000ec brTag:f:0 v: 2 -[DEBUG][time= 528] Dispatch1: pc=00800000f0 brTag:f:0 v: 2 -[DEBUG][time= 528] Dispatch1: pc=00800000f4 brTag:f:0 v: 2 -[DEBUG][time= 528] Dispatch1: pc=00800000f8 brTag:f:0 v: 2 -[INFO ][time= 528] Dispatch1: pc 0x00800000e4 with brTag 2 cancelled -[INFO ][time= 528] Dispatch1: pc 0x00800000e8 with brTag 2 cancelled -[INFO ][time= 528] Dispatch1: pc 0x00800000ec with brTag 2 cancelled -[INFO ][time= 528] Dispatch1: pc 0x00800000f0 with brTag 2 cancelled -[INFO ][time= 528] Dispatch1: pc 0x00800000f4 with brTag 2 cancelled -[INFO ][time= 528] Dispatch1: pc 0x00800000f8 with brTag 2 cancelled -[DEBUG][time= 528] Dispatch1: pc 0x00800000e4 receives nroq 25 -[DEBUG][time= 528] Dispatch1: v:1 r:1 pc 0x00800000e4 of type 0000 is in 0-th slot -[DEBUG][time= 528] Dispatch1: pc 0x00800000e8 receives nroq 26 -[DEBUG][time= 528] Dispatch1: v:1 r:1 pc 0x00800000e8 of type 0011 is in 1-th slot -[DEBUG][time= 528] Dispatch1: pc 0x00800000ec receives nroq 27 -[DEBUG][time= 528] Dispatch1: v:1 r:1 pc 0x00800000ec of type 0000 is in 2-th slot -[DEBUG][time= 528] Dispatch1: pc 0x00800000f0 receives nroq 28 -[DEBUG][time= 528] Dispatch1: v:1 r:1 pc 0x00800000f0 of type 0000 is in 3-th slot -[DEBUG][time= 528] Dispatch1: pc 0x00800000f4 receives nroq 29 -[DEBUG][time= 528] Dispatch1: v:1 r:1 pc 0x00800000f4 of type 0011 is in 4-th slot -[DEBUG][time= 528] Dispatch1: pc 0x00800000f8 receives nroq 30 -[DEBUG][time= 528] Dispatch1: v:1 r:1 pc 0x00800000f8 of type 0011 is in 5-th slot -[DEBUG][time= 528] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 528] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 528] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 528] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 528] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 528] Dispatch2: regfile 0 from 0 -[DEBUG][time= 528] Dispatch2: regfile 1 from 0 -[DEBUG][time= 528] Dispatch2: regfile 2 from 1 -[DEBUG][time= 528] Dispatch2: regfile 3 from 1 -[DEBUG][time= 528] Dispatch2: regfile 4 from 2 -[DEBUG][time= 528] Dispatch2: regfile 5 from 2 -[DEBUG][time= 528] Dispatch2: regfile 6 from 3 -[DEBUG][time= 528] Dispatch2: regfile 7 from 3 -[DEBUG][time= 528] Dispatch2: int regfile 0: addr 44, state 0 -[DEBUG][time= 528] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 2: addr 45, state 0 -[DEBUG][time= 528] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 4: addr 40, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 6: addr 36, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 9: addr 37, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 12: addr 37, state 1 -[DEBUG][time= 528] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 528] Dispatch2: pc 0x00800000bc reads operands from ( 0, 10, d95ad4be237be8dc), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 528] Dispatch2: pc 0x00800000c0 reads operands from ( 2, 10, d95ad4be237be8dc), ( 3, 41, d95ad4be237be8dc), ( 0, 0, 0000000000000000) -[DEBUG][time= 528] Dispatch2: pc 0x00800000c4 reads operands from ( 4, 0, 0000000000000000), ( 5, 0, 0000000040600000), ( 0, 0, 0000000000000000) -[DEBUG][time= 528] Dispatch2: pc 0x00800000b8 reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 000000000000000a), ( 0, 0, 0000000000000000) -[DEBUG][time= 528] Dispatch2: pc 0x00800000b0 reads operands from ( 8, 40, 0000000080008fe0), ( 9, 32, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 528] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 528] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 528] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 528] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 528] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 528] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 528] DecodeBuffer: out v:0 r:1 pc=00800000fc -[INFO ][time= 528] DecodeBuffer: out v:0 r:1 pc=0080000100 -[INFO ][time= 528] DecodeBuffer: out v:0 r:1 pc=0080000104 -[INFO ][time= 528] DecodeBuffer: out v:0 r:1 pc=0080000108 -[INFO ][time= 528] DecodeBuffer: out v:0 r:1 pc=008000010c -[INFO ][time= 528] DecodeBuffer: out v:0 r:1 pc=0080000110 -[ERROR][time= 528] Roq: CSR block should only happen in s_idle -[DEBUG][time= 528] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 528] Roq: dispatched 6 insts -[INFO ][time= 528] Roq: writebacked 1 insts -[INFO ][time= 528] Roq: writebacked pc 0x00800000a8 wen 1 data 0x00000000800000ac ldst 0 pdst 0 skip 0 -[INFO ][time= 528] Roq: retired pc 008000009c wen 1 ldst 15 data 0000000040600000 -[INFO ][time= 528] Roq: retired pc 00800000a0 wen 1 ldst 14 data 0000000000000003 -[INFO ][time= 528] Roq: retired 2 insts -[DEBUG][time= 528] Roq: head 0:25 tail 0: 7 -[DEBUG][time= 528] Roq: -------wwvvwvvvvvvvvvvvvv------- -[DEBUG][time= 528] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 528] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c w -[DEBUG][time= 528] Roq: 00800000a0 w 00800000a4 v 00800000a8 v 00800000ac w -[DEBUG][time= 528] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 528] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc v -[DEBUG][time= 528] Roq: 00800000d0 v 00800000d4 v 00800000d8 v 00800000dc v -[DEBUG][time= 528] Roq: 00800000e0 v 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 528] Roq: 544735df77 - 544735df77 - 544735df77 - 544735df77 - -[INFO ][time= 528] DispatchQueue_2: LsDpQ: valid entry(11)(pc = 00800000b4) cancelled with brTag 2 -[INFO ][time= 528] DispatchQueue_2: LsDpQ: valid entry(12)(pc = 00800000c8) cancelled with brTag 2 -[INFO ][time= 528] DispatchQueue_2: LsDpQ: valid entry(13)(pc = 00800000d4) cancelled with brTag 2 -[INFO ][time= 528] DispatchQueue_2: LsDpQ: valid entry(14)(pc = 00800000d8) cancelled with brTag 2 -[INFO ][time= 528] DispatchQueue_2: LsDpQ: valid entry(15)(pc = 00800000dc) cancelled with brTag 2 -[DEBUG][time= 528] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 11), tail = (1, 0), -[INFO ][time= 528] DispatchQueue: IntDpQ: valid entry(3)(pc = 00800000cc) cancelled with brTag 2 -[INFO ][time= 528] DispatchQueue: IntDpQ: valid entry(4)(pc = 00800000d0) cancelled with brTag 2 -[INFO ][time= 528] DispatchQueue: IntDpQ: valid entry(5)(pc = 00800000e0) cancelled with brTag 2 -[DEBUG][time= 528] DispatchQueue: IntDpQ: valid_entries = 3, head = (0, 3), tail = (0, 6), -[DEBUG][time= 528] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 528] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 528] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 528] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 528] LsExeUnit: retiringStore now... -[DEBUG][time= 528] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484092------> s1 fire!!! -[DEBUG][time= 528] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 528] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 528] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 528] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 528] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 528] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 528] IFU: [IF1]if1_valid:1 || if1_npc:0x008000008c || if1_pcUpdate:1 if1_pc:0x00800001bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 528] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 528] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 528] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 528] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 528] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 528] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 528] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 528] IFU: [IFU-REDIRECT] target:0x008000008c -[INFO ][time= 528] IssueQueue: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 528] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 528] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 528] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 528] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 528] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 528] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 528] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 528] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 528] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 528] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 528] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 528] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:00000010 redIdHitVec:00000001 enqHit:1 selIsRed:1 -[INFO ][time= 528] IssueQueue_1: EnqData: src1:d95ad4be237be8dc src2:0000000000000000 src3:0000000000000000 pc:00800000bc roqIdx:0f(for last cycle's Ctrl) -[DEBUG][time= 528] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 528] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 42) -[DEBUG][time= 528] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 528] IssueQueue_1: 1 |1|1| 10|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 528] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 528] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 528] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 528] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 528] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 528] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 528] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 528] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:11111101 redIdHitVec:11111101 enqHit:1 selIsRed:0 -[INFO ][time= 528] IssueQueue_2: EnqData: src1:d95ad4be237be8dc src2:d95ad4be237be8dc src3:0000000000000000 pc:00800000c0 roqIdx:10(for last cycle's Ctrl) -[DEBUG][time= 528] IssueQueue_2: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(0 1) Out(0 1) -[DEBUG][time= 528] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 528] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 528] IssueQueue_2: 0 |1|0| 10|1|000000008000008c| 41|0|0000000000001000| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 528] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 528] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 528] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 528] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 528] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 528] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 528] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 528] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:00000110 redIdHitVec:00000011 enqHit:1 selIsRed:1 -[INFO ][time= 528] IssueQueue_3: EnqData: src1:0000000000000000 src2:0000000040600000 src3:0000000000000000 pc:00800000c4 roqIdx:11(for last cycle's Ctrl) -[DEBUG][time= 528] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 528] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 43) -[DEBUG][time= 528] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 528] IssueQueue_3: 2 |1|1| 0|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 528] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 528] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 528] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 528] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 528] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 528] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 528] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 528] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:1 -[INFO ][time= 528] IssueQueue_4: EnqData: src1:0000000000000000 src2:000000000000000a src3:0000000000000000 pc:00800000b8 roqIdx:0e(for last cycle's Ctrl) -[DEBUG][time= 528] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 528] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 41) -[DEBUG][time= 528] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 528] IssueQueue_4: 0 |1|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 528] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 528] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 528] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 528] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 528] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 528] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 528] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 528] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 528] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 528] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 528] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 528] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 528] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 528] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 528] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 528] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 528] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 528] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 528] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 528] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:0 -[DEBUG][time= 528] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 528] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 528] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 528] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 528] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 528] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 528] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 528] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 528] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 528] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 528] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 528] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 2 redHitVec:00000010 redIdHitVec:00000010 enqHit:1 selIsRed:0 -[INFO ][time= 528] IssueQueue_7: EnqData: src1:0000000080008fe0 src2:0000000000000000 src3:0000000000000000 pc:00800000b0 roqIdx:0c(for last cycle's Ctrl) -[DEBUG][time= 528] IssueQueue_7: tailAll: 2 KID(001) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000000 moveDot:00000110 In(0 1) Out(0 0) -[DEBUG][time= 528] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 528] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 528] IssueQueue_7: 2 |1|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 528] IssueQueue_7: 1 |1|1| 40|1|d95ad4be237be8dc| 32|1|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 528] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 528] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 528] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 528] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 528] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 528] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 528] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 528] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 528] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 528] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 528] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 528] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 528] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 528] FreeList: redirect: brqIdx= 2 -[DEBUG][time= 528] FreeList_1: dealloc preg: 15 -[DEBUG][time= 528] FreeList_1: dealloc preg: 14 -[DEBUG][time= 528] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 528] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 528] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 528] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 528] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 528] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 528] FreeList_1: head:0: 20 tail:1: 6 -[DEBUG][time= 528] FreeList_1: redirect: brqIdx= 2 -[DEBUG][time= 528] Brq: headIdx: 2 commitIdx: 2 -[DEBUG][time= 528] Brq: headPtr:f:0 v: 2 tailPtr:f:0 v: 9 -[DEBUG][time= 528] Brq: --w------------- -[INFO ][time= 528] Brq: commit branch to roq, mispred:1 pc=00800000a8 -[INFO ][time= 528] Brq: brq redirect, target:008000008c -[DEBUG][time= 529] Tage: req: pc=0x00800000ac, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 529] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 529] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 529] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 529] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 529] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 529] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 529] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 529] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 529] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 529] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 529] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 529] BusyTable_1: writeback 51 -[DEBUG][time= 529] BusyTable_1: writeback 50 -[DEBUG][time= 529] BusyTable_1: writeback 49 -[DEBUG][time= 529] BusyTable_1: 41 is busy -[DEBUG][time= 529] BusyTable_1: 42 is busy -[DEBUG][time= 529] BusyTable_1: 43 is busy -[DEBUG][time= 529] BusyTable_1: 44 is busy -[DEBUG][time= 529] BusyTable_1: 45 is busy -[DEBUG][time= 529] BusyTable_1: 46 is busy -[DEBUG][time= 529] BusyTable_1: 47 is busy -[DEBUG][time= 529] BusyTable_1: 48 is busy -[DEBUG][time= 529] BusyTable_1: 49 is busy -[DEBUG][time= 529] BusyTable_1: 50 is busy -[DEBUG][time= 529] BusyTable_1: 51 is busy -[DEBUG][time= 529] BPUStage2: in:(1 1) pc=008000008c out:(0 1) pc=008000019c -[DEBUG][time= 529] BPUStage2: validLatch=0 pc=008000019c -[DEBUG][time= 529] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 529] DispatchGen: priority: data(1) = 1, priority = 3 -[DEBUG][time= 529] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 529] DispatchGen: priority: data(3) = 0, priority = 2 -[DEBUG][time= 529] BPUStage1: in:(1 1) pc=00800000ac ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 529] BPUStage1: outPred:(1) pc=0x008000008c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 529] BPUStage3: flushS3=1 -[DEBUG][time= 529] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 529] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 529] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 529] JBTAC: read: pc=0x00800000ac, histXORAddr=0x00800000a2, bank=1, row= 10, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 529] JBTAC: read_resp: pc=0x008000008c, bank=1, row= 8, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 529] BTB: read: pc=0x00800000ac, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 529] BTB: read_resp: pc=0x008000008c, readIdx= 70------------------------------- -[DEBUG][time= 529] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=10][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=12][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 529] BTB: bankIdxInOrder:[DEBUG][time= 529] BTB: 6 [DEBUG][time= 529] BTB: 7 [DEBUG][time= 529] BTB: 8 [DEBUG][time= 529] BTB: 9 [DEBUG][time= 529] BTB: 10 [DEBUG][time= 529] BTB: 11 [DEBUG][time= 529] BTB: 12 [DEBUG][time= 529] BTB: 13 [DEBUG][time= 529] BTB: 14 [DEBUG][time= 529] BTB: 15 [DEBUG][time= 529] BTB: 0 [DEBUG][time= 529] BTB: 1 [DEBUG][time= 529] BTB: 2 [DEBUG][time= 529] BTB: 3 [DEBUG][time= 529] BTB: 4 [DEBUG][time= 529] BTB: 5 [DEBUG][time= 529] BTB: -[INFO ][time= 529] Rename: int walk: pc:00800000f8 ldst: 2 old_pdest: 48 -[INFO ][time= 529] Rename: int walk: pc:00800000ec ldst: 1 old_pdest: 46 -[INFO ][time= 529] Rename: int walk: pc:00800000e8 ldst:10 old_pdest: 10 -[DEBUG][time= 529] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 0-th slot -[DEBUG][time= 529] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 1-th slot -[DEBUG][time= 529] Dispatch1: v:0 r:1 pc 0x00800000ec of type 0000 is in 2-th slot -[DEBUG][time= 529] Dispatch1: v:0 r:1 pc 0x00800000f0 of type 0000 is in 3-th slot -[DEBUG][time= 529] Dispatch1: v:0 r:1 pc 0x00800000f4 of type 0011 is in 4-th slot -[DEBUG][time= 529] Dispatch1: v:0 r:1 pc 0x00800000f8 of type 0011 is in 5-th slot -[DEBUG][time= 529] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 529] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 529] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 529] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 529] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 529] Dispatch2: regfile 0 from 0 -[DEBUG][time= 529] Dispatch2: regfile 1 from 0 -[DEBUG][time= 529] Dispatch2: regfile 2 from 3 -[DEBUG][time= 529] Dispatch2: regfile 3 from 3 -[DEBUG][time= 529] Dispatch2: regfile 4 from 1 -[DEBUG][time= 529] Dispatch2: regfile 5 from 1 -[DEBUG][time= 529] Dispatch2: regfile 6 from 2 -[DEBUG][time= 529] Dispatch2: regfile 7 from 2 -[DEBUG][time= 529] Dispatch2: int regfile 0: addr 44, state 0 -[DEBUG][time= 529] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 4: addr 45, state 0 -[DEBUG][time= 529] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 6: addr 40, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 9: addr 37, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 12: addr 37, state 1 -[DEBUG][time= 529] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 529] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 529] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 529] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 529] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 529] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 529] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 529] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 529] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 529] DecodeBuffer: out v:0 r:0 pc=0080000100 -[INFO ][time= 529] DecodeBuffer: out v:0 r:0 pc=0080000104 -[INFO ][time= 529] DecodeBuffer: out v:0 r:0 pc=0080000108 -[INFO ][time= 529] DecodeBuffer: out v:0 r:0 pc=008000010c -[INFO ][time= 529] DecodeBuffer: out v:0 r:0 pc=0080000110 -[ERROR][time= 529] Roq: CSR block should only happen in s_idle -[DEBUG][time= 529] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 529] Roq: walked pc 00800000f8 wen 1 ldst 2 data 354a99e36ebef7b8 -[INFO ][time= 529] Roq: walked pc 00800000f4 wen 0 ldst 0 data 98f4ec07075c981d -[INFO ][time= 529] Roq: walked pc 00800000f0 wen 1 ldst 0 data 04a85b7425ff2f44 -[INFO ][time= 529] Roq: walked pc 00800000ec wen 1 ldst 1 data 14aebf80d4026f54 -[INFO ][time= 529] Roq: walked pc 00800000e8 wen 1 ldst 10 data 6a32e58cb45a9365 -[INFO ][time= 529] Roq: walked pc 00800000e4 wen 1 ldst 0 data d778a282da4f7138 -[INFO ][time= 529] Roq: rolling back: head 11 tail 9 walk 0:30 -[DEBUG][time= 529] Roq: head 0:11 tail 0: 9 -[DEBUG][time= 529] Roq: ---------vwwvvvvvvvvvvvvvvvvvvv- -[DEBUG][time= 529] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 529] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 529] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 00800000ac w -[DEBUG][time= 529] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 529] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc v -[DEBUG][time= 529] Roq: 00800000d0 v 00800000d4 v 00800000d8 v 00800000dc v -[DEBUG][time= 529] Roq: 00800000e0 v 00800000e4 v 00800000e8 v 00800000ec v -[DEBUG][time= 529] Roq: 00800000f0 v 00800000f4 v 00800000f8 v 544735df77 - -[DEBUG][time= 529] DispatchQueue_2: LsDpQ: num_deq = 4, head = (11 -> 15) -[DEBUG][time= 529] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 11), tail = (1, 0), -[DEBUG][time= 529] DispatchQueue: IntDpQ: num_deq = 3, head = ( 3 -> 6) -[DEBUG][time= 529] DispatchQueue: IntDpQ: valid_entries = 3, head = (0, 3), tail = (0, 6), -[DEBUG][time= 529] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 529] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 529] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 529] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 529] LsExeUnit: retiringStore now... -[DEBUG][time= 529] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483788------> s1 fire!!! -[DEBUG][time= 529] FakeCache: [Stage1_data] instr1:0x00001517 instr2:0xc4950513 -[DEBUG][time= 529] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 529] FakeCache: [Stage2_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 529] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 529] FakeCache: [Stage3_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 529] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 529] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000ac || if1_pcUpdate:1 if1_pc:0x008000008c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 529] IFU: [IF2]if2_valid:1 || if2_pc:0x008000008c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 529] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 529] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 529] IFU: [IF3]if3_valid:0 || if3_pc:0x00800001bc if3_npc:0x008000008c || if4_ready:1 -[DEBUG][time= 529] IFU: [IF4]if4_valid:0 || if4_pc:0x008000019c if4_npc:0x00800001bc -[DEBUG][time= 529] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 529] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 529] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 529] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 529] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 529] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 529] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 529] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 529] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 529] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 529] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 529] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 529] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 529] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 529] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 529] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 529] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 529] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 529] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 529] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 529] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 529] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 529] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 529] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 529] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000001 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 529] IssueQueue_2: popOne:1 isPop:1 popSel:0 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 SelUop:(0, 59) -[DEBUG][time= 529] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 529] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 529] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 529] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 529] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 529] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 529] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 529] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 529] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 529] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 529] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 529] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 529] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 529] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 529] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 529] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 529] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 529] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 529] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 529] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 529] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 529] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 529] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 529] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 529] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 529] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 529] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 529] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 529] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 529] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 529] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 529] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 529] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 529] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 529] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 529] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 529] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 529] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 529] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 529] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 529] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 529] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 529] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 529] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 529] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 529] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 529] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 529] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 529] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 529] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 529] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 529] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 529] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 529] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 529] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 529] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 529] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 529] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 529] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 529] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 529] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 529] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 529] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 529] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 529] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 529] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 529] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 529] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 529] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 529] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 529] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 529] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 529] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 529] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 529] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 529] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 529] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 529] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 529] FreeList_1: head:0: 8 tail:1: 8 -[DEBUG][time= 529] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 529] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 3 -[DEBUG][time= 529] Brq: ---------------- -[DEBUG][time= 529] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 529] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 529] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 529] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 529] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 529] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 530] Tage: req: pc=0x00800000cc, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 530] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 530] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 530] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 530] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 530] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 530] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 530] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 530] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 530] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 530] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 530] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 530] BusyTable_1: writeback 48 -[DEBUG][time= 530] BusyTable_1: writeback 47 -[DEBUG][time= 530] BusyTable_1: writeback 46 -[DEBUG][time= 530] BusyTable_1: writeback 45 -[DEBUG][time= 530] BusyTable_1: 41 is busy -[DEBUG][time= 530] BusyTable_1: 42 is busy -[DEBUG][time= 530] BusyTable_1: 43 is busy -[DEBUG][time= 530] BusyTable_1: 44 is busy -[DEBUG][time= 530] BusyTable_1: 45 is busy -[DEBUG][time= 530] BusyTable_1: 46 is busy -[DEBUG][time= 530] BusyTable_1: 47 is busy -[DEBUG][time= 530] BusyTable_1: 48 is busy -[DEBUG][time= 530] BPUStage2: in:(1 1) pc=00800000ac out:(1 1) pc=008000008c -[DEBUG][time= 530] BPUStage2: validLatch=1 pc=008000008c -[DEBUG][time= 530] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 530] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 530] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 530] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 530] BPUStage1: in:(1 1) pc=00800000cc ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 530] BPUStage1: outPred:(1) pc=0x00800000ac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 530] BPUStage3: [RAS]:pc=0x008000008c, rasWritePosition= 1, rasWriteAddr=0x008000019c -[DEBUG][time= 530] BPUStage3: in:(1 1) pc=008000008c -[DEBUG][time= 530] BPUStage3: flushS3=1 -[DEBUG][time= 530] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 530] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 530] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 530] JBTAC: read: pc=0x00800000cc, histXORAddr=0x00800000c2, bank=1, row= 12, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 530] JBTAC: read_resp: pc=0x00800000ac, bank=1, row= 10, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 530] BTB: read: pc=0x00800000cc, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 530] BTB: read_resp: pc=0x00800000ac, readIdx= 86------------------------------- -[DEBUG][time= 530] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 530] BTB: bankIdxInOrder:[DEBUG][time= 530] BTB: 6 [DEBUG][time= 530] BTB: 7 [DEBUG][time= 530] BTB: 8 [DEBUG][time= 530] BTB: 9 [DEBUG][time= 530] BTB: 10 [DEBUG][time= 530] BTB: 11 [DEBUG][time= 530] BTB: 12 [DEBUG][time= 530] BTB: 13 [DEBUG][time= 530] BTB: 14 [DEBUG][time= 530] BTB: 15 [DEBUG][time= 530] BTB: 0 [DEBUG][time= 530] BTB: 1 [DEBUG][time= 530] BTB: 2 [DEBUG][time= 530] BTB: 3 [DEBUG][time= 530] BTB: 4 [DEBUG][time= 530] BTB: 5 [DEBUG][time= 530] BTB: -[INFO ][time= 530] Rename: int walk: pc:00800000e0 ldst: 2 old_pdest: 40 -[INFO ][time= 530] Rename: int walk: pc:00800000dc ldst: 8 old_pdest: 42 -[INFO ][time= 530] Rename: int walk: pc:00800000d8 ldst: 1 old_pdest: 37 -[INFO ][time= 530] Rename: int walk: pc:00800000cc ldst:15 old_pdest: 44 -[DEBUG][time= 530] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 0-th slot -[DEBUG][time= 530] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 1-th slot -[DEBUG][time= 530] Dispatch1: v:0 r:1 pc 0x00800000ec of type 0000 is in 2-th slot -[DEBUG][time= 530] Dispatch1: v:0 r:1 pc 0x00800000f0 of type 0000 is in 3-th slot -[DEBUG][time= 530] Dispatch1: v:0 r:1 pc 0x00800000f4 of type 0011 is in 4-th slot -[DEBUG][time= 530] Dispatch1: v:0 r:1 pc 0x00800000f8 of type 0011 is in 5-th slot -[DEBUG][time= 530] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 530] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 530] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 530] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 530] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 530] Dispatch2: regfile 0 from 0 -[DEBUG][time= 530] Dispatch2: regfile 1 from 0 -[DEBUG][time= 530] Dispatch2: regfile 2 from 1 -[DEBUG][time= 530] Dispatch2: regfile 3 from 1 -[DEBUG][time= 530] Dispatch2: regfile 4 from 2 -[DEBUG][time= 530] Dispatch2: regfile 5 from 2 -[DEBUG][time= 530] Dispatch2: regfile 6 from 3 -[DEBUG][time= 530] Dispatch2: regfile 7 from 3 -[DEBUG][time= 530] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 4: addr 39, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 6: addr 39, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 7: addr 45, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 9: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 12: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 530] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 530] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 530] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 530] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 530] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 530] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 530] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 530] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 530] DecodeBuffer: out v:0 r:0 pc=0080000100 -[INFO ][time= 530] DecodeBuffer: out v:0 r:0 pc=0080000104 -[INFO ][time= 530] DecodeBuffer: out v:0 r:0 pc=0080000108 -[INFO ][time= 530] DecodeBuffer: out v:0 r:0 pc=008000010c -[INFO ][time= 530] DecodeBuffer: out v:0 r:0 pc=0080000110 -[ERROR][time= 530] Roq: CSR block should only happen in s_idle -[DEBUG][time= 530] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 530] Roq: walked pc 00800000e0 wen 1 ldst 2 data d990a6bfff0eb52d -[INFO ][time= 530] Roq: walked pc 00800000dc wen 1 ldst 8 data c38fe4e3d1a781e0 -[INFO ][time= 530] Roq: walked pc 00800000d8 wen 1 ldst 1 data 00000000591ea2fd -[INFO ][time= 530] Roq: walked pc 00800000d4 wen 0 ldst 0 data 0f1b316d28f507ae -[INFO ][time= 530] Roq: walked pc 00800000d0 wen 0 ldst 0 data 52a9bf6dd6a01910 -[INFO ][time= 530] Roq: walked pc 00800000cc wen 1 ldst 15 data c4cb6f1ec27112f1 -[INFO ][time= 530] Roq: rolling back: head 11 tail 9 walk 0:24 -[DEBUG][time= 530] Roq: head 0:11 tail 0: 9 -[DEBUG][time= 530] Roq: ---------vwwvvvvvvvvvvvvv------- -[DEBUG][time= 530] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 530] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 530] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 00800000ac w -[DEBUG][time= 530] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 530] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc v -[DEBUG][time= 530] Roq: 00800000d0 v 00800000d4 v 00800000d8 v 00800000dc v -[DEBUG][time= 530] Roq: 00800000e0 v 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 530] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 530] DispatchQueue_2: LsDpQ: num_deq = 1, head = (15 -> 0) -[DEBUG][time= 530] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 15), tail = (1, 0), -[DEBUG][time= 530] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 530] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 530] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 530] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 530] LsExeUnit: retiringStore now... -[DEBUG][time= 530] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483820------> s1 fire!!! -[DEBUG][time= 530] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00813023 -[DEBUG][time= 530] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 530] FakeCache: [Stage2_data] instr1:0x00001517 instr2:0xc4950513 -[DEBUG][time= 530] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 530] FakeCache: [Stage3_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 530] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 530] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000cc || if1_pcUpdate:1 if1_pc:0x00800000ac || if2_ready:1------IF1->fire!!! -[DEBUG][time= 530] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000ac || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 530] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 530] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 530] IFU: [IF3]if3_valid:1 || if3_pc:0x008000008c if3_npc:0x00800000ac || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 530] IFU: [IF4]if4_valid:0 || if4_pc:0x008000019c if4_npc:0x00800001bc -[DEBUG][time= 530] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 530] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 530] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 530] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 530] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 530] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 530] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 530] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 530] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 530] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 530] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 530] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 530] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 530] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 530] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 530] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 530] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 530] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 530] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 530] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 530] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 530] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 530] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 530] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 530] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 530] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 530] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 530] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 530] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 530] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 530] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 530] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 530] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 530] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 530] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 530] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 530] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 530] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 530] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 530] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 530] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 530] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 530] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 530] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 530] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 530] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 530] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 530] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 530] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 530] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 530] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 530] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 530] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 530] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 530] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 530] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 530] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 530] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 530] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 530] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 530] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 530] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 530] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 530] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 530] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 530] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 530] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 530] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 530] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 530] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 530] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 530] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 530] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 530] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 530] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 530] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 530] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 530] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 530] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 530] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 530] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 530] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 530] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 530] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 530] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 530] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 530] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 530] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 530] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 530] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 530] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 530] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 530] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 530] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 530] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 530] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 530] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 530] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 530] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 530] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 530] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 530] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 530] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 530] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 530] FreeList_1: head:0: 8 tail:1: 8 -[DEBUG][time= 530] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 530] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 3 -[DEBUG][time= 530] Brq: ---------------- -[DEBUG][time= 530] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 530] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 530] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 530] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 530] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 530] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 531] Tage: req: pc=0x00800000ec, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 531] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 531] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 531] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 531] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 531] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 531] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 531] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 531] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 531] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 531] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 531] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 531] BusyTable_1: writeback 44 -[DEBUG][time= 531] BusyTable_1: writeback 43 -[DEBUG][time= 531] BusyTable_1: writeback 42 -[DEBUG][time= 531] BusyTable_1: writeback 41 -[DEBUG][time= 531] BusyTable_1: 41 is busy -[DEBUG][time= 531] BusyTable_1: 42 is busy -[DEBUG][time= 531] BusyTable_1: 43 is busy -[DEBUG][time= 531] BusyTable_1: 44 is busy -[DEBUG][time= 531] BPUStage2: in:(1 1) pc=00800000cc out:(1 1) pc=00800000ac -[DEBUG][time= 531] BPUStage2: validLatch=1 pc=00800000ac -[DEBUG][time= 531] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 531] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 531] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 531] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 531] BPUStage1: in:(1 1) pc=00800000ec ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 531] BPUStage1: outPred:(1) pc=0x00800000cc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 531] BPUStage3: [RAS]:pc=0x00800000ac, rasWritePosition= 1, rasWriteAddr=0x00800000ac -[DEBUG][time= 531] BPUStage3: in:(1 1) pc=00800000ac -[DEBUG][time= 531] BPUStage3: out:1 pc=008000008c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000ac -[DEBUG][time= 531] BPUStage3: flushS3=0 -[DEBUG][time= 531] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 531] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 531] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 531] JBTAC: read: pc=0x00800000ec, histXORAddr=0x00800000e2, bank=1, row= 14, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 531] JBTAC: read_resp: pc=0x00800000cc, bank=1, row= 12, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 531] Ibuffer: Enque: -[DEBUG][time= 531] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 531] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 531] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 531] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 531] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 531] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 531] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 531] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 531] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 531] BTB: read: pc=0x00800000ec, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 531] BTB: read_resp: pc=0x00800000cc, readIdx=102------------------------------- -[DEBUG][time= 531] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 531] BTB: bankIdxInOrder:[DEBUG][time= 531] BTB: 6 [DEBUG][time= 531] BTB: 7 [DEBUG][time= 531] BTB: 8 [DEBUG][time= 531] BTB: 9 [DEBUG][time= 531] BTB: 10 [DEBUG][time= 531] BTB: 11 [DEBUG][time= 531] BTB: 12 [DEBUG][time= 531] BTB: 13 [DEBUG][time= 531] BTB: 14 [DEBUG][time= 531] BTB: 15 [DEBUG][time= 531] BTB: 0 [DEBUG][time= 531] BTB: 1 [DEBUG][time= 531] BTB: 2 [DEBUG][time= 531] BTB: 3 [DEBUG][time= 531] BTB: 4 [DEBUG][time= 531] BTB: 5 [DEBUG][time= 531] BTB: -[INFO ][time= 531] Rename: int walk: pc:00800000c8 ldst:15 old_pdest: 41 -[INFO ][time= 531] Rename: int walk: pc:00800000c4 ldst:14 old_pdest: 39 -[INFO ][time= 531] Rename: int walk: pc:00800000bc ldst: 8 old_pdest: 32 -[INFO ][time= 531] Rename: int walk: pc:00800000b8 ldst:15 old_pdest: 38 -[DEBUG][time= 531] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 0-th slot -[DEBUG][time= 531] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 1-th slot -[DEBUG][time= 531] Dispatch1: v:0 r:1 pc 0x00800000ec of type 0000 is in 2-th slot -[DEBUG][time= 531] Dispatch1: v:0 r:1 pc 0x00800000f0 of type 0000 is in 3-th slot -[DEBUG][time= 531] Dispatch1: v:0 r:1 pc 0x00800000f4 of type 0011 is in 4-th slot -[DEBUG][time= 531] Dispatch1: v:0 r:1 pc 0x00800000f8 of type 0011 is in 5-th slot -[DEBUG][time= 531] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 531] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 531] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 531] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 531] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 531] Dispatch2: regfile 0 from 0 -[DEBUG][time= 531] Dispatch2: regfile 1 from 0 -[DEBUG][time= 531] Dispatch2: regfile 2 from 1 -[DEBUG][time= 531] Dispatch2: regfile 3 from 1 -[DEBUG][time= 531] Dispatch2: regfile 4 from 2 -[DEBUG][time= 531] Dispatch2: regfile 5 from 2 -[DEBUG][time= 531] Dispatch2: regfile 6 from 3 -[DEBUG][time= 531] Dispatch2: regfile 7 from 3 -[DEBUG][time= 531] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 4: addr 39, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 6: addr 39, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 7: addr 45, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 9: addr 38, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 531] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 531] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 531] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 531] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 531] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 531] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 531] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 531] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 531] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 531] DecodeBuffer: out v:0 r:0 pc=0080000100 -[INFO ][time= 531] DecodeBuffer: out v:0 r:0 pc=0080000104 -[INFO ][time= 531] DecodeBuffer: out v:0 r:0 pc=0080000108 -[INFO ][time= 531] DecodeBuffer: out v:0 r:0 pc=008000010c -[INFO ][time= 531] DecodeBuffer: out v:0 r:0 pc=0080000110 -[ERROR][time= 531] Roq: CSR block should only happen in s_idle -[DEBUG][time= 531] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 531] Roq: walked pc 00800000c8 wen 1 ldst 15 data 2a083d0ba8e3b0d3 -[INFO ][time= 531] Roq: walked pc 00800000c4 wen 1 ldst 14 data 3b2c06f87ac8f439 -[INFO ][time= 531] Roq: walked pc 00800000c0 wen 0 ldst 0 data bc976fc1d239e13d -[INFO ][time= 531] Roq: walked pc 00800000bc wen 1 ldst 8 data 617691e7ef800c75 -[INFO ][time= 531] Roq: walked pc 00800000b8 wen 1 ldst 15 data 4e75619b606735e4 -[INFO ][time= 531] Roq: walked pc 00800000b4 wen 0 ldst 0 data 38768681721d4080 -[INFO ][time= 531] Roq: rolling back: head 11 tail 9 walk 0:18 -[DEBUG][time= 531] Roq: head 0:11 tail 0: 9 -[DEBUG][time= 531] Roq: ---------vwwvvvvvvv------------- -[DEBUG][time= 531] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 531] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 531] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 00800000ac w -[DEBUG][time= 531] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 531] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc - -[DEBUG][time= 531] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 531] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 531] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 531] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 531] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 531] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 531] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 531] LsExeUnit: retiringStore now... -[DEBUG][time= 531] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483852------> s1 fire!!! -[DEBUG][time= 531] FakeCache: [Stage1_data] instr1:0x0087f793 instr2:0xfe079ce3 -[DEBUG][time= 531] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 531] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00813023 -[DEBUG][time= 531] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 531] FakeCache: [Stage3_data] instr1:0x00001517 instr2:0xc4950513 -[DEBUG][time= 531] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 531] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000ec || if1_pcUpdate:1 if1_pc:0x00800000cc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 531] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000cc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 531] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 531] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 531] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000ac if3_npc:0x00800000cc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 531] IFU: [IF4]if4_valid:1 || if4_pc:0x008000008c if4_npc:0x00800000ac -[DEBUG][time= 531] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000ac -[DEBUG][time= 531] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] starPC:0x008000008c GroupPC:0x0080000080n -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 531] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 531] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 531] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 531] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 531] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 531] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 531] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 531] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 531] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 531] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 531] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 531] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 531] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 531] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 531] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 531] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 531] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 531] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 531] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 531] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 531] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 531] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 531] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 531] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 531] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 531] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 531] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 531] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 531] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 531] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 531] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 531] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 531] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 531] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 531] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 531] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 531] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 531] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 531] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 531] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 531] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 531] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 531] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 531] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 531] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 531] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 531] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 531] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 531] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 531] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 531] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 531] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 531] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 531] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 531] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 531] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 531] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 531] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 531] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 531] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 531] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 531] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 531] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 531] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 531] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 531] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 531] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 531] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 531] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 531] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 531] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 531] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 531] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 531] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 531] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 531] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 531] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 531] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 531] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 531] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 531] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 531] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 531] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 531] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 531] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 531] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 531] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 531] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 531] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 531] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 531] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 531] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 531] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 531] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 531] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 531] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 531] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 531] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 531] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 531] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 531] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 531] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 531] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 531] FreeList_1: head:0: 8 tail:1: 8 -[DEBUG][time= 531] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 531] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 3 -[DEBUG][time= 531] Brq: ---------------- -[DEBUG][time= 531] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 531] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 531] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 531] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 531] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 531] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 3 -[DEBUG][time= 532] Tage: req: pc=0x008000010c, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 532] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 532] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 532] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 532] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 532] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 532] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 532] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 532] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 532] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 532] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 532] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 532] BusyTable_1: writeback 40 -[DEBUG][time= 532] BPUStage2: in:(1 1) pc=00800000ec out:(1 1) pc=00800000cc -[DEBUG][time= 532] BPUStage2: validLatch=1 pc=00800000cc -[DEBUG][time= 532] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 532] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 532] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 532] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 532] BPUStage1: in:(1 1) pc=008000010c ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 532] BPUStage1: outPred:(1) pc=0x00800000ec, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 532] BPUStage3: [RAS]:pc=0x00800000cc, rasWritePosition= 1, rasWriteAddr=0x00800000cc -[DEBUG][time= 532] BPUStage3: in:(1 1) pc=00800000cc -[DEBUG][time= 532] BPUStage3: out:1 pc=00800000ac redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000cc -[DEBUG][time= 532] BPUStage3: flushS3=0 -[DEBUG][time= 532] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 532] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 532] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 532] JBTAC: read: pc=0x008000010c, histXORAddr=0x0080000102, bank=1, row= 16, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 532] JBTAC: read_resp: pc=0x00800000ec, bank=1, row= 14, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 532] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 532] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 532] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 532] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 532] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 532] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 532] Ibuffer: Enque: -[DEBUG][time= 532] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 532] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 532] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 532] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 532] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 532] Ibuffer: 00000010111101010000010001100011 -[DEBUG][time= 532] Ibuffer: 01000000011000000000011100110111 -[DEBUG][time= 532] Ibuffer: 00000000100001110100011110000011 -[DEBUG][time= 532] Ibuffer: Deque: -[DEBUG][time= 532] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=0 -[DEBUG][time= 532] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=0 -[DEBUG][time= 532] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=0 -[DEBUG][time= 532] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=0 -[DEBUG][time= 532] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=0 -[DEBUG][time= 532] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=0 -[DEBUG][time= 532] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 532] BTB: read: pc=0x008000010c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 532] BTB: read_resp: pc=0x00800000ec, readIdx=118------------------------------- -[DEBUG][time= 532] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 532] BTB: bankIdxInOrder:[DEBUG][time= 532] BTB: 6 [DEBUG][time= 532] BTB: 7 [DEBUG][time= 532] BTB: 8 [DEBUG][time= 532] BTB: 9 [DEBUG][time= 532] BTB: 10 [DEBUG][time= 532] BTB: 11 [DEBUG][time= 532] BTB: 12 [DEBUG][time= 532] BTB: 13 [DEBUG][time= 532] BTB: 14 [DEBUG][time= 532] BTB: 15 [DEBUG][time= 532] BTB: 0 [DEBUG][time= 532] BTB: 1 [DEBUG][time= 532] BTB: 2 [DEBUG][time= 532] BTB: 3 [DEBUG][time= 532] BTB: 4 [DEBUG][time= 532] BTB: 5 [DEBUG][time= 532] BTB: -[INFO ][time= 532] Rename: int walk: pc:00800000ac ldst: 2 old_pdest: 36 -[DEBUG][time= 532] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 0-th slot -[DEBUG][time= 532] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 1-th slot -[DEBUG][time= 532] Dispatch1: v:0 r:1 pc 0x00800000ec of type 0000 is in 2-th slot -[DEBUG][time= 532] Dispatch1: v:0 r:1 pc 0x00800000f0 of type 0000 is in 3-th slot -[DEBUG][time= 532] Dispatch1: v:0 r:1 pc 0x00800000f4 of type 0011 is in 4-th slot -[DEBUG][time= 532] Dispatch1: v:0 r:1 pc 0x00800000f8 of type 0011 is in 5-th slot -[DEBUG][time= 532] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 532] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 532] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 532] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 532] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 532] Dispatch2: regfile 0 from 0 -[DEBUG][time= 532] Dispatch2: regfile 1 from 0 -[DEBUG][time= 532] Dispatch2: regfile 2 from 1 -[DEBUG][time= 532] Dispatch2: regfile 3 from 1 -[DEBUG][time= 532] Dispatch2: regfile 4 from 2 -[DEBUG][time= 532] Dispatch2: regfile 5 from 2 -[DEBUG][time= 532] Dispatch2: regfile 6 from 3 -[DEBUG][time= 532] Dispatch2: regfile 7 from 3 -[DEBUG][time= 532] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 4: addr 39, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 6: addr 39, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 7: addr 45, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 9: addr 38, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 532] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 532] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 532] DecodeBuffer: in v:1 r:0 pc=008000008c -[INFO ][time= 532] DecodeBuffer: in v:0 r:0 pc=008000008c -[INFO ][time= 532] DecodeBuffer: in v:0 r:0 pc=008000008c -[INFO ][time= 532] DecodeBuffer: in v:0 r:0 pc=008000008c -[INFO ][time= 532] DecodeBuffer: in v:0 r:0 pc=008000008c -[INFO ][time= 532] DecodeBuffer: in v:0 r:0 pc=008000008c -[INFO ][time= 532] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 532] DecodeBuffer: out v:0 r:0 pc=0080000100 -[INFO ][time= 532] DecodeBuffer: out v:0 r:0 pc=0080000104 -[INFO ][time= 532] DecodeBuffer: out v:0 r:0 pc=0080000108 -[INFO ][time= 532] DecodeBuffer: out v:0 r:0 pc=008000010c -[INFO ][time= 532] DecodeBuffer: out v:0 r:0 pc=0080000110 -[ERROR][time= 532] Roq: CSR block should only happen in s_idle -[DEBUG][time= 532] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 532] Roq: walked pc 00800000b0 wen 0 ldst 0 data 713d2c3af2f5501c -[INFO ][time= 532] Roq: walked pc 00800000ac wen 1 ldst 2 data 0000000080008fe0 -[INFO ][time= 532] Roq: rolling back: head 11 tail 9 walk 0:12 -[DEBUG][time= 532] Roq: head 0:11 tail 0: 9 -[DEBUG][time= 532] Roq: ---------vwwv------------------- -[DEBUG][time= 532] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 532] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 532] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 00800000ac w -[DEBUG][time= 532] Roq: 00800000b0 v 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 532] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 532] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 532] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 532] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 532] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 532] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 532] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 532] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 532] LsExeUnit: retiringStore now... -[DEBUG][time= 532] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483884------> s1 fire!!! -[DEBUG][time= 532] FakeCache: [Stage1_data] instr1:0xfc1ff0ef instr2:0xfd5ff06f -[DEBUG][time= 532] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 532] FakeCache: [Stage2_data] instr1:0x0087f793 instr2:0xfe079ce3 -[DEBUG][time= 532] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 532] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00813023 -[DEBUG][time= 532] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 532] IFU: [IF1]if1_valid:1 || if1_npc:0x008000010c || if1_pcUpdate:1 if1_pc:0x00800000ec || if2_ready:1------IF1->fire!!! -[DEBUG][time= 532] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000ec || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 532] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 532] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 532] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000cc if3_npc:0x00800000ec || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 532] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000ac if4_npc:0x00800000cc -[DEBUG][time= 532] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000cc -[DEBUG][time= 532] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] starPC:0x00800000ac GroupPC:0x00800000a0n -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction 02f50463 pnpc:0x00800000c4 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction 40600737 pnpc:0x00800000c8 -[DEBUG][time= 532] IFU: [IFU-Out-FetchPacket] instruction 00874783 pnpc:0x00800000cc -[DEBUG][time= 532] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 532] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 532] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 532] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 532] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 532] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 532] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 532] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 532] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 532] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 532] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 532] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 532] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 532] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 532] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 532] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 532] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 532] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 532] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 532] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 532] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 532] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 532] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 532] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 532] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 532] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 532] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 532] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 532] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 532] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 532] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 532] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 532] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 532] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 532] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 532] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 532] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 532] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 532] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 532] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 532] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 532] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 532] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 532] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 532] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 532] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 532] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 532] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 532] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 532] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 532] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 532] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 532] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 532] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 532] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 532] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 532] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 532] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 532] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 532] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 532] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 532] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 532] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 532] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 532] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 532] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 532] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 532] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 532] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 532] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 532] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 532] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 532] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 532] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 532] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 532] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 532] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 532] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 532] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 532] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 532] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 532] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 532] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 532] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 532] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 532] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 532] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 532] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 532] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 532] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 532] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 532] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 532] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 532] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 532] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 532] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 532] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 532] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 532] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 532] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 532] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 532] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 532] FreeList_1: head:0: 8 tail:1: 8 -[DEBUG][time= 532] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 532] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 3 -[DEBUG][time= 532] Brq: ---------------- -[DEBUG][time= 532] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 3 -[DEBUG][time= 532] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 3 -[DEBUG][time= 532] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 3 -[DEBUG][time= 532] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 3 -[DEBUG][time= 532] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 3 -[DEBUG][time= 532] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 3 -[DEBUG][time= 533] Tage: req: pc=0x008000012c, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 533] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 533] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 533] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 533] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 533] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 533] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 533] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 533] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 533] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 533] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 533] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 533] BPUStage2: in:(1 1) pc=008000010c out:(1 1) pc=00800000ec -[DEBUG][time= 533] BPUStage2: validLatch=1 pc=00800000ec -[DEBUG][time= 533] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 533] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 533] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 533] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 533] BPUStage1: in:(1 1) pc=008000012c ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 533] BPUStage1: outPred:(1) pc=0x008000010c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 533] BPUStage3: [RAS]:pc=0x00800000ec, rasWritePosition= 1, rasWriteAddr=0x00800000ec -[DEBUG][time= 533] BPUStage3: in:(1 1) pc=00800000ec -[DEBUG][time= 533] BPUStage3: out:1 pc=00800000cc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000ec -[DEBUG][time= 533] BPUStage3: flushS3=0 -[DEBUG][time= 533] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 533] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=6 -[DEBUG][time= 533] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 533] JBTAC: read: pc=0x008000012c, histXORAddr=0x0080000122, bank=1, row= 18, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 533] JBTAC: read_resp: pc=0x008000010c, bank=1, row= 16, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 533] Frontend: inst:00001517 pc:008000008c -[INFO ][time= 533] Frontend: inst:c4950513 pc:0080000090 -[INFO ][time= 533] Frontend: inst:f7dff0ef pc:0080000094 -[INFO ][time= 533] Frontend: inst:fc5ff0ef pc:0080000098 -[INFO ][time= 533] Frontend: inst:406007b7 pc:008000009c -[INFO ][time= 533] Frontend: inst:00300713 pc:00800000a0 -[DEBUG][time= 533] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:008000008c instr:00001517 -[DEBUG][time= 533] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000090 instr:c4950513 -[DEBUG][time= 533] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000094 instr:f7dff0ef -[DEBUG][time= 533] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000098 instr:fc5ff0ef -[DEBUG][time= 533] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 533] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a0 instr:00300713 -[DEBUG][time= 533] Ibuffer: Enque: -[DEBUG][time= 533] Ibuffer: 00000000100001111111011110010011 -[DEBUG][time= 533] Ibuffer: 11111110000001111001110011100011 -[DEBUG][time= 533] Ibuffer: 00000000100001110000001000100011 -[DEBUG][time= 533] Ibuffer: 00000000100000010011000010000011 -[DEBUG][time= 533] Ibuffer: 00000000000000010011010000000011 -[DEBUG][time= 533] Ibuffer: 00000001000000010000000100010011 -[DEBUG][time= 533] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 533] Ibuffer: 00000000110100000000010100010011 -[DEBUG][time= 533] Ibuffer: Deque: -[DEBUG][time= 533] Ibuffer: 00000000000000000001010100010111 PC=008000008c v=1 r=1 -[DEBUG][time= 533] Ibuffer: 11000100100101010000010100010011 PC=0080000090 v=1 r=1 -[DEBUG][time= 533] Ibuffer: 11110111110111111111000011101111 PC=0080000094 v=1 r=1 -[DEBUG][time= 533] Ibuffer: 11111100010111111111000011101111 PC=0080000098 v=1 r=1 -[DEBUG][time= 533] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=1 -[DEBUG][time= 533] Ibuffer: 00000000001100000000011100010011 PC=00800000a0 v=1 r=1 -[DEBUG][time= 533] Ibuffer: last_head_ptr= 0 last_tail_ptr= 32 -[DEBUG][time= 533] BTB: read: pc=0x008000012c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 533] BTB: read_resp: pc=0x008000010c, readIdx=134------------------------------- -[DEBUG][time= 533] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 533] BTB: bankIdxInOrder:[DEBUG][time= 533] BTB: 6 [DEBUG][time= 533] BTB: 7 [DEBUG][time= 533] BTB: 8 [DEBUG][time= 533] BTB: 9 [DEBUG][time= 533] BTB: 10 [DEBUG][time= 533] BTB: 11 [DEBUG][time= 533] BTB: 12 [DEBUG][time= 533] BTB: 13 [DEBUG][time= 533] BTB: 14 [DEBUG][time= 533] BTB: 15 [DEBUG][time= 533] BTB: 0 [DEBUG][time= 533] BTB: 1 [DEBUG][time= 533] BTB: 2 [DEBUG][time= 533] BTB: 3 [DEBUG][time= 533] BTB: 4 [DEBUG][time= 533] BTB: 5 [DEBUG][time= 533] BTB: -[INFO ][time= 533] Rename: pc:00800000fc in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 533] Rename: pc:0080000100 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 9 -> psrc2: 9 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 533] Rename: pc:0080000104 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2:18 -> psrc2: 18 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 533] Rename: pc:0080000108 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2:19 -> psrc2: 19 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 533] Rename: pc:008000010c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 37 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 533] Rename: pc:0080000110 in v:0 in rdy:1 lsrc1:11 -> psrc1: 11 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 9 -> pdest: 32 old_pdest: 9 out v:0 r:1 -[DEBUG][time= 533] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 0-th slot -[DEBUG][time= 533] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 1-th slot -[DEBUG][time= 533] Dispatch1: v:0 r:1 pc 0x00800000ec of type 0000 is in 2-th slot -[DEBUG][time= 533] Dispatch1: v:0 r:1 pc 0x00800000f0 of type 0000 is in 3-th slot -[DEBUG][time= 533] Dispatch1: v:0 r:1 pc 0x00800000f4 of type 0011 is in 4-th slot -[DEBUG][time= 533] Dispatch1: v:0 r:1 pc 0x00800000f8 of type 0011 is in 5-th slot -[DEBUG][time= 533] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 533] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 533] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 533] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 533] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 533] Dispatch2: regfile 0 from 0 -[DEBUG][time= 533] Dispatch2: regfile 1 from 0 -[DEBUG][time= 533] Dispatch2: regfile 2 from 1 -[DEBUG][time= 533] Dispatch2: regfile 3 from 1 -[DEBUG][time= 533] Dispatch2: regfile 4 from 2 -[DEBUG][time= 533] Dispatch2: regfile 5 from 2 -[DEBUG][time= 533] Dispatch2: regfile 6 from 3 -[DEBUG][time= 533] Dispatch2: regfile 7 from 3 -[DEBUG][time= 533] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 4: addr 39, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 6: addr 39, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 7: addr 45, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 9: addr 38, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 533] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 533] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 533] DecodeBuffer: in v:1 r:1 pc=008000008c -[INFO ][time= 533] DecodeBuffer: in v:1 r:1 pc=0080000090 -[INFO ][time= 533] DecodeBuffer: in v:1 r:1 pc=0080000094 -[INFO ][time= 533] DecodeBuffer: in v:1 r:1 pc=0080000098 -[INFO ][time= 533] DecodeBuffer: in v:1 r:1 pc=008000009c -[INFO ][time= 533] DecodeBuffer: in v:1 r:1 pc=00800000a0 -[INFO ][time= 533] DecodeBuffer: out v:0 r:1 pc=00800000fc -[INFO ][time= 533] DecodeBuffer: out v:0 r:1 pc=0080000100 -[INFO ][time= 533] DecodeBuffer: out v:0 r:1 pc=0080000104 -[INFO ][time= 533] DecodeBuffer: out v:0 r:1 pc=0080000108 -[INFO ][time= 533] DecodeBuffer: out v:0 r:1 pc=008000010c -[INFO ][time= 533] DecodeBuffer: out v:0 r:1 pc=0080000110 -[ERROR][time= 533] Roq: CSR block should only happen in s_idle -[DEBUG][time= 533] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 533] Roq: head 0:11 tail 0: 9 -[DEBUG][time= 533] Roq: ---------vw--------------------- -[DEBUG][time= 533] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 533] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 533] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 00800000ac - -[DEBUG][time= 533] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 533] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 533] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 533] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 533] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 533] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 533] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 533] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 533] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 533] LsExeUnit: retiringStore now... -[DEBUG][time= 533] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483916------> s1 fire!!! -[DEBUG][time= 533] FakeCache: [Stage1_data] instr1:0x02113423 instr2:0xfff5849b -[DEBUG][time= 533] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 533] FakeCache: [Stage2_data] instr1:0xfc1ff0ef instr2:0xfd5ff06f -[DEBUG][time= 533] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 533] FakeCache: [Stage3_data] instr1:0x0087f793 instr2:0xfe079ce3 -[DEBUG][time= 533] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 533] IFU: [IF1]if1_valid:1 || if1_npc:0x008000012c || if1_pcUpdate:1 if1_pc:0x008000010c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 533] IFU: [IF2]if2_valid:1 || if2_pc:0x008000010c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 533] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 533] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 533] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000ec if3_npc:0x008000010c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 533] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000cc if4_npc:0x00800000ec -[DEBUG][time= 533] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000ec -[DEBUG][time= 533] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] starPC:0x00800000cc GroupPC:0x00800000c0n -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction 0087f793 pnpc:0x00800000d0 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction fe079ce3 pnpc:0x00800000d4 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction 00870223 pnpc:0x00800000d8 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction 00813083 pnpc:0x00800000dc -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction 00013403 pnpc:0x00800000e0 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction 01010113 pnpc:0x00800000e4 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000e8 -[DEBUG][time= 533] IFU: [IFU-Out-FetchPacket] instruction 00d00513 pnpc:0x00800000ec -[DEBUG][time= 533] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 533] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 533] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 533] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 533] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 533] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 533] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 533] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 533] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 533] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 533] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 533] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 533] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 533] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 533] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 533] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 533] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 533] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 533] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 533] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 533] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 533] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 533] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 533] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 533] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 533] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 533] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 533] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 533] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 533] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 533] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 533] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 533] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 533] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 533] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 533] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 533] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 533] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 533] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 533] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 533] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 533] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 533] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 533] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 533] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 533] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 533] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 533] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 533] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 533] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 533] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 533] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 533] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 533] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 533] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 533] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 533] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 533] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 533] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 533] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 533] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 533] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 533] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 533] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 533] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 533] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 533] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 533] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 533] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 533] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 533] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 533] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 533] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 533] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 533] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 533] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 533] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 533] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 533] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 533] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 533] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 533] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 533] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 533] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 533] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 533] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 533] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 533] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 533] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 533] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 533] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 533] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 533] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 533] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 533] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 533] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 533] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 533] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 533] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 533] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 533] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 533] FreeList_1: req:0 canAlloc:1 pdest: 40 headNext:0: 8 -[DEBUG][time= 533] FreeList_1: head:0: 8 tail:1: 8 -[DEBUG][time= 533] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 533] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 3 -[DEBUG][time= 533] Brq: ---------------- -[DEBUG][time= 533] Brq: enq v:0 rdy:1 pc:008000008c brTag:f:0 v: 3 -[DEBUG][time= 533] Brq: enq v:0 rdy:1 pc:0080000090 brTag:f:0 v: 3 -[DEBUG][time= 533] Brq: enq v:1 rdy:1 pc:0080000094 brTag:f:0 v: 3 -[DEBUG][time= 533] Brq: enq v:1 rdy:1 pc:0080000098 brTag:f:0 v: 4 -[DEBUG][time= 533] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v: 5 -[DEBUG][time= 533] Brq: enq v:0 rdy:1 pc:00800000a0 brTag:f:0 v: 5 -[DEBUG][time= 534] Tage: req: pc=0x008000014c, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 534] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 534] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 534] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 534] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 534] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 534] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 534] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 534] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 534] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 534] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 534] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 534] BusyTable_1: Allocate 40 -[DEBUG][time= 534] BusyTable_1: Allocate 41 -[DEBUG][time= 534] BusyTable_1: Allocate 42 -[DEBUG][time= 534] BusyTable_1: Allocate 43 -[DEBUG][time= 534] BusyTable_1: Allocate 44 -[DEBUG][time= 534] BusyTable_1: Allocate 45 -[DEBUG][time= 534] BPUStage2: in:(1 1) pc=008000012c out:(1 1) pc=008000010c -[DEBUG][time= 534] BPUStage2: validLatch=1 pc=008000010c -[DEBUG][time= 534] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 534] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 534] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 534] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 534] BPUStage1: in:(1 1) pc=008000014c ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 534] BPUStage1: outPred:(1) pc=0x008000012c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 534] BPUStage3: [RAS]:pc=0x008000010c, rasWritePosition= 1, rasWriteAddr=0x008000010c -[DEBUG][time= 534] BPUStage3: in:(1 1) pc=008000010c -[DEBUG][time= 534] BPUStage3: out:1 pc=00800000ec redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000010c -[DEBUG][time= 534] BPUStage3: flushS3=0 -[DEBUG][time= 534] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 534] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 534] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 534] JBTAC: read: pc=0x008000014c, histXORAddr=0x0080000142, bank=1, row= 20, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 534] JBTAC: read_resp: pc=0x008000012c, bank=1, row= 18, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 534] Frontend: inst:00e78623 pc:00800000a4 -[INFO ][time= 534] Frontend: inst:00008067 pc:00800000a8 -[INFO ][time= 534] Frontend: inst:ff010113 pc:00800000ac -[INFO ][time= 534] Frontend: inst:00813023 pc:00800000b0 -[INFO ][time= 534] Frontend: inst:00113423 pc:00800000b4 -[INFO ][time= 534] Frontend: inst:00a00793 pc:00800000b8 -[DEBUG][time= 534] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a4 instr:00e78623 -[DEBUG][time= 534] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000a8 instr:00008067 -[DEBUG][time= 534] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000ac instr:ff010113 -[DEBUG][time= 534] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b0 instr:00813023 -[DEBUG][time= 534] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 534] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b8 instr:00a00793 -[DEBUG][time= 534] Ibuffer: Enque: -[DEBUG][time= 534] Ibuffer: 11111100000111111111000011101111 -[DEBUG][time= 534] Ibuffer: 11111101010111111111000001101111 -[DEBUG][time= 534] Ibuffer: 00001000000001011000110001100011 -[DEBUG][time= 534] Ibuffer: 11111101000000010000000100010011 -[DEBUG][time= 534] Ibuffer: 00000010100000010011000000100011 -[DEBUG][time= 534] Ibuffer: 00000000100100010011110000100011 -[DEBUG][time= 534] Ibuffer: 00000001001000010011100000100011 -[DEBUG][time= 534] Ibuffer: 00000001001100010011010000100011 -[DEBUG][time= 534] Ibuffer: Deque: -[DEBUG][time= 534] Ibuffer: 00000000111001111000011000100011 PC=00800000a4 v=1 r=1 -[DEBUG][time= 534] Ibuffer: 00000000000000001000000001100111 PC=00800000a8 v=1 r=1 -[DEBUG][time= 534] Ibuffer: 11111111000000010000000100010011 PC=00800000ac v=1 r=1 -[DEBUG][time= 534] Ibuffer: 00000000100000010011000000100011 PC=00800000b0 v=1 r=1 -[DEBUG][time= 534] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=1 -[DEBUG][time= 534] Ibuffer: 00000000101000000000011110010011 PC=00800000b8 v=1 r=1 -[DEBUG][time= 534] Ibuffer: last_head_ptr= 12 last_tail_ptr= 48 -[DEBUG][time= 534] BTB: read: pc=0x008000014c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 534] BTB: read_resp: pc=0x008000012c, readIdx=150------------------------------- -[DEBUG][time= 534] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 534] BTB: bankIdxInOrder:[DEBUG][time= 534] BTB: 6 [DEBUG][time= 534] BTB: 7 [DEBUG][time= 534] BTB: 8 [DEBUG][time= 534] BTB: 9 [DEBUG][time= 534] BTB: 10 [DEBUG][time= 534] BTB: 11 [DEBUG][time= 534] BTB: 12 [DEBUG][time= 534] BTB: 13 [DEBUG][time= 534] BTB: 14 [DEBUG][time= 534] BTB: 15 [DEBUG][time= 534] BTB: 0 [DEBUG][time= 534] BTB: 1 [DEBUG][time= 534] BTB: 2 [DEBUG][time= 534] BTB: 3 [DEBUG][time= 534] BTB: 4 [DEBUG][time= 534] BTB: 5 [DEBUG][time= 534] BTB: -[INFO ][time= 534] Rename: pc:008000008c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 40 old_pdest: 10 out v:1 r:1 -[INFO ][time= 534] Rename: pc:0080000090 in v:1 in rdy:1 lsrc1:10 -> psrc1: 40 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 41 old_pdest: 40 out v:1 r:1 -[INFO ][time= 534] Rename: pc:0080000094 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 42 old_pdest: 37 out v:1 r:1 -[INFO ][time= 534] Rename: pc:0080000098 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 43 old_pdest: 42 out v:1 r:1 -[INFO ][time= 534] Rename: pc:008000009c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 44 old_pdest: 38 out v:1 r:1 -[INFO ][time= 534] Rename: pc:00800000a0 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 45 old_pdest: 39 out v:1 r:1 -[DEBUG][time= 534] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 0-th slot -[DEBUG][time= 534] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 1-th slot -[DEBUG][time= 534] Dispatch1: v:0 r:1 pc 0x00800000ec of type 0000 is in 2-th slot -[DEBUG][time= 534] Dispatch1: v:0 r:1 pc 0x00800000f0 of type 0000 is in 3-th slot -[DEBUG][time= 534] Dispatch1: v:0 r:1 pc 0x00800000f4 of type 0011 is in 4-th slot -[DEBUG][time= 534] Dispatch1: v:0 r:1 pc 0x00800000f8 of type 0011 is in 5-th slot -[DEBUG][time= 534] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 534] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 534] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 534] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 534] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 534] Dispatch2: regfile 0 from 0 -[DEBUG][time= 534] Dispatch2: regfile 1 from 0 -[DEBUG][time= 534] Dispatch2: regfile 2 from 1 -[DEBUG][time= 534] Dispatch2: regfile 3 from 1 -[DEBUG][time= 534] Dispatch2: regfile 4 from 2 -[DEBUG][time= 534] Dispatch2: regfile 5 from 2 -[DEBUG][time= 534] Dispatch2: regfile 6 from 3 -[DEBUG][time= 534] Dispatch2: regfile 7 from 3 -[DEBUG][time= 534] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 4: addr 39, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 6: addr 39, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 7: addr 45, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 9: addr 38, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 534] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 534] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 534] DecodeBuffer: in v:1 r:1 pc=00800000a4 -[INFO ][time= 534] DecodeBuffer: in v:1 r:1 pc=00800000a8 -[INFO ][time= 534] DecodeBuffer: in v:1 r:1 pc=00800000ac -[INFO ][time= 534] DecodeBuffer: in v:1 r:1 pc=00800000b0 -[INFO ][time= 534] DecodeBuffer: in v:1 r:1 pc=00800000b4 -[INFO ][time= 534] DecodeBuffer: in v:1 r:1 pc=00800000b8 -[INFO ][time= 534] DecodeBuffer: out v:1 r:1 pc=008000008c -[INFO ][time= 534] DecodeBuffer: out v:1 r:1 pc=0080000090 -[INFO ][time= 534] DecodeBuffer: out v:1 r:1 pc=0080000094 -[INFO ][time= 534] DecodeBuffer: out v:1 r:1 pc=0080000098 -[INFO ][time= 534] DecodeBuffer: out v:1 r:1 pc=008000009c -[INFO ][time= 534] DecodeBuffer: out v:1 r:1 pc=00800000a0 -[ERROR][time= 534] Roq: CSR block should only happen in s_idle -[DEBUG][time= 534] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 534] Roq: head 0:11 tail 0: 9 -[DEBUG][time= 534] Roq: ---------vw--------------------- -[DEBUG][time= 534] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 534] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 534] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 00800000ac - -[DEBUG][time= 534] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 534] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 534] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 534] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 534] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 534] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 534] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 534] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 534] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 534] LsExeUnit: retiringStore now... -[DEBUG][time= 534] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483948------> s1 fire!!! -[DEBUG][time= 534] FakeCache: [Stage1_data] instr1:0x00d43823 instr2:0x01278023 -[DEBUG][time= 534] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 534] FakeCache: [Stage2_data] instr1:0x02113423 instr2:0xfff5849b -[DEBUG][time= 534] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 534] FakeCache: [Stage3_data] instr1:0xfc1ff0ef instr2:0xfd5ff06f -[DEBUG][time= 534] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 534] IFU: [IF1]if1_valid:1 || if1_npc:0x008000014c || if1_pcUpdate:1 if1_pc:0x008000012c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 534] IFU: [IF2]if2_valid:1 || if2_pc:0x008000012c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 534] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 534] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 534] IFU: [IF3]if3_valid:1 || if3_pc:0x008000010c if3_npc:0x008000012c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 534] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000ec if4_npc:0x008000010c -[DEBUG][time= 534] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000010c -[DEBUG][time= 534] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] starPC:0x00800000ec GroupPC:0x00800000e0n -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction fc1ff0ef pnpc:0x00800000f0 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction fd5ff06f pnpc:0x00800000f4 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction 08058c63 pnpc:0x00800000f8 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction fd010113 pnpc:0x00800000fc -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction 02813023 pnpc:0x0080000100 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction 00913c23 pnpc:0x0080000104 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction 01213823 pnpc:0x0080000108 -[DEBUG][time= 534] IFU: [IFU-Out-FetchPacket] instruction 01313423 pnpc:0x008000010c -[DEBUG][time= 534] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 534] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 534] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 534] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 534] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 534] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 534] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 534] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 534] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 534] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 534] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 534] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 534] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 534] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 534] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 534] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 534] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 534] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 534] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 534] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 534] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 534] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 534] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 534] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 534] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 534] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 534] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 534] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 534] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 534] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 534] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 534] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 534] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 534] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 534] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 534] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 534] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 534] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 534] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 534] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 534] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 534] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 534] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 534] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 534] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 534] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 534] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 534] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 534] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 534] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 534] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 534] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 534] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 534] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 534] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 534] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 534] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 534] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 534] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 534] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 534] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 534] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 534] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 534] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 534] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 534] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 534] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 534] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 534] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 534] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 534] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 534] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 534] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 534] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 534] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 534] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 534] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 534] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 534] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 534] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 534] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 534] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 534] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 534] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 534] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 534] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 534] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 534] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 534] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 534] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 534] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 534] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 534] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 534] FreeList: do checkPt at BrqIdx= 3 headPtr:0: 0 -[DEBUG][time= 534] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 534] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 534] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 534] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 534] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 534] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 534] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 534] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 534] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 9 -[DEBUG][time= 534] FreeList_1: req:1 canAlloc:1 pdest: 40 headNext:0: 9 -[DEBUG][time= 534] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 10 -[DEBUG][time= 534] FreeList_1: req:1 canAlloc:1 pdest: 41 headNext:0: 10 -[DEBUG][time= 534] FreeList_1: do checkPt at BrqIdx= 3 headPtr:0: 11 -[DEBUG][time= 534] FreeList_1: req:1 canAlloc:1 pdest: 42 headNext:0: 11 -[DEBUG][time= 534] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 12 -[DEBUG][time= 534] FreeList_1: req:1 canAlloc:1 pdest: 43 headNext:0: 12 -[DEBUG][time= 534] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 13 -[DEBUG][time= 534] FreeList_1: req:1 canAlloc:1 pdest: 44 headNext:0: 13 -[DEBUG][time= 534] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 14 -[DEBUG][time= 534] FreeList_1: req:1 canAlloc:1 pdest: 45 headNext:0: 14 -[DEBUG][time= 534] FreeList_1: head:0: 8 tail:1: 8 -[DEBUG][time= 534] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 534] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 5 -[DEBUG][time= 534] Brq: ---------------- -[DEBUG][time= 534] Brq: enq v:0 rdy:1 pc:00800000a4 brTag:f:0 v: 5 -[DEBUG][time= 534] Brq: enq v:1 rdy:1 pc:00800000a8 brTag:f:0 v: 5 -[DEBUG][time= 534] Brq: enq v:0 rdy:1 pc:00800000ac brTag:f:0 v: 6 -[DEBUG][time= 534] Brq: enq v:0 rdy:1 pc:00800000b0 brTag:f:0 v: 6 -[DEBUG][time= 534] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v: 6 -[DEBUG][time= 534] Brq: enq v:0 rdy:1 pc:00800000b8 brTag:f:0 v: 6 -[DEBUG][time= 535] Tage: req: pc=0x008000016c, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 535] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 535] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 535] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 535] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 535] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 535] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 535] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 535] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 535] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 535] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 535] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 535] BusyTable_1: Allocate 46 -[DEBUG][time= 535] BusyTable_1: Allocate 47 -[DEBUG][time= 535] BusyTable_1: 40 is busy -[DEBUG][time= 535] BusyTable_1: 41 is busy -[DEBUG][time= 535] BusyTable_1: 42 is busy -[DEBUG][time= 535] BusyTable_1: 43 is busy -[DEBUG][time= 535] BusyTable_1: 44 is busy -[DEBUG][time= 535] BusyTable_1: 45 is busy -[DEBUG][time= 535] BPUStage2: in:(1 1) pc=008000014c out:(1 1) pc=008000012c -[DEBUG][time= 535] BPUStage2: validLatch=1 pc=008000012c -[DEBUG][time= 535] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 535] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 535] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 535] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 535] BPUStage1: in:(1 1) pc=008000016c ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 535] BPUStage1: outPred:(1) pc=0x008000014c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 535] BPUStage3: [RAS]:pc=0x008000012c, rasWritePosition= 1, rasWriteAddr=0x008000012c -[DEBUG][time= 535] BPUStage3: in:(1 1) pc=008000012c -[DEBUG][time= 535] BPUStage3: out:1 pc=008000010c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000012c -[DEBUG][time= 535] BPUStage3: flushS3=0 -[DEBUG][time= 535] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 535] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 535] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 535] JBTAC: read: pc=0x008000016c, histXORAddr=0x0080000162, bank=1, row= 22, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 535] JBTAC: read_resp: pc=0x008000014c, bank=1, row= 20, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 535] Frontend: inst:00050413 pc:00800000bc -[INFO ][time= 535] Frontend: inst:02f50463 pc:00800000c0 -[INFO ][time= 535] Frontend: inst:40600737 pc:00800000c4 -[INFO ][time= 535] Frontend: inst:00874783 pc:00800000c8 -[INFO ][time= 535] Frontend: inst:0087f793 pc:00800000cc -[INFO ][time= 535] Frontend: inst:fe079ce3 pc:00800000d0 -[DEBUG][time= 535] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000bc instr:00050413 -[DEBUG][time= 535] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000c0 instr:02f50463 -[DEBUG][time= 535] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000c4 instr:40600737 -[DEBUG][time= 535] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 535] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000cc instr:0087f793 -[DEBUG][time= 535] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000d0 instr:fe079ce3 -[DEBUG][time= 535] Ibuffer: Enque: -[DEBUG][time= 535] Ibuffer: 00000010000100010011010000100011 -[DEBUG][time= 535] Ibuffer: 11111111111101011000010010011011 -[DEBUG][time= 535] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 535] Ibuffer: 00000000000001010000100100010011 -[DEBUG][time= 535] Ibuffer: 11111111111100000000100110010011 -[DEBUG][time= 535] Ibuffer: 00000010100000000000000001101111 -[DEBUG][time= 535] Ibuffer: 00000001100001000011011100000011 -[DEBUG][time= 535] Ibuffer: 00000000111001111000011001100011 -[DEBUG][time= 535] Ibuffer: Deque: -[DEBUG][time= 535] Ibuffer: 00000000000001010000010000010011 PC=00800000bc v=1 r=1 -[DEBUG][time= 535] Ibuffer: 00000010111101010000010001100011 PC=00800000c0 v=1 r=1 -[DEBUG][time= 535] Ibuffer: 01000000011000000000011100110111 PC=00800000c4 v=1 r=1 -[DEBUG][time= 535] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=1 -[DEBUG][time= 535] Ibuffer: 00000000100001111111011110010011 PC=00800000cc v=1 r=1 -[DEBUG][time= 535] Ibuffer: 11111110000001111001110011100011 PC=00800000d0 v=1 r=1 -[DEBUG][time= 535] Ibuffer: last_head_ptr= 24 last_tail_ptr= 64 -[DEBUG][time= 535] BTB: read: pc=0x008000016c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 535] BTB: read_resp: pc=0x008000014c, readIdx=166------------------------------- -[DEBUG][time= 535] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 535] BTB: bankIdxInOrder:[DEBUG][time= 535] BTB: 6 [DEBUG][time= 535] BTB: 7 [DEBUG][time= 535] BTB: 8 [DEBUG][time= 535] BTB: 9 [DEBUG][time= 535] BTB: 10 [DEBUG][time= 535] BTB: 11 [DEBUG][time= 535] BTB: 12 [DEBUG][time= 535] BTB: 13 [DEBUG][time= 535] BTB: 14 [DEBUG][time= 535] BTB: 15 [DEBUG][time= 535] BTB: 0 [DEBUG][time= 535] BTB: 1 [DEBUG][time= 535] BTB: 2 [DEBUG][time= 535] BTB: 3 [DEBUG][time= 535] BTB: 4 [DEBUG][time= 535] BTB: 5 [DEBUG][time= 535] BTB: -[INFO ][time= 535] Rename: pc:00800000a4 in v:1 in rdy:1 lsrc1:15 -> psrc1: 44 lsrc2:14 -> psrc2: 45 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 535] Rename: pc:00800000a8 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 43 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 535] Rename: pc:00800000ac in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 46 old_pdest: 36 out v:1 r:1 -[INFO ][time= 535] Rename: pc:00800000b0 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 46 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 535] Rename: pc:00800000b4 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 46 lsrc2: 1 -> psrc2: 43 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 535] Rename: pc:00800000b8 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 47 old_pdest: 44 out v:1 r:1 -[INFO ][time= 535] Dispatch1: pc 0x008000008c accepted by queue 1 0 0 -[INFO ][time= 535] Dispatch1: pc 0x0080000090 accepted by queue 1 0 0 -[INFO ][time= 535] Dispatch1: pc 0x0080000094 accepted by queue 1 0 0 -[INFO ][time= 535] Dispatch1: pc 0x0080000098 accepted by queue 1 0 0 -[INFO ][time= 535] Dispatch1: pc 0x008000009c accepted by queue 1 0 0 -[INFO ][time= 535] Dispatch1: pc 0x00800000a0 accepted by queue 1 0 0 -[DEBUG][time= 535] Dispatch1: pc 0x008000008c receives nroq 11 -[DEBUG][time= 535] Dispatch1: v:1 r:1 pc 0x008000008c of type 0011 is in 0-th slot -[DEBUG][time= 535] Dispatch1: pc 0x0080000090 receives nroq 12 -[DEBUG][time= 535] Dispatch1: v:1 r:1 pc 0x0080000090 of type 0011 is in 1-th slot -[DEBUG][time= 535] Dispatch1: pc 0x0080000094 receives nroq 13 -[DEBUG][time= 535] Dispatch1: v:1 r:1 pc 0x0080000094 of type 0000 is in 2-th slot -[DEBUG][time= 535] Dispatch1: pc 0x0080000098 receives nroq 14 -[DEBUG][time= 535] Dispatch1: v:1 r:1 pc 0x0080000098 of type 0000 is in 3-th slot -[DEBUG][time= 535] Dispatch1: pc 0x008000009c receives nroq 15 -[DEBUG][time= 535] Dispatch1: v:1 r:1 pc 0x008000009c of type 0011 is in 4-th slot -[DEBUG][time= 535] Dispatch1: pc 0x00800000a0 receives nroq 16 -[DEBUG][time= 535] Dispatch1: v:1 r:1 pc 0x00800000a0 of type 0011 is in 5-th slot -[DEBUG][time= 535] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 535] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 535] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 535] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 535] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 535] Dispatch2: regfile 0 from 0 -[DEBUG][time= 535] Dispatch2: regfile 1 from 0 -[DEBUG][time= 535] Dispatch2: regfile 2 from 1 -[DEBUG][time= 535] Dispatch2: regfile 3 from 1 -[DEBUG][time= 535] Dispatch2: regfile 4 from 2 -[DEBUG][time= 535] Dispatch2: regfile 5 from 2 -[DEBUG][time= 535] Dispatch2: regfile 6 from 3 -[DEBUG][time= 535] Dispatch2: regfile 7 from 3 -[DEBUG][time= 535] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 4: addr 39, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 6: addr 39, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 7: addr 45, state 0 -[DEBUG][time= 535] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 9: addr 38, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 535] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 535] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 535] DecodeBuffer: in v:1 r:1 pc=00800000bc -[INFO ][time= 535] DecodeBuffer: in v:1 r:1 pc=00800000c0 -[INFO ][time= 535] DecodeBuffer: in v:1 r:1 pc=00800000c4 -[INFO ][time= 535] DecodeBuffer: in v:1 r:1 pc=00800000c8 -[INFO ][time= 535] DecodeBuffer: in v:1 r:1 pc=00800000cc -[INFO ][time= 535] DecodeBuffer: in v:1 r:1 pc=00800000d0 -[INFO ][time= 535] DecodeBuffer: out v:1 r:1 pc=00800000a4 -[INFO ][time= 535] DecodeBuffer: out v:1 r:1 pc=00800000a8 -[INFO ][time= 535] DecodeBuffer: out v:1 r:1 pc=00800000ac -[INFO ][time= 535] DecodeBuffer: out v:1 r:1 pc=00800000b0 -[INFO ][time= 535] DecodeBuffer: out v:1 r:1 pc=00800000b4 -[INFO ][time= 535] DecodeBuffer: out v:1 r:1 pc=00800000b8 -[ERROR][time= 535] Roq: CSR block should only happen in s_idle -[DEBUG][time= 535] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 535] Roq: dispatched 6 insts -[DEBUG][time= 535] Roq: head 0:11 tail 0: 9 -[DEBUG][time= 535] Roq: ---------vw--------------------- -[DEBUG][time= 535] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 535] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 535] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 00800000ac - -[DEBUG][time= 535] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 535] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 535] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 535] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 535] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 535] DispatchQueue: IntDpQ: num_enq = 6, tail = ( 6 -> 12) -[DEBUG][time= 535] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 535] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 535] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 535] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 535] LsExeUnit: retiringStore now... -[DEBUG][time= 535] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483980------> s1 fire!!! -[DEBUG][time= 535] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0xfc079ae3 -[DEBUG][time= 535] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 535] FakeCache: [Stage2_data] instr1:0x00d43823 instr2:0x01278023 -[DEBUG][time= 535] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 535] FakeCache: [Stage3_data] instr1:0x02113423 instr2:0xfff5849b -[DEBUG][time= 535] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 535] IFU: [IF1]if1_valid:1 || if1_npc:0x008000016c || if1_pcUpdate:1 if1_pc:0x008000014c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 535] IFU: [IF2]if2_valid:1 || if2_pc:0x008000014c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 535] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 535] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 535] IFU: [IF3]if3_valid:1 || if3_pc:0x008000012c if3_npc:0x008000014c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 535] IFU: [IF4]if4_valid:1 || if4_pc:0x008000010c if4_npc:0x008000012c -[DEBUG][time= 535] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000012c -[DEBUG][time= 535] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] starPC:0x008000010c GroupPC:0x0080000100n -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction 02113423 pnpc:0x0080000110 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction fff5849b pnpc:0x0080000114 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x0080000118 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction 00050913 pnpc:0x008000011c -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction fff00993 pnpc:0x0080000120 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction 0280006f pnpc:0x0080000124 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction 01843703 pnpc:0x0080000128 -[DEBUG][time= 535] IFU: [IFU-Out-FetchPacket] instruction 00e78663 pnpc:0x008000012c -[DEBUG][time= 535] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 535] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 535] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 535] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 535] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 535] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 535] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 535] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 535] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 535] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 535] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 535] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 535] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 535] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 535] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 535] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 535] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 535] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 535] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 535] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 535] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 535] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 535] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 535] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 535] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 535] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 535] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 535] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 535] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 535] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 535] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 535] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 535] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 535] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 535] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 535] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 535] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 535] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 535] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 535] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 535] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 535] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 535] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 535] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 535] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 535] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 535] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 535] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 535] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 535] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 535] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 535] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 535] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 535] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 535] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 535] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 535] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 535] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 535] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 535] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 535] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 535] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 535] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 535] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 535] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 535] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 535] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 535] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 535] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 535] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 535] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 535] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 535] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 535] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 535] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 535] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 535] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 535] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 535] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 535] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 535] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 535] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 535] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 535] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 535] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 535] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 535] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 535] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 535] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 535] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 535] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 535] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 535] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 535] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 535] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 535] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 535] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 535] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 535] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 535] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 535] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 535] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 535] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 14 -[DEBUG][time= 535] FreeList_1: req:0 canAlloc:1 pdest: 46 headNext:0: 14 -[DEBUG][time= 535] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 14 -[DEBUG][time= 535] FreeList_1: req:0 canAlloc:1 pdest: 46 headNext:0: 14 -[DEBUG][time= 535] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 15 -[DEBUG][time= 535] FreeList_1: req:1 canAlloc:1 pdest: 46 headNext:0: 15 -[DEBUG][time= 535] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 15 -[DEBUG][time= 535] FreeList_1: req:0 canAlloc:1 pdest: 47 headNext:0: 15 -[DEBUG][time= 535] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 15 -[DEBUG][time= 535] FreeList_1: req:0 canAlloc:1 pdest: 47 headNext:0: 15 -[DEBUG][time= 535] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 16 -[DEBUG][time= 535] FreeList_1: req:1 canAlloc:1 pdest: 47 headNext:0: 16 -[DEBUG][time= 535] FreeList_1: head:0: 14 tail:1: 8 -[DEBUG][time= 535] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 535] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 6 -[DEBUG][time= 535] Brq: ---------------- -[DEBUG][time= 535] Brq: enq v:0 rdy:1 pc:00800000bc brTag:f:0 v: 6 -[DEBUG][time= 535] Brq: enq v:1 rdy:1 pc:00800000c0 brTag:f:0 v: 6 -[DEBUG][time= 535] Brq: enq v:0 rdy:1 pc:00800000c4 brTag:f:0 v: 7 -[DEBUG][time= 535] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v: 7 -[DEBUG][time= 535] Brq: enq v:0 rdy:1 pc:00800000cc brTag:f:0 v: 7 -[DEBUG][time= 535] Brq: enq v:1 rdy:1 pc:00800000d0 brTag:f:0 v: 7 -[DEBUG][time= 536] Tage: req: pc=0x008000018c, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 536] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 536] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 536] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 536] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 536] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 536] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 536] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 536] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 536] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 536] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 536] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 536] BusyTable_1: Allocate 48 -[DEBUG][time= 536] BusyTable_1: Allocate 49 -[DEBUG][time= 536] BusyTable_1: Allocate 50 -[DEBUG][time= 536] BusyTable_1: Allocate 51 -[DEBUG][time= 536] BusyTable_1: 40 is busy -[DEBUG][time= 536] BusyTable_1: 41 is busy -[DEBUG][time= 536] BusyTable_1: 42 is busy -[DEBUG][time= 536] BusyTable_1: 43 is busy -[DEBUG][time= 536] BusyTable_1: 44 is busy -[DEBUG][time= 536] BusyTable_1: 45 is busy -[DEBUG][time= 536] BusyTable_1: 46 is busy -[DEBUG][time= 536] BusyTable_1: 47 is busy -[DEBUG][time= 536] BPUStage2: in:(1 1) pc=008000016c out:(1 1) pc=008000014c -[DEBUG][time= 536] BPUStage2: validLatch=1 pc=008000014c -[DEBUG][time= 536] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 536] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 536] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 536] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 536] BPUStage1: in:(1 1) pc=008000018c ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 536] BPUStage1: outPred:(1) pc=0x008000016c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 536] BPUStage3: [RAS]:pc=0x008000014c, rasWritePosition= 1, rasWriteAddr=0x008000014c -[DEBUG][time= 536] BPUStage3: in:(1 1) pc=008000014c -[DEBUG][time= 536] BPUStage3: out:1 pc=008000012c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000014c -[DEBUG][time= 536] BPUStage3: flushS3=0 -[DEBUG][time= 536] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 536] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 536] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 536] JBTAC: read: pc=0x008000018c, histXORAddr=0x0080000182, bank=1, row= 24, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 536] JBTAC: read_resp: pc=0x008000016c, bank=1, row= 22, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 536] Frontend: inst:00870223 pc:00800000d4 -[INFO ][time= 536] Frontend: inst:00813083 pc:00800000d8 -[INFO ][time= 536] Frontend: inst:00013403 pc:00800000dc -[INFO ][time= 536] Frontend: inst:01010113 pc:00800000e0 -[INFO ][time= 536] Frontend: inst:00008067 pc:00800000e4 -[INFO ][time= 536] Frontend: inst:00d00513 pc:00800000e8 -[DEBUG][time= 536] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000d4 instr:00870223 -[DEBUG][time= 536] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000d8 instr:00813083 -[DEBUG][time= 536] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000dc instr:00013403 -[DEBUG][time= 536] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000e0 instr:01010113 -[DEBUG][time= 536] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000e4 instr:00008067 -[DEBUG][time= 536] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000e8 instr:00d00513 -[DEBUG][time= 536] Ibuffer: Enque: -[DEBUG][time= 536] Ibuffer: 00000000110101000011100000100011 -[DEBUG][time= 536] Ibuffer: 00000001001001111000000000100011 -[DEBUG][time= 536] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 536] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 536] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 536] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 536] Ibuffer: 00000011001101001000011001100011 -[DEBUG][time= 536] Ibuffer: 00000001000001000011011110000011 -[DEBUG][time= 536] Ibuffer: Deque: -[DEBUG][time= 536] Ibuffer: 00000000100001110000001000100011 PC=00800000d4 v=1 r=1 -[DEBUG][time= 536] Ibuffer: 00000000100000010011000010000011 PC=00800000d8 v=1 r=1 -[DEBUG][time= 536] Ibuffer: 00000000000000010011010000000011 PC=00800000dc v=1 r=1 -[DEBUG][time= 536] Ibuffer: 00000001000000010000000100010011 PC=00800000e0 v=1 r=1 -[DEBUG][time= 536] Ibuffer: 00000000000000001000000001100111 PC=00800000e4 v=1 r=1 -[DEBUG][time= 536] Ibuffer: 00000000110100000000010100010011 PC=00800000e8 v=1 r=1 -[DEBUG][time= 536] Ibuffer: last_head_ptr= 36 last_tail_ptr= 80 -[DEBUG][time= 536] BTB: read: pc=0x008000018c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 536] BTB: read_resp: pc=0x008000016c, readIdx=182------------------------------- -[DEBUG][time= 536] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 536] BTB: bankIdxInOrder:[DEBUG][time= 536] BTB: 6 [DEBUG][time= 536] BTB: 7 [DEBUG][time= 536] BTB: 8 [DEBUG][time= 536] BTB: 9 [DEBUG][time= 536] BTB: 10 [DEBUG][time= 536] BTB: 11 [DEBUG][time= 536] BTB: 12 [DEBUG][time= 536] BTB: 13 [DEBUG][time= 536] BTB: 14 [DEBUG][time= 536] BTB: 15 [DEBUG][time= 536] BTB: 0 [DEBUG][time= 536] BTB: 1 [DEBUG][time= 536] BTB: 2 [DEBUG][time= 536] BTB: 3 [DEBUG][time= 536] BTB: 4 [DEBUG][time= 536] BTB: 5 [DEBUG][time= 536] BTB: -[INFO ][time= 536] Rename: pc:00800000bc in v:1 in rdy:1 lsrc1:10 -> psrc1: 41 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 48 old_pdest: 32 out v:1 r:1 -[INFO ][time= 536] Rename: pc:00800000c0 in v:1 in rdy:1 lsrc1:10 -> psrc1: 41 lsrc2:15 -> psrc2: 47 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 536] Rename: pc:00800000c4 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 49 old_pdest: 45 out v:1 r:1 -[INFO ][time= 536] Rename: pc:00800000c8 in v:1 in rdy:1 lsrc1:14 -> psrc1: 49 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 50 old_pdest: 47 out v:1 r:1 -[INFO ][time= 536] Rename: pc:00800000cc in v:1 in rdy:1 lsrc1:15 -> psrc1: 50 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 51 old_pdest: 50 out v:1 r:1 -[INFO ][time= 536] Rename: pc:00800000d0 in v:1 in rdy:1 lsrc1:15 -> psrc1: 51 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 536] Dispatch1: pc 0x00800000a4 accepted by queue 0 0 1 -[INFO ][time= 536] Dispatch1: pc 0x00800000a8 accepted by queue 1 0 0 -[INFO ][time= 536] Dispatch1: pc 0x00800000ac accepted by queue 1 0 0 -[INFO ][time= 536] Dispatch1: pc 0x00800000b0 accepted by queue 0 0 1 -[INFO ][time= 536] Dispatch1: pc 0x00800000b4 accepted by queue 0 0 1 -[INFO ][time= 536] Dispatch1: pc 0x00800000b8 accepted by queue 1 0 0 -[DEBUG][time= 536] Dispatch1: pc 0x00800000a4 receives nroq 17 -[DEBUG][time= 536] Dispatch1: v:1 r:1 pc 0x00800000a4 of type 1101 is in 0-th slot -[DEBUG][time= 536] Dispatch1: pc 0x00800000a8 receives nroq 18 -[DEBUG][time= 536] Dispatch1: v:1 r:1 pc 0x00800000a8 of type 0000 is in 1-th slot -[DEBUG][time= 536] Dispatch1: pc 0x00800000ac receives nroq 19 -[DEBUG][time= 536] Dispatch1: v:1 r:1 pc 0x00800000ac of type 0011 is in 2-th slot -[DEBUG][time= 536] Dispatch1: pc 0x00800000b0 receives nroq 20 -[DEBUG][time= 536] Dispatch1: v:1 r:1 pc 0x00800000b0 of type 1101 is in 3-th slot -[DEBUG][time= 536] Dispatch1: pc 0x00800000b4 receives nroq 21 -[DEBUG][time= 536] Dispatch1: v:1 r:1 pc 0x00800000b4 of type 1101 is in 4-th slot -[DEBUG][time= 536] Dispatch1: pc 0x00800000b8 receives nroq 22 -[DEBUG][time= 536] Dispatch1: v:1 r:1 pc 0x00800000b8 of type 0011 is in 5-th slot -[DEBUG][time= 536] Dispatch2: int dp queue 0: 008000008c type 0011 -[DEBUG][time= 536] Dispatch2: int dp queue 1: 0080000090 type 0011 -[DEBUG][time= 536] Dispatch2: int dp queue 2: 0080000094 type 0000 -[DEBUG][time= 536] Dispatch2: int dp queue 3: 0080000098 type 0000 -[DEBUG][time= 536] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 536] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 536] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 536] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 536] Dispatch2: regfile 0 from 0 -[DEBUG][time= 536] Dispatch2: regfile 1 from 0 -[DEBUG][time= 536] Dispatch2: regfile 2 from 1 -[DEBUG][time= 536] Dispatch2: regfile 3 from 1 -[DEBUG][time= 536] Dispatch2: regfile 4 from 2 -[DEBUG][time= 536] Dispatch2: regfile 5 from 2 -[DEBUG][time= 536] Dispatch2: regfile 6 from 0 -[DEBUG][time= 536] Dispatch2: regfile 7 from 0 -[DEBUG][time= 536] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 2: addr 40, state 0 -[DEBUG][time= 536] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 9: addr 38, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 12: addr 38, state 1 -[DEBUG][time= 536] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 536] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 536] Dispatch2: pc 0x0080000094 with type 0000 srcState(1 1 0) enters reservation station 0 from 2 -[INFO ][time= 536] Dispatch2: pc 0x008000008c with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 536] Dispatch2: pc 0x0080000090 with type 0011 srcState(0 1 0) enters reservation station 2 from 1 -[INFO ][time= 536] Dispatch2: pc 0x008000008c leaves Int dispatch queue with nroq 11 -[INFO ][time= 536] Dispatch2: pc 0x0080000090 leaves Int dispatch queue with nroq 12 -[INFO ][time= 536] Dispatch2: pc 0x0080000094 leaves Int dispatch queue with nroq 13 -[DEBUG][time= 536] Dispatch2: pc 0x0080000098 waits at Int dispatch queue with index 3 -[INFO ][time= 536] DecodeBuffer: in v:1 r:1 pc=00800000d4 -[INFO ][time= 536] DecodeBuffer: in v:1 r:1 pc=00800000d8 -[INFO ][time= 536] DecodeBuffer: in v:1 r:1 pc=00800000dc -[INFO ][time= 536] DecodeBuffer: in v:1 r:1 pc=00800000e0 -[INFO ][time= 536] DecodeBuffer: in v:1 r:1 pc=00800000e4 -[INFO ][time= 536] DecodeBuffer: in v:1 r:1 pc=00800000e8 -[INFO ][time= 536] DecodeBuffer: out v:1 r:1 pc=00800000bc -[INFO ][time= 536] DecodeBuffer: out v:1 r:1 pc=00800000c0 -[INFO ][time= 536] DecodeBuffer: out v:1 r:1 pc=00800000c4 -[INFO ][time= 536] DecodeBuffer: out v:1 r:1 pc=00800000c8 -[INFO ][time= 536] DecodeBuffer: out v:1 r:1 pc=00800000cc -[INFO ][time= 536] DecodeBuffer: out v:1 r:1 pc=00800000d0 -[ERROR][time= 536] Roq: CSR block should only happen in s_idle -[DEBUG][time= 536] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 536] Roq: dispatched 6 insts -[DEBUG][time= 536] Roq: head 0:17 tail 0: 9 -[DEBUG][time= 536] Roq: ---------vwvvvvvv--------------- -[DEBUG][time= 536] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 536] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 536] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 008000008c v -[DEBUG][time= 536] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 536] Roq: 00800000a0 v 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 536] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 536] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 536] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 536] DispatchQueue_2: LsDpQ: num_enq = 3, tail = ( 0 -> 3) -[DEBUG][time= 536] DispatchQueue: IntDpQ: num_deq = 3, head = ( 6 -> 9) -[DEBUG][time= 536] DispatchQueue: IntDpQ: num_enq = 3, tail = (12 -> 15) -[DEBUG][time= 536] DispatchQueue: IntDpQ: valid_entries = 6, head = (0, 6), tail = (0, 12), -[DEBUG][time= 536] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 536] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 536] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 536] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 536] LsExeUnit: retiringStore now... -[DEBUG][time= 536] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484012------> s1 fire!!! -[DEBUG][time= 536] FakeCache: [Stage1_data] instr1:0xfd349ee3 instr2:0x02813083 -[DEBUG][time= 536] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 536] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0xfc079ae3 -[DEBUG][time= 536] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 536] FakeCache: [Stage3_data] instr1:0x00d43823 instr2:0x01278023 -[DEBUG][time= 536] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 536] IFU: [IF1]if1_valid:1 || if1_npc:0x008000018c || if1_pcUpdate:1 if1_pc:0x008000016c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 536] IFU: [IF2]if2_valid:1 || if2_pc:0x008000016c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 536] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 536] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 536] IFU: [IF3]if3_valid:1 || if3_pc:0x008000014c if3_npc:0x008000016c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 536] IFU: [IF4]if4_valid:1 || if4_pc:0x008000012c if4_npc:0x008000014c -[DEBUG][time= 536] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000014c -[DEBUG][time= 536] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] starPC:0x008000012c GroupPC:0x0080000120n -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction 00d43823 pnpc:0x0080000130 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction 01278023 pnpc:0x0080000134 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000138 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x008000013c -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000140 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x0080000144 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction 03348663 pnpc:0x0080000148 -[DEBUG][time= 536] IFU: [IFU-Out-FetchPacket] instruction 01043783 pnpc:0x008000014c -[INFO ][time= 536] IssueQueue: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 42 oldDest: 37 pc:0080000094 roqIdx:0d -[DEBUG][time= 536] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 536] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 536] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 536] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 2 |0080000094|09 <- -[DEBUG][time= 536] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a -[DEBUG][time= 536] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 536] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 536] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 536] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 536] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 536] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 536] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 40 oldDest: 10 pc:008000008c roqIdx:0b -[DEBUG][time= 536] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 536] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 536] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 536] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 2 |008000009c|07 <- -[DEBUG][time= 536] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f -[DEBUG][time= 536] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 536] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 536] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 536] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 536] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 536] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 536] IssueQueue_2: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 40:0 0:1 0:0) Dest: 41 oldDest: 40 pc:0080000090 roqIdx:0c -[DEBUG][time= 536] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 536] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 536] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 536] IssueQueue_2: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a0|08 <- -[DEBUG][time= 536] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 -[DEBUG][time= 536] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 536] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 536] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 536] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 536] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 536] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 536] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 536] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 536] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 536] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 536] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 536] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 536] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 536] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 536] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 536] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 536] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 536] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 536] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 536] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 536] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 536] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 536] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 536] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 536] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 536] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 536] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 536] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 536] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 536] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 536] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 536] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 536] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 536] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 536] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 536] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 536] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 536] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 536] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 536] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 536] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 536] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 536] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 536] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 536] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 536] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 536] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 536] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 536] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 536] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 536] IssueQueue_7: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(1 0) -[INFO ][time= 536] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 536] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 536] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 536] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 536] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 536] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 536] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 536] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 536] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 536] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 536] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 536] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 536] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 536] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 536] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 536] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 536] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 536] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 536] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 536] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 536] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 536] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 536] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 536] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 536] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 17 -[DEBUG][time= 536] FreeList_1: req:1 canAlloc:1 pdest: 48 headNext:0: 17 -[DEBUG][time= 536] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 17 -[DEBUG][time= 536] FreeList_1: req:0 canAlloc:1 pdest: 49 headNext:0: 17 -[DEBUG][time= 536] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 18 -[DEBUG][time= 536] FreeList_1: req:1 canAlloc:1 pdest: 49 headNext:0: 18 -[DEBUG][time= 536] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 19 -[DEBUG][time= 536] FreeList_1: req:1 canAlloc:1 pdest: 50 headNext:0: 19 -[DEBUG][time= 536] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 20 -[DEBUG][time= 536] FreeList_1: req:1 canAlloc:1 pdest: 51 headNext:0: 20 -[DEBUG][time= 536] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 20 -[DEBUG][time= 536] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 536] FreeList_1: head:0: 16 tail:1: 8 -[DEBUG][time= 536] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 536] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 8 -[DEBUG][time= 536] Brq: ---------------- -[DEBUG][time= 536] Brq: enq v:0 rdy:1 pc:00800000d4 brTag:f:0 v: 8 -[DEBUG][time= 536] Brq: enq v:0 rdy:1 pc:00800000d8 brTag:f:0 v: 8 -[DEBUG][time= 536] Brq: enq v:0 rdy:1 pc:00800000dc brTag:f:0 v: 8 -[DEBUG][time= 536] Brq: enq v:0 rdy:1 pc:00800000e0 brTag:f:0 v: 8 -[DEBUG][time= 536] Brq: enq v:1 rdy:1 pc:00800000e4 brTag:f:0 v: 8 -[DEBUG][time= 536] Brq: enq v:0 rdy:1 pc:00800000e8 brTag:f:0 v: 9 -[DEBUG][time= 537] Tage: req: pc=0x00800001ac, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 537] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 537] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 537] XSCore: c-mem(0 0 00000fc0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 00000000| 0 1) tlb (0 0 0084f0cae2| 0 1) -[DEBUG][time= 537] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 537] RegfileReadPortGen: int 0: want 0100, deqChoice: 1 -[DEBUG][time= 537] RegfileReadPortGen: int 1: want 0000, deqChoice: 3 -[DEBUG][time= 537] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 537] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 537] RegfileReadPortGen: dynamicExuSrc 0: 0 1000 -[DEBUG][time= 537] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 537] RegfileReadPortGen: dynamicExuSrc 2: 1 0100 -[DEBUG][time= 537] BusyTable_1: Allocate 52 -[DEBUG][time= 537] BusyTable_1: Allocate 53 -[DEBUG][time= 537] BusyTable_1: Allocate 54 -[DEBUG][time= 537] BusyTable_1: Allocate 55 -[DEBUG][time= 537] BusyTable_1: writeback 42 -[DEBUG][time= 537] BusyTable_1: writeback 40 -[DEBUG][time= 537] BusyTable_1: 40 is busy -[DEBUG][time= 537] BusyTable_1: 41 is busy -[DEBUG][time= 537] BusyTable_1: 42 is busy -[DEBUG][time= 537] BusyTable_1: 43 is busy -[DEBUG][time= 537] BusyTable_1: 44 is busy -[DEBUG][time= 537] BusyTable_1: 45 is busy -[DEBUG][time= 537] BusyTable_1: 46 is busy -[DEBUG][time= 537] BusyTable_1: 47 is busy -[DEBUG][time= 537] BusyTable_1: 48 is busy -[DEBUG][time= 537] BusyTable_1: 49 is busy -[DEBUG][time= 537] BusyTable_1: 50 is busy -[DEBUG][time= 537] BusyTable_1: 51 is busy -[DEBUG][time= 537] BPUStage2: in:(1 1) pc=008000018c out:(1 1) pc=008000016c -[DEBUG][time= 537] BPUStage2: validLatch=1 pc=008000016c -[DEBUG][time= 537] DispatchGen: priority: data(0) = 1, priority = 2 -[DEBUG][time= 537] DispatchGen: priority: data(1) = 1, priority = 3 -[DEBUG][time= 537] DispatchGen: priority: data(2) = 0, priority = 0 -[DEBUG][time= 537] DispatchGen: priority: data(3) = 0, priority = 1 -[INFO ][time= 537] WriteBackArbMtoN: out(0) pc(0x0080000094) writebacks 0x0000000080000098 to pdest( 42) ldest( 1) -[INFO ][time= 537] WriteBackArbMtoN: out(1) pc(0x008000008c) writebacks 0x000000008000108c to pdest( 40) ldest(10) -[DEBUG][time= 537] BPUStage1: in:(1 1) pc=00800001ac ghr=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 537] BPUStage1: outPred:(1) pc=0x008000018c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 537] BPUStage3: [RAS]:pc=0x008000016c, rasWritePosition= 1, rasWriteAddr=0x008000016c -[DEBUG][time= 537] BPUStage3: in:(1 1) pc=008000016c -[DEBUG][time= 537] BPUStage3: out:1 pc=008000014c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000016c -[DEBUG][time= 537] BPUStage3: flushS3=0 -[DEBUG][time= 537] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 537] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 537] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 537] JBTAC: read: pc=0x00800001ac, histXORAddr=0x00800001a2, bank=1, row= 26, hist=0000000000000000000000000000000000000000000000000000000000000111 -[DEBUG][time= 537] JBTAC: read_resp: pc=0x008000018c, bank=1, row= 24, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 537] Frontend: inst:fc1ff0ef pc:00800000ec -[INFO ][time= 537] Frontend: inst:fd5ff06f pc:00800000f0 -[INFO ][time= 537] Frontend: inst:08058c63 pc:00800000f4 -[INFO ][time= 537] Frontend: inst:fd010113 pc:00800000f8 -[INFO ][time= 537] Frontend: inst:02813023 pc:00800000fc -[INFO ][time= 537] Frontend: inst:00913c23 pc:0080000100 -[DEBUG][time= 537] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000ec instr:fc1ff0ef -[DEBUG][time= 537] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000f0 instr:fd5ff06f -[DEBUG][time= 537] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000f4 instr:08058c63 -[DEBUG][time= 537] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000f8 instr:fd010113 -[DEBUG][time= 537] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000fc instr:02813023 -[DEBUG][time= 537] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000100 instr:00913c23 -[DEBUG][time= 537] Ibuffer: Enque: -[DEBUG][time= 537] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 537] Ibuffer: 11111100000001111001101011100011 -[DEBUG][time= 537] Ibuffer: 00000000000010010000010100010011 -[DEBUG][time= 537] Ibuffer: 11110000000111111111000011101111 -[DEBUG][time= 537] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 537] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 537] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 537] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 537] Ibuffer: Deque: -[DEBUG][time= 537] Ibuffer: 11111100000111111111000011101111 PC=00800000ec v=1 r=1 -[DEBUG][time= 537] Ibuffer: 11111101010111111111000001101111 PC=00800000f0 v=1 r=1 -[DEBUG][time= 537] Ibuffer: 00001000000001011000110001100011 PC=00800000f4 v=1 r=1 -[DEBUG][time= 537] Ibuffer: 11111101000000010000000100010011 PC=00800000f8 v=1 r=1 -[DEBUG][time= 537] Ibuffer: 00000010100000010011000000100011 PC=00800000fc v=1 r=1 -[DEBUG][time= 537] Ibuffer: 00000000100100010011110000100011 PC=0080000100 v=1 r=1 -[DEBUG][time= 537] Ibuffer: last_head_ptr= 48 last_tail_ptr= 96 -[DEBUG][time= 537] BTB: read: pc=0x00800001ac, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 537] BTB: read_resp: pc=0x008000018c, readIdx=198------------------------------- -[DEBUG][time= 537] BTB: read_resp[b=0][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=1][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=2][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=3][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=4][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=5][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=14][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: read_resp[b=15][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 537] BTB: bankIdxInOrder:[DEBUG][time= 537] BTB: 6 [DEBUG][time= 537] BTB: 7 [DEBUG][time= 537] BTB: 8 [DEBUG][time= 537] BTB: 9 [DEBUG][time= 537] BTB: 10 [DEBUG][time= 537] BTB: 11 [DEBUG][time= 537] BTB: 12 [DEBUG][time= 537] BTB: 13 [DEBUG][time= 537] BTB: 14 [DEBUG][time= 537] BTB: 15 [DEBUG][time= 537] BTB: 0 [DEBUG][time= 537] BTB: 1 [DEBUG][time= 537] BTB: 2 [DEBUG][time= 537] BTB: 3 [DEBUG][time= 537] BTB: 4 [DEBUG][time= 537] BTB: 5 [DEBUG][time= 537] BTB: -[DEBUG][time= 537] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 4 -[DEBUG][time= 537] AluExeUnit: src1:000000008000008c src2:0000000000001000 offset:0000000000001000 func:0000000 pc:000000008000008c -[DEBUG][time= 537] AluExeUnit: res:000000008000108c aluRes:0000000008000108c isRVC:0 isBru:0 isBranch:0 isJump:0 target:008000108c taken:0 -[DEBUG][time= 537] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:4 -[DEBUG][time= 537] Jump: src1:0000000080000094 offset:ffffffffffffff7c func:0011011 type:JUMP pc:0000000080000094 res:0000000080000098 -[INFO ][time= 537] Rename: pc:00800000d4 in v:1 in rdy:1 lsrc1:14 -> psrc1: 49 lsrc2: 8 -> psrc2: 48 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 537] Rename: pc:00800000d8 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 52 old_pdest: 43 out v:1 r:1 -[INFO ][time= 537] Rename: pc:00800000dc in v:1 in rdy:1 lsrc1: 2 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 53 old_pdest: 48 out v:1 r:1 -[INFO ][time= 537] Rename: pc:00800000e0 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 46 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 54 old_pdest: 46 out v:1 r:1 -[INFO ][time= 537] Rename: pc:00800000e4 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 52 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 537] Rename: pc:00800000e8 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 55 old_pdest: 41 out v:1 r:1 -[INFO ][time= 537] Dispatch1: pc 0x00800000bc accepted by queue 1 0 0 -[INFO ][time= 537] Dispatch1: pc 0x00800000c0 accepted by queue 1 0 0 -[INFO ][time= 537] Dispatch1: pc 0x00800000c4 accepted by queue 1 0 0 -[INFO ][time= 537] Dispatch1: pc 0x00800000c8 accepted by queue 0 0 1 -[INFO ][time= 537] Dispatch1: pc 0x00800000cc accepted by queue 1 0 0 -[INFO ][time= 537] Dispatch1: pc 0x00800000d0 accepted by queue 1 0 0 -[DEBUG][time= 537] Dispatch1: pc 0x00800000bc receives nroq 23 -[DEBUG][time= 537] Dispatch1: v:1 r:1 pc 0x00800000bc of type 0011 is in 0-th slot -[DEBUG][time= 537] Dispatch1: pc 0x00800000c0 receives nroq 24 -[DEBUG][time= 537] Dispatch1: v:1 r:1 pc 0x00800000c0 of type 0011 is in 1-th slot -[DEBUG][time= 537] Dispatch1: pc 0x00800000c4 receives nroq 25 -[DEBUG][time= 537] Dispatch1: v:1 r:1 pc 0x00800000c4 of type 0011 is in 2-th slot -[DEBUG][time= 537] Dispatch1: pc 0x00800000c8 receives nroq 26 -[DEBUG][time= 537] Dispatch1: v:1 r:1 pc 0x00800000c8 of type 1100 is in 3-th slot -[DEBUG][time= 537] Dispatch1: pc 0x00800000cc receives nroq 27 -[DEBUG][time= 537] Dispatch1: v:1 r:1 pc 0x00800000cc of type 0011 is in 4-th slot -[DEBUG][time= 537] Dispatch1: pc 0x00800000d0 receives nroq 28 -[DEBUG][time= 537] Dispatch1: v:1 r:1 pc 0x00800000d0 of type 0011 is in 5-th slot -[DEBUG][time= 537] Dispatch2: int dp queue 0: 0080000098 type 0000 -[DEBUG][time= 537] Dispatch2: int dp queue 1: 008000009c type 0011 -[DEBUG][time= 537] Dispatch2: int dp queue 2: 00800000a0 type 0011 -[DEBUG][time= 537] Dispatch2: int dp queue 3: 00800000a8 type 0000 -[DEBUG][time= 537] Dispatch2: ls dp queue 0: 00800000a4 type 1101 -[DEBUG][time= 537] Dispatch2: ls dp queue 1: 00800000b0 type 1101 -[DEBUG][time= 537] Dispatch2: ls dp queue 2: 00800000b4 type 1101 -[DEBUG][time= 537] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 537] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 537] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 537] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 537] Dispatch2: regfile 0 from 0 -[DEBUG][time= 537] Dispatch2: regfile 1 from 0 -[DEBUG][time= 537] Dispatch2: regfile 2 from 0 -[DEBUG][time= 537] Dispatch2: regfile 3 from 0 -[DEBUG][time= 537] Dispatch2: regfile 4 from 1 -[DEBUG][time= 537] Dispatch2: regfile 5 from 1 -[DEBUG][time= 537] Dispatch2: regfile 6 from 2 -[DEBUG][time= 537] Dispatch2: regfile 7 from 2 -[DEBUG][time= 537] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 8: addr 44, state 0 -[DEBUG][time= 537] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 9: addr 45, state 0 -[DEBUG][time= 537] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 12: addr 45, state 1 -[DEBUG][time= 537] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 537] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 537] Dispatch2: pc 0x0080000098 with type 0000 srcState(1 1 0) enters reservation station 0 from 0 -[INFO ][time= 537] Dispatch2: pc 0x008000009c with type 0011 srcState(1 1 0) enters reservation station 3 from 1 -[INFO ][time= 537] Dispatch2: pc 0x00800000a0 with type 0011 srcState(1 1 0) enters reservation station 4 from 2 -[INFO ][time= 537] Dispatch2: pc 0x00800000a4 with type 1101 srcState(0 0 0) enters reservation station 7 from 0 -[INFO ][time= 537] Dispatch2: pc 0x0080000098 leaves Int dispatch queue with nroq 14 -[INFO ][time= 537] Dispatch2: pc 0x008000009c leaves Int dispatch queue with nroq 15 -[INFO ][time= 537] Dispatch2: pc 0x00800000a0 leaves Int dispatch queue with nroq 16 -[DEBUG][time= 537] Dispatch2: pc 0x00800000a8 waits at Int dispatch queue with index 3 -[INFO ][time= 537] Dispatch2: pc 0x00800000a4 leaves Ls dispatch queue with nroq 17 -[DEBUG][time= 537] Dispatch2: pc 0x00800000b0 waits at Ls dispatch queue with index 1 -[DEBUG][time= 537] Dispatch2: pc 0x00800000b4 waits at Ls dispatch queue with index 2 -[DEBUG][time= 537] Dispatch2: pc 0x0080000094 reads operands from ( 4, 0, 0000000080000094), ( 5, 0, ffffffffffffff7c), ( 0, 0, 0000000000000000) -[DEBUG][time= 537] Dispatch2: pc 0x008000008c reads operands from ( 0, 0, 000000008000008c), ( 1, 0, 0000000000001000), ( 0, 0, 0000000000000000) -[DEBUG][time= 537] Dispatch2: pc 0x0080000090 reads operands from ( 2, 40, 0000000080008fe0), ( 3, 0, fffffffffffffc49), ( 0, 0, 0000000000000000) -[INFO ][time= 537] DecodeBuffer: in v:1 r:1 pc=00800000ec -[INFO ][time= 537] DecodeBuffer: in v:1 r:1 pc=00800000f0 -[INFO ][time= 537] DecodeBuffer: in v:1 r:1 pc=00800000f4 -[INFO ][time= 537] DecodeBuffer: in v:1 r:1 pc=00800000f8 -[INFO ][time= 537] DecodeBuffer: in v:1 r:1 pc=00800000fc -[INFO ][time= 537] DecodeBuffer: in v:1 r:1 pc=0080000100 -[INFO ][time= 537] DecodeBuffer: out v:1 r:1 pc=00800000d4 -[INFO ][time= 537] DecodeBuffer: out v:1 r:1 pc=00800000d8 -[INFO ][time= 537] DecodeBuffer: out v:1 r:1 pc=00800000dc -[INFO ][time= 537] DecodeBuffer: out v:1 r:1 pc=00800000e0 -[INFO ][time= 537] DecodeBuffer: out v:1 r:1 pc=00800000e4 -[INFO ][time= 537] DecodeBuffer: out v:1 r:1 pc=00800000e8 -[ERROR][time= 537] Roq: CSR block should only happen in s_idle -[DEBUG][time= 537] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 537] Roq: dispatched 6 insts -[INFO ][time= 537] Roq: writebacked 1 insts -[INFO ][time= 537] Roq: writebacked pc 0x008000008c wen 1 data 0x000000008000108c ldst 10 pdst 40 skip 0 -[DEBUG][time= 537] Roq: head 0:23 tail 0: 9 -[DEBUG][time= 537] Roq: ---------vwvvvvvvvvvvvv--------- -[DEBUG][time= 537] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 537] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 537] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 008000008c v -[DEBUG][time= 537] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 537] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 537] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000dc - -[DEBUG][time= 537] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 00800000ec - -[DEBUG][time= 537] Roq: 00800000f0 - 00800000f4 - 00800000f8 - 544735df77 - -[DEBUG][time= 537] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 0 -> 1) -[DEBUG][time= 537] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 3 -> 4) -[DEBUG][time= 537] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 0), tail = (1, 3), -[DEBUG][time= 537] DispatchQueue: IntDpQ: num_deq = 3, head = ( 9 -> 12) -[DEBUG][time= 537] DispatchQueue: IntDpQ: num_enq = 5, tail = (15 -> 4) -[DEBUG][time= 537] DispatchQueue: IntDpQ: valid_entries = 6, head = (0, 9), tail = (0, 15), -[DEBUG][time= 537] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 537] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 537] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 537] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 537] LsExeUnit: retiringStore now... -[DEBUG][time= 537] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484044------> s1 fire!!! -[DEBUG][time= 537] FakeCache: [Stage1_data] instr1:0x00008067 instr2:0xf2010113 -[DEBUG][time= 537] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 537] FakeCache: [Stage2_data] instr1:0xfd349ee3 instr2:0x02813083 -[DEBUG][time= 537] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 537] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0xfc079ae3 -[DEBUG][time= 537] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 537] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001ac || if1_pcUpdate:1 if1_pc:0x008000018c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 537] IFU: [IF2]if2_valid:1 || if2_pc:0x008000018c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 537] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 537] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 537] IFU: [IF3]if3_valid:1 || if3_pc:0x008000016c if3_npc:0x008000018c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 537] IFU: [IF4]if4_valid:1 || if4_pc:0x008000014c if4_npc:0x008000016c -[DEBUG][time= 537] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000016c -[DEBUG][time= 537] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] starPC:0x008000014c GroupPC:0x0080000140n -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000150 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction fc079ae3 pnpc:0x0080000154 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction 00090513 pnpc:0x0080000158 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction f01ff0ef pnpc:0x008000015c -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000160 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x0080000164 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000168 -[DEBUG][time= 537] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x008000016c -[INFO ][time= 537] IssueQueue: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 43 oldDest: 42 pc:0080000098 roqIdx:0e -[INFO ][time= 537] IssueQueue: EnqData: src1:0000000080000094 src2:ffffffffffffff7c src3:0000000000000000 pc:0080000094 roqIdx:0d(for last cycle's Ctrl) -[INFO ][time= 537] IssueQueue: Deq:(1 1) [ 0|0000000080000094][ 0|ffffffffffffff7c][ 0|26f7d2634708bafb] pdest: 42 pc:0080000094 roqIdx:0d -[DEBUG][time= 537] IssueQueue: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 537] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 537] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 537] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 537] IssueQueue: 0 |1|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d -[DEBUG][time= 537] IssueQueue: 1 |0|1| 37|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 2 |00800000a8|0a <- -[DEBUG][time= 537] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 537] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 537] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 537] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 537] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 537] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 537] IssueQueue_1: EnqData: src1:000000008000008c src2:0000000000001000 src3:0000000000000000 pc:008000008c roqIdx:0b(for last cycle's Ctrl) -[INFO ][time= 537] IssueQueue_1: Deq:(1 1) [ 0|000000008000008c][ 0|0000000000001000][ 0|c63124ed8740c04f] pdest: 40 pc:008000008c roqIdx:0b -[DEBUG][time= 537] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 537] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 40) -[DEBUG][time= 537] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 537] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 537] IssueQueue_1: 0 |1|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 537] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 537] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 537] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 537] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 537] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 537] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 537] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 537] IssueQueue_2: WakeUpHit: IQIdx:1 Src0: 40 Ports:1 Data:000000008000108c Pc:008000008c RoqIdx:0b -[INFO ][time= 537] IssueQueue_2: EnqData: src1:0000000080008fe0 src2:fffffffffffffc49 src3:0000000000000000 pc:0080000090 roqIdx:0c(for last cycle's Ctrl) -[DEBUG][time= 537] IssueQueue_2: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(0 1) Out(0 1) -[DEBUG][time= 537] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 537] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 537] IssueQueue_2: 1 |1|0| 40|0|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 537] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 537] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 537] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 537] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 537] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 537] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 537] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 537] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 44 oldDest: 38 pc:008000009c roqIdx:0f -[DEBUG][time= 537] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 537] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 537] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 537] IssueQueue_3: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 3 |00800000ac|0b <- -[DEBUG][time= 537] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 -[DEBUG][time= 537] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 537] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 537] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 537] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 537] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 537] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 537] IssueQueue_4: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 45 oldDest: 39 pc:00800000a0 roqIdx:10 -[DEBUG][time= 537] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 537] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 537] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 537] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |008000009c|0b <- -[DEBUG][time= 537] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e -[DEBUG][time= 537] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 537] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 537] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 537] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 537] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 537] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 537] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 537] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 537] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 537] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 537] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 537] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 537] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 537] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 537] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 537] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 537] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 537] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 537] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 537] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 537] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 537] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 537] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 537] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 537] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 537] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 537] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 537] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 537] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 44:0 45:0 0:0) Dest: 32 oldDest: 0 pc:00800000a4 roqIdx:11 -[DEBUG][time= 537] IssueQueue_7: tailAll: 1 KID(010) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(1 1) Out(1 0) -[INFO ][time= 537] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 537] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 537] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 537] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 537] IssueQueue_7: 0 |0|1| 36|1|0000000080008ff0| 35|1|0000000080000010| 0|0|0000000000000000| 1 |0080000084|05 <- -[DEBUG][time= 537] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 -[DEBUG][time= 537] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 537] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 537] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 537] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 537] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 537] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 537] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 537] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 537] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 537] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 537] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 537] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 537] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 537] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 537] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 537] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 537] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 537] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 537] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 20 -[DEBUG][time= 537] FreeList_1: req:0 canAlloc:1 pdest: 52 headNext:0: 20 -[DEBUG][time= 537] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 21 -[DEBUG][time= 537] FreeList_1: req:1 canAlloc:1 pdest: 52 headNext:0: 21 -[DEBUG][time= 537] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 22 -[DEBUG][time= 537] FreeList_1: req:1 canAlloc:1 pdest: 53 headNext:0: 22 -[DEBUG][time= 537] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 23 -[DEBUG][time= 537] FreeList_1: req:1 canAlloc:1 pdest: 54 headNext:0: 23 -[DEBUG][time= 537] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 23 -[DEBUG][time= 537] FreeList_1: req:0 canAlloc:1 pdest: 55 headNext:0: 23 -[DEBUG][time= 537] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 24 -[DEBUG][time= 537] FreeList_1: req:1 canAlloc:1 pdest: 55 headNext:0: 24 -[DEBUG][time= 537] FreeList_1: head:0: 20 tail:1: 8 -[DEBUG][time= 537] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 537] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v: 9 -[DEBUG][time= 537] Brq: ---------------- -[INFO ][time= 537] Brq: exu write back: brTag:f:0 v: 3 pc=0080000094 pnpc=0080000098 target=0080000010 -[DEBUG][time= 537] Brq: enq v:1 rdy:1 pc:00800000ec brTag:f:0 v: 9 -[DEBUG][time= 537] Brq: enq v:1 rdy:1 pc:00800000f0 brTag:f:0 v:10 -[DEBUG][time= 537] Brq: enq v:1 rdy:1 pc:00800000f4 brTag:f:0 v:11 -[DEBUG][time= 537] Brq: enq v:0 rdy:1 pc:00800000f8 brTag:f:0 v:12 -[DEBUG][time= 537] Brq: enq v:0 rdy:1 pc:00800000fc brTag:f:0 v:12 -[DEBUG][time= 537] Brq: enq v:0 rdy:1 pc:0080000100 brTag:f:0 v:12 -[DEBUG][time= 538] Tage: req: pc=0x0080000010, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 538] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 538] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 538] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 1 1) tlb (0 0 0084f0cae2| 1 1) -[DEBUG][time= 538] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 538] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 538] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 538] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 538] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 538] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 538] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 538] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 538] BusyTable_1: 41 is busy -[DEBUG][time= 538] BusyTable_1: 43 is busy -[DEBUG][time= 538] BusyTable_1: 44 is busy -[DEBUG][time= 538] BusyTable_1: 45 is busy -[DEBUG][time= 538] BusyTable_1: 46 is busy -[DEBUG][time= 538] BusyTable_1: 47 is busy -[DEBUG][time= 538] BusyTable_1: 48 is busy -[DEBUG][time= 538] BusyTable_1: 49 is busy -[DEBUG][time= 538] BusyTable_1: 50 is busy -[DEBUG][time= 538] BusyTable_1: 51 is busy -[DEBUG][time= 538] BusyTable_1: 52 is busy -[DEBUG][time= 538] BusyTable_1: 53 is busy -[DEBUG][time= 538] BusyTable_1: 54 is busy -[DEBUG][time= 538] BusyTable_1: 55 is busy -[DEBUG][time= 538] BPUStage2: in:(0 0) pc=00800001ac out:(0 0) pc=008000018c -[DEBUG][time= 538] BPUStage2: validLatch=1 pc=008000018c -[DEBUG][time= 538] BPUStage2: flush!!! -[DEBUG][time= 538] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 538] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 538] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 538] DispatchGen: priority: data(3) = 1, priority = 3 -[DEBUG][time= 538] BPUStage1: in:(1 1) pc=0080000010 ghr=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 538] BPUStage1: outPred:(0) pc=0x00800001ac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 538] BPUStage1: flush from backend: pc=0080000094 tgt=0080000010 brTgt=0080000010 btbType=01 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000000000111 fetchIdx=2 isExcpt=0 -[DEBUG][time= 538] BPUStage3: flushS3=0 -[DEBUG][time= 538] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 538] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 538] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 538] JBTAC: read: pc=0x0080000010, histXORAddr=0x008000000e, bank=7, row= 0, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 538] JBTAC: read_resp: pc=0x00800001ac, bank=1, row= 26, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 538] JBTAC: [JBTAC]update_req: fetchPC=0x008000008c, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000000000111, bank=1, row= 8, target=0x0080000010, offset= 4, type=0x1 -[INFO ][time= 538] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 538] BTB: read: pc=0x0080000010, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 538] BTB: read_resp: pc=0x00800001ac, readIdx=214------------------------------- -[DEBUG][time= 538] BTB: read_resp[b=0][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=1][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=2][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=3][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=4][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=5][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=6][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=7][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=12][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=13][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=14][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: read_resp[b=15][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 538] BTB: bankIdxInOrder:[DEBUG][time= 538] BTB: 6 [DEBUG][time= 538] BTB: 7 [DEBUG][time= 538] BTB: 8 [DEBUG][time= 538] BTB: 9 [DEBUG][time= 538] BTB: 10 [DEBUG][time= 538] BTB: 11 [DEBUG][time= 538] BTB: 12 [DEBUG][time= 538] BTB: 13 [DEBUG][time= 538] BTB: 14 [DEBUG][time= 538] BTB: 15 [DEBUG][time= 538] BTB: 0 [DEBUG][time= 538] BTB: 1 [DEBUG][time= 538] BTB: 2 [DEBUG][time= 538] BTB: 3 [DEBUG][time= 538] BTB: 4 [DEBUG][time= 538] BTB: 5 [DEBUG][time= 538] BTB: -[DEBUG][time= 538] BTB: update_req: pc=0x0080000094, hit=0, misPred=1, oldCtr=0, taken=1, target=0x0080000010, btbType=1 -[DEBUG][time= 538] BTB: update: noNeedToUpdate=0, writeValid=1, bank=10, row= 4, newCtr=2 -[DEBUG][time= 538] MulExeUnit: redirect: f:0 v: 3 -[DEBUG][time= 538] MulExeUnit_1: redirect: f:0 v: 3 -[INFO ][time= 538] Rename: pc:00800000ec in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 52 out v:0 r:1 -[INFO ][time= 538] Rename: pc:00800000f0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 538] Rename: pc:00800000f4 in v:0 in rdy:1 lsrc1:11 -> psrc1: 11 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 538] Rename: pc:00800000f8 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[INFO ][time= 538] Rename: pc:00800000fc in v:0 in rdy:1 lsrc1: 2 -> psrc1: 54 lsrc2: 8 -> psrc2: 53 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 538] Rename: pc:0080000100 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 54 lsrc2: 9 -> psrc2: 9 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 538] Dispatch1: pc=00800000d4 brTag:f:0 v: 3 -[DEBUG][time= 538] Dispatch1: pc=00800000d8 brTag:f:0 v: 3 -[DEBUG][time= 538] Dispatch1: pc=00800000dc brTag:f:0 v: 3 -[DEBUG][time= 538] Dispatch1: pc=00800000e0 brTag:f:0 v: 3 -[DEBUG][time= 538] Dispatch1: pc=00800000e4 brTag:f:0 v: 3 -[DEBUG][time= 538] Dispatch1: pc=00800000e8 brTag:f:0 v: 3 -[INFO ][time= 538] Dispatch1: pc 0x00800000d4 with brTag 3 cancelled -[INFO ][time= 538] Dispatch1: pc 0x00800000d8 with brTag 3 cancelled -[INFO ][time= 538] Dispatch1: pc 0x00800000dc with brTag 3 cancelled -[INFO ][time= 538] Dispatch1: pc 0x00800000e0 with brTag 3 cancelled -[INFO ][time= 538] Dispatch1: pc 0x00800000e4 with brTag 3 cancelled -[INFO ][time= 538] Dispatch1: pc 0x00800000e8 with brTag 3 cancelled -[DEBUG][time= 538] Dispatch1: pc 0x00800000d4 receives nroq 29 -[DEBUG][time= 538] Dispatch1: v:1 r:1 pc 0x00800000d4 of type 1101 is in 0-th slot -[DEBUG][time= 538] Dispatch1: pc 0x00800000d8 receives nroq 30 -[DEBUG][time= 538] Dispatch1: v:1 r:1 pc 0x00800000d8 of type 1100 is in 1-th slot -[DEBUG][time= 538] Dispatch1: pc 0x00800000dc receives nroq 31 -[DEBUG][time= 538] Dispatch1: v:1 r:1 pc 0x00800000dc of type 1100 is in 2-th slot -[DEBUG][time= 538] Dispatch1: pc 0x00800000e0 receives nroq 32 -[DEBUG][time= 538] Dispatch1: v:1 r:1 pc 0x00800000e0 of type 0011 is in 3-th slot -[DEBUG][time= 538] Dispatch1: pc 0x00800000e4 receives nroq 33 -[DEBUG][time= 538] Dispatch1: v:1 r:1 pc 0x00800000e4 of type 0000 is in 4-th slot -[DEBUG][time= 538] Dispatch1: pc 0x00800000e8 receives nroq 34 -[DEBUG][time= 538] Dispatch1: v:1 r:1 pc 0x00800000e8 of type 0011 is in 5-th slot -[DEBUG][time= 538] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 538] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 538] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 538] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 538] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 538] Dispatch2: regfile 0 from 1 -[DEBUG][time= 538] Dispatch2: regfile 1 from 1 -[DEBUG][time= 538] Dispatch2: regfile 2 from 2 -[DEBUG][time= 538] Dispatch2: regfile 3 from 2 -[DEBUG][time= 538] Dispatch2: regfile 4 from 3 -[DEBUG][time= 538] Dispatch2: regfile 5 from 3 -[DEBUG][time= 538] Dispatch2: regfile 6 from 0 -[DEBUG][time= 538] Dispatch2: regfile 7 from 0 -[DEBUG][time= 538] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 4: addr 41, state 0 -[DEBUG][time= 538] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 6: addr 43, state 0 -[DEBUG][time= 538] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 8: addr 46, state 0 -[DEBUG][time= 538] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 538] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 538] Dispatch2: pc 0x0080000098 reads operands from ( 0, 0, 0000000080000098), ( 1, 0, ffffffffffffffc4), ( 0, 0, 0000000000000000) -[DEBUG][time= 538] Dispatch2: pc 0x008000009c reads operands from ( 4, 0, 0000000000000000), ( 5, 0, 0000000040600000), ( 0, 0, 0000000000000000) -[DEBUG][time= 538] Dispatch2: pc 0x00800000a0 reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[DEBUG][time= 538] Dispatch2: pc 0x00800000a4 reads operands from ( 8, 44, d95ad4be237be8dc), ( 9, 45, d95ad4be237be8dc), ( 0, 0, 0000000000000000) -[INFO ][time= 538] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 538] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 538] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 538] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 538] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 538] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 538] DecodeBuffer: out v:0 r:1 pc=00800000ec -[INFO ][time= 538] DecodeBuffer: out v:0 r:1 pc=00800000f0 -[INFO ][time= 538] DecodeBuffer: out v:0 r:1 pc=00800000f4 -[INFO ][time= 538] DecodeBuffer: out v:0 r:1 pc=00800000f8 -[INFO ][time= 538] DecodeBuffer: out v:0 r:1 pc=00800000fc -[INFO ][time= 538] DecodeBuffer: out v:0 r:1 pc=0080000100 -[ERROR][time= 538] Roq: CSR block should only happen in s_idle -[DEBUG][time= 538] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 538] Roq: dispatched 6 insts -[INFO ][time= 538] Roq: writebacked 1 insts -[INFO ][time= 538] Roq: writebacked pc 0x0080000094 wen 1 data 0x0000000080000098 ldst 1 pdst 42 skip 0 -[DEBUG][time= 538] Roq: head 0:29 tail 0: 9 -[DEBUG][time= 538] Roq: ---------vwwvvvvvvvvvvvvvvvvv--- -[DEBUG][time= 538] Roq: 0080000000 - 0080000004 - 0080000008 - 008000000c - -[DEBUG][time= 538] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 538] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 008000008c w -[DEBUG][time= 538] Roq: 0080000090 v 0080000094 v 0080000098 v 008000009c v -[DEBUG][time= 538] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 538] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 538] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc v -[DEBUG][time= 538] Roq: 00800000d0 v 00800000f4 - 00800000f8 - 544735df77 - -[INFO ][time= 538] DispatchQueue_2: LsDpQ: valid entry(1)(pc = 00800000b0) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue_2: LsDpQ: valid entry(2)(pc = 00800000b4) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue_2: LsDpQ: valid entry(3)(pc = 00800000c8) cancelled with brTag 3 -[DEBUG][time= 538] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 1), tail = (1, 4), -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(0)(pc = 00800000c0) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(1)(pc = 00800000c4) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(2)(pc = 00800000cc) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(3)(pc = 00800000d0) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(12)(pc = 00800000a8) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(13)(pc = 00800000ac) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(14)(pc = 00800000b8) cancelled with brTag 3 -[INFO ][time= 538] DispatchQueue: IntDpQ: valid entry(15)(pc = 00800000bc) cancelled with brTag 3 -[DEBUG][time= 538] DispatchQueue: IntDpQ: valid_entries = 8, head = (0, 12), tail = (1, 4), -[DEBUG][time= 538] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 1/1 addr e4c4df3284f0cae2 size 2 data 7828a5307828a530 mask 003c cmd 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 538] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 538] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 538] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 538] LsExeUnit: retiringStore now... -[INFO ][time= 538] LsExeUnit: [DMEM RESP] data 0000000000000000 -[DEBUG][time= 538] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484076------> s1 fire!!! -[DEBUG][time= 538] FakeCache: [Stage1_data] instr1:0x0b513423 instr2:0x0b613023 -[DEBUG][time= 538] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 538] FakeCache: [Stage2_data] instr1:0x00008067 instr2:0xf2010113 -[DEBUG][time= 538] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 538] FakeCache: [Stage3_data] instr1:0xfd349ee3 instr2:0x02813083 -[DEBUG][time= 538] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 538] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000010 || if1_pcUpdate:1 if1_pc:0x00800001ac || if2_ready:1------IF1->fire!!! -[DEBUG][time= 538] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001ac || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 538] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 538] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 538] IFU: [IF3]if3_valid:1 || if3_pc:0x008000018c if3_npc:0x00800001ac || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 538] IFU: [IF4]if4_valid:1 || if4_pc:0x008000016c if4_npc:0x008000018c -[DEBUG][time= 538] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000018c -[DEBUG][time= 538] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 538] IFU: [IFU-REDIRECT] target:0x0080000010 -[INFO ][time= 538] IssueQueue: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:11111110 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 538] IssueQueue: EnqData: src1:0000000080000098 src2:ffffffffffffffc4 src3:0000000000000000 pc:0080000098 roqIdx:0e(for last cycle's Ctrl) -[DEBUG][time= 538] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 538] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 -[DEBUG][time= 538] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 538] IssueQueue: 1 |1|1| 0|1|000000008000008c| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 538] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 538] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 538] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 538] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 538] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 538] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 538] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 538] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 538] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 538] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 538] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 538] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 538] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 538] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 538] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 538] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 538] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 538] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 538] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 538] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:11111100 redIdHitVec:11111100 enqHit:1 selIsRed:0 -[DEBUG][time= 538] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 538] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(1, 41) -[DEBUG][time= 538] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 538] IssueQueue_2: 1 |1|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 538] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 538] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 538] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 538] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 538] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 538] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 538] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 538] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:00000110 redIdHitVec:00000011 enqHit:1 selIsRed:1 -[INFO ][time= 538] IssueQueue_3: EnqData: src1:0000000000000000 src2:0000000040600000 src3:0000000000000000 pc:008000009c roqIdx:0f(for last cycle's Ctrl) -[DEBUG][time= 538] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 538] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 44) -[DEBUG][time= 538] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 538] IssueQueue_3: 1 |1|1| 0|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 538] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 538] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 538] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 538] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 538] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 538] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 538] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 538] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:11111110 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 538] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000000000003 src3:0000000000000000 pc:00800000a0 roqIdx:10(for last cycle's Ctrl) -[DEBUG][time= 538] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 538] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 45) -[DEBUG][time= 538] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 538] IssueQueue_4: 1 |1|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 538] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 538] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 538] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 538] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 538] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 538] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 538] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 538] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 538] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 538] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 538] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 538] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 538] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 538] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 538] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 538] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 538] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 538] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 538] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 538] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:0 -[DEBUG][time= 538] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 538] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 538] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 538] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 538] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 538] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 538] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 538] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 538] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 538] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 538] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 538] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 3 redHitVec:00000001 redIdHitVec:00000010 enqHit:1 selIsRed:0 -[INFO ][time= 538] IssueQueue_7: EnqData: src1:d95ad4be237be8dc src2:d95ad4be237be8dc src3:0000000000000000 pc:00800000a4 roqIdx:11(for last cycle's Ctrl) -[DEBUG][time= 538] IssueQueue_7: tailAll: 2 KID(100) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000001 moveDot:00000110 In(0 1) Out(1 0) -[INFO ][time= 538] IssueQueue_7: FireStage:Out(1 0) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 538] IssueQueue_7: popOne:0 isPop:1 popSel:0 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 538] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 538] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 538] IssueQueue_7: 0 |1|0| 44|0|0000000080008ff0| 45|0|0000000080000010| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 538] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 538] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 538] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 538] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 538] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 538] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 538] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 538] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 538] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 538] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 538] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 538] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 538] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 538] FreeList: redirect: brqIdx= 3 -[DEBUG][time= 538] FreeList_1: req:0 canAlloc:1 pdest: 56 headNext:0: 24 -[DEBUG][time= 538] FreeList_1: req:0 canAlloc:1 pdest: 56 headNext:0: 24 -[DEBUG][time= 538] FreeList_1: req:0 canAlloc:1 pdest: 56 headNext:0: 24 -[DEBUG][time= 538] FreeList_1: req:0 canAlloc:1 pdest: 56 headNext:0: 24 -[DEBUG][time= 538] FreeList_1: req:0 canAlloc:1 pdest: 56 headNext:0: 24 -[DEBUG][time= 538] FreeList_1: req:0 canAlloc:1 pdest: 56 headNext:0: 24 -[DEBUG][time= 538] FreeList_1: head:0: 24 tail:1: 8 -[DEBUG][time= 538] FreeList_1: redirect: brqIdx= 3 -[DEBUG][time= 538] Brq: headIdx: 3 commitIdx: 3 -[DEBUG][time= 538] Brq: headPtr:f:0 v: 3 tailPtr:f:0 v:12 -[DEBUG][time= 538] Brq: ---w------------ -[INFO ][time= 538] Brq: commit branch to roq, mispred:1 pc=0080000094 -[INFO ][time= 538] Brq: brq redirect, target:0080000010 -[DEBUG][time= 539] Tage: req: pc=0x0080000030, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 539] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 539] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 539] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 004060000c| 0 1) -[DEBUG][time= 539] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 539] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 539] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 539] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 539] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 539] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 539] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 539] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 539] BusyTable_1: writeback 41 -[DEBUG][time= 539] BusyTable_1: writeback 55 -[DEBUG][time= 539] BusyTable_1: writeback 54 -[DEBUG][time= 539] BusyTable_1: writeback 53 -[DEBUG][time= 539] BusyTable_1: writeback 52 -[DEBUG][time= 539] BusyTable_1: 41 is busy -[DEBUG][time= 539] BusyTable_1: 43 is busy -[DEBUG][time= 539] BusyTable_1: 44 is busy -[DEBUG][time= 539] BusyTable_1: 45 is busy -[DEBUG][time= 539] BusyTable_1: 46 is busy -[DEBUG][time= 539] BusyTable_1: 47 is busy -[DEBUG][time= 539] BusyTable_1: 48 is busy -[DEBUG][time= 539] BusyTable_1: 49 is busy -[DEBUG][time= 539] BusyTable_1: 50 is busy -[DEBUG][time= 539] BusyTable_1: 51 is busy -[DEBUG][time= 539] BusyTable_1: 52 is busy -[DEBUG][time= 539] BusyTable_1: 53 is busy -[DEBUG][time= 539] BusyTable_1: 54 is busy -[DEBUG][time= 539] BusyTable_1: 55 is busy -[DEBUG][time= 539] BPUStage2: in:(1 1) pc=0080000010 out:(0 1) pc=008000018c -[DEBUG][time= 539] BPUStage2: validLatch=0 pc=008000018c -[DEBUG][time= 539] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 539] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 539] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 539] DispatchGen: priority: data(3) = 0, priority = 3 -[INFO ][time= 539] WriteBackArbMtoN: out(2) pc(0x0080000090) writebacks 0x0000000080000cd5 to pdest( 41) ldest(10) -[DEBUG][time= 539] BPUStage1: in:(1 1) pc=0080000030 ghr=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 539] BPUStage1: outPred:(1) pc=0x0080000010, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 539] BPUStage3: flushS3=1 -[DEBUG][time= 539] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 539] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=0 -[DEBUG][time= 539] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 539] JBTAC: read: pc=0x0080000030, histXORAddr=0x008000002e, bank=7, row= 2, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 539] JBTAC: read_resp: pc=0x0080000010, bank=7, row= 0, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 539] BTB: read: pc=0x0080000030, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 539] BTB: read_resp: pc=0x0080000010, readIdx= 8------------------------------- -[DEBUG][time= 539] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b= 8][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b= 9][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=10][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=11][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=12][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=13][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=14][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: read_resp[b=15][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 539] BTB: bankIdxInOrder:[DEBUG][time= 539] BTB: 8 [DEBUG][time= 539] BTB: 9 [DEBUG][time= 539] BTB: 10 [DEBUG][time= 539] BTB: 11 [DEBUG][time= 539] BTB: 12 [DEBUG][time= 539] BTB: 13 [DEBUG][time= 539] BTB: 14 [DEBUG][time= 539] BTB: 15 [DEBUG][time= 539] BTB: 0 [DEBUG][time= 539] BTB: 1 [DEBUG][time= 539] BTB: 2 [DEBUG][time= 539] BTB: 3 [DEBUG][time= 539] BTB: 4 [DEBUG][time= 539] BTB: 5 [DEBUG][time= 539] BTB: 6 [DEBUG][time= 539] BTB: 7 [DEBUG][time= 539] BTB: -[DEBUG][time= 539] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 539] AluExeUnit_1: src1:000000008000108c src2:fffffffffffffc49 offset:fffffffffffffc49 func:0000000 pc:0000000080000090 -[DEBUG][time= 539] AluExeUnit_1: res:0000000080000cd5 aluRes:10000000080000cd5 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000cd5 taken:0 -[INFO ][time= 539] Rename: int walk: pc:00800000e8 ldst:10 old_pdest: 41 -[INFO ][time= 539] Rename: int walk: pc:00800000e0 ldst: 2 old_pdest: 46 -[INFO ][time= 539] Rename: int walk: pc:00800000dc ldst: 8 old_pdest: 48 -[INFO ][time= 539] Rename: int walk: pc:00800000d8 ldst: 1 old_pdest: 43 -[DEBUG][time= 539] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 0-th slot -[DEBUG][time= 539] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 1-th slot -[DEBUG][time= 539] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 2-th slot -[DEBUG][time= 539] Dispatch1: v:0 r:1 pc 0x00800000e0 of type 0011 is in 3-th slot -[DEBUG][time= 539] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 4-th slot -[DEBUG][time= 539] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 5-th slot -[DEBUG][time= 539] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 539] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 539] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 539] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 539] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 539] Dispatch2: regfile 0 from 1 -[DEBUG][time= 539] Dispatch2: regfile 1 from 1 -[DEBUG][time= 539] Dispatch2: regfile 2 from 2 -[DEBUG][time= 539] Dispatch2: regfile 3 from 2 -[DEBUG][time= 539] Dispatch2: regfile 4 from 3 -[DEBUG][time= 539] Dispatch2: regfile 5 from 3 -[DEBUG][time= 539] Dispatch2: regfile 6 from 0 -[DEBUG][time= 539] Dispatch2: regfile 7 from 0 -[DEBUG][time= 539] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 4: addr 41, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 6: addr 43, state 0 -[DEBUG][time= 539] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 8: addr 46, state 0 -[DEBUG][time= 539] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 539] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 539] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 539] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 539] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 539] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 539] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 539] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 539] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 539] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 539] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 539] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[INFO ][time= 539] DecodeBuffer: out v:0 r:0 pc=00800000f8 -[INFO ][time= 539] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 539] DecodeBuffer: out v:0 r:0 pc=0080000100 -[ERROR][time= 539] Roq: CSR block should only happen in s_idle -[DEBUG][time= 539] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 539] Roq: writebacked 2 insts -[INFO ][time= 539] Roq: writebacked pc 0x0080000090 wen 1 data 0x0000000080000cd5 ldst 10 pdst 41 skip 0 -[INFO ][time= 539] Roq: writebacked pc 0x00800000a4 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 1 -[INFO ][time= 539] Roq: walked pc 00800000e8 wen 1 ldst 10 data 0000000080009000 -[INFO ][time= 539] Roq: walked pc 00800000e4 wen 1 ldst 0 data 0000000080009004 -[INFO ][time= 539] Roq: walked pc 00800000e0 wen 1 ldst 2 data 0000000000000000 -[INFO ][time= 539] Roq: walked pc 00800000dc wen 1 ldst 8 data 1c68c2a2cf0bb34c -[INFO ][time= 539] Roq: walked pc 00800000d8 wen 1 ldst 1 data 354a99e36ebef7b8 -[INFO ][time= 539] Roq: walked pc 00800000d4 wen 0 ldst 0 data 98f4ec07075c981d -[INFO ][time= 539] Roq: rolling back: head 14 tail 9 walk 1: 2 -[DEBUG][time= 539] Roq: head 0:14 tail 0: 9 -[DEBUG][time= 539] Roq: vvv------vwwvwvvvvvvvvvvvvvvvvvv -[DEBUG][time= 539] Roq: 00800000e0 v 00800000e4 v 00800000e8 v 008000000c - -[DEBUG][time= 539] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 539] Roq: 00800000a0 - 00800000a4 v 00800000a8 w 008000008c w -[DEBUG][time= 539] Roq: 0080000090 v 0080000094 w 0080000098 v 008000009c v -[DEBUG][time= 539] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 539] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 539] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc v -[DEBUG][time= 539] Roq: 00800000d0 v 00800000d4 v 00800000d8 v 00800000dc v -[DEBUG][time= 539] DispatchQueue_2: LsDpQ: num_deq = 3, head = ( 1 -> 4) -[DEBUG][time= 539] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 1), tail = (1, 4), -[DEBUG][time= 539] DispatchQueue: IntDpQ: num_deq = 4, head = (12 -> 0) -[DEBUG][time= 539] DispatchQueue: IntDpQ: valid_entries = 8, head = (0, 12), tail = (1, 4), -[DEBUG][time= 539] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 0 ptr 2 valid 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 539] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 539] LsExeUnit: LSU fire: pc 00800000a4 addr 000000004060000c mmio 1 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 539] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 539] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 2 stqCommited 0 emptySlot 0 -[DEBUG][time= 539] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483664------> s1 fire!!! -[DEBUG][time= 539] FakeCache: [Stage1_data] instr1:0x00001717 instr2:0xea070713 -[DEBUG][time= 539] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 539] FakeCache: [Stage2_data] instr1:0x0b513423 instr2:0x0b613023 -[DEBUG][time= 539] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 539] FakeCache: [Stage3_data] instr1:0x00008067 instr2:0xf2010113 -[DEBUG][time= 539] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 539] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000030 || if1_pcUpdate:1 if1_pc:0x0080000010 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 539] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000010 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 539] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 539] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 539] IFU: [IF3]if3_valid:0 || if3_pc:0x00800001ac if3_npc:0x0080000010 || if4_ready:1 -[DEBUG][time= 539] IFU: [IF4]if4_valid:0 || if4_pc:0x008000018c if4_npc:0x00800001ac -[DEBUG][time= 539] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000018c -[DEBUG][time= 539] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 539] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 539] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 539] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 539] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 539] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 539] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 539] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 539] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 539] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 539] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 539] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 539] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 539] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 539] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 539] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 539] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 539] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 539] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 539] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 539] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 539] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 539] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 539] IssueQueue_2: Deq:(1 1) [ 40|000000008000108c][ 0|fffffffffffffc49][ 0|0000000000000000] pdest: 41 pc:0080000090 roqIdx:0c -[DEBUG][time= 539] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(1 1) -[INFO ][time= 539] IssueQueue_2: FireStage:Out(1 1) src1( 40|000000008000108c) src2( 0|fffffffffffffc49) src3( 0|0000000000000000) deqFlush:0 pc:0080000090 roqIdx:12 -[DEBUG][time= 539] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 539] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 539] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 539] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 539] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 539] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 539] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 539] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 539] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 539] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 539] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 539] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 539] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 539] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 539] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 539] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 539] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 539] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 539] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 539] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 539] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 539] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 539] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 539] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 539] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 539] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 539] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 539] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 539] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 539] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 539] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 539] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 539] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 539] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 539] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 539] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 539] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 539] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 539] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 539] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 539] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 539] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 539] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 539] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 539] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 539] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 539] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 539] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 539] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 539] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 539] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 539] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 539] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 539] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 539] IssueQueue_7: Deq:(1 1) [ 38|0000000040600000][ 39|0000000000000003][ 0|0000000000000000] pdest: 32 pc:00800000a4 roqIdx:09 -[DEBUG][time= 539] IssueQueue_7: tailAll: 2 KID(001) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000001 moveDot:00000110 In(0 1) Out(1 1) -[INFO ][time= 539] IssueQueue_7: FireStage:Out(1 1) src1( 38|0000000040600000) src2( 39|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:00800000a4 roqIdx: 9 -[DEBUG][time= 539] IssueQueue_7: popOne:1 isPop:1 popSel:0 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000011 -[DEBUG][time= 539] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 539] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 539] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 539] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 539] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 539] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 539] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 539] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 539] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 539] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 539] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 539] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 539] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 539] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 539] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 539] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 539] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 539] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 539] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 539] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 539] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 539] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 539] FreeList_1: head:0: 11 tail:1: 8 -[DEBUG][time= 539] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 539] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 4 -[DEBUG][time= 539] Brq: ---------------- -[DEBUG][time= 539] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 539] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 539] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 539] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 539] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 539] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 540] Tage: req: pc=0x0080000050, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 540] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 540] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 540] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 004060000c| 0 1) -[DEBUG][time= 540] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 540] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 540] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 540] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 540] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 540] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 540] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 540] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 540] BusyTable_1: writeback 51 -[DEBUG][time= 540] BusyTable_1: writeback 50 -[DEBUG][time= 540] BusyTable_1: writeback 49 -[DEBUG][time= 540] BusyTable_1: writeback 48 -[DEBUG][time= 540] BusyTable_1: 43 is busy -[DEBUG][time= 540] BusyTable_1: 44 is busy -[DEBUG][time= 540] BusyTable_1: 45 is busy -[DEBUG][time= 540] BusyTable_1: 46 is busy -[DEBUG][time= 540] BusyTable_1: 47 is busy -[DEBUG][time= 540] BusyTable_1: 48 is busy -[DEBUG][time= 540] BusyTable_1: 49 is busy -[DEBUG][time= 540] BusyTable_1: 50 is busy -[DEBUG][time= 540] BusyTable_1: 51 is busy -[DEBUG][time= 540] BPUStage2: in:(1 1) pc=0080000030 out:(1 1) pc=0080000010 -[DEBUG][time= 540] BPUStage2: validLatch=1 pc=0080000010 -[DEBUG][time= 540] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 540] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 540] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 540] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 540] BPUStage1: in:(1 1) pc=0080000050 ghr=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 540] BPUStage1: outPred:(1) pc=0x0080000030, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 540] BPUStage3: [RAS]:pc=0x0080000010, rasWritePosition= 1, rasWriteAddr=0x008000018c -[DEBUG][time= 540] BPUStage3: in:(1 1) pc=0080000010 -[DEBUG][time= 540] BPUStage3: flushS3=1 -[DEBUG][time= 540] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 540] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=0 -[DEBUG][time= 540] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 540] JBTAC: read: pc=0x0080000050, histXORAddr=0x008000004e, bank=7, row= 4, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 540] JBTAC: read_resp: pc=0x0080000030, bank=7, row= 2, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 540] BTB: read: pc=0x0080000050, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 540] BTB: read_resp: pc=0x0080000030, readIdx= 24------------------------------- -[DEBUG][time= 540] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=6][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 540] BTB: bankIdxInOrder:[DEBUG][time= 540] BTB: 8 [DEBUG][time= 540] BTB: 9 [DEBUG][time= 540] BTB: 10 [DEBUG][time= 540] BTB: 11 [DEBUG][time= 540] BTB: 12 [DEBUG][time= 540] BTB: 13 [DEBUG][time= 540] BTB: 14 [DEBUG][time= 540] BTB: 15 [DEBUG][time= 540] BTB: 0 [DEBUG][time= 540] BTB: 1 [DEBUG][time= 540] BTB: 2 [DEBUG][time= 540] BTB: 3 [DEBUG][time= 540] BTB: 4 [DEBUG][time= 540] BTB: 5 [DEBUG][time= 540] BTB: 6 [DEBUG][time= 540] BTB: 7 [DEBUG][time= 540] BTB: -[INFO ][time= 540] Rename: int walk: pc:00800000cc ldst:15 old_pdest: 50 -[INFO ][time= 540] Rename: int walk: pc:00800000c8 ldst:15 old_pdest: 47 -[INFO ][time= 540] Rename: int walk: pc:00800000c4 ldst:14 old_pdest: 45 -[INFO ][time= 540] Rename: int walk: pc:00800000bc ldst: 8 old_pdest: 32 -[DEBUG][time= 540] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 0-th slot -[DEBUG][time= 540] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 1-th slot -[DEBUG][time= 540] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 2-th slot -[DEBUG][time= 540] Dispatch1: v:0 r:1 pc 0x00800000e0 of type 0011 is in 3-th slot -[DEBUG][time= 540] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 4-th slot -[DEBUG][time= 540] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 5-th slot -[DEBUG][time= 540] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 540] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 540] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 540] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 540] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 540] Dispatch2: regfile 0 from 0 -[DEBUG][time= 540] Dispatch2: regfile 1 from 0 -[DEBUG][time= 540] Dispatch2: regfile 2 from 1 -[DEBUG][time= 540] Dispatch2: regfile 3 from 1 -[DEBUG][time= 540] Dispatch2: regfile 4 from 2 -[DEBUG][time= 540] Dispatch2: regfile 5 from 2 -[DEBUG][time= 540] Dispatch2: regfile 6 from 3 -[DEBUG][time= 540] Dispatch2: regfile 7 from 3 -[DEBUG][time= 540] Dispatch2: int regfile 0: addr 41, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 1: addr 47, state 0 -[DEBUG][time= 540] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 4: addr 50, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 6: addr 51, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 9: addr 43, state 0 -[DEBUG][time= 540] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 540] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 540] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 540] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 540] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 540] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 540] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 540] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 540] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 540] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 540] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 540] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[INFO ][time= 540] DecodeBuffer: out v:0 r:0 pc=00800000f8 -[INFO ][time= 540] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 540] DecodeBuffer: out v:0 r:0 pc=0080000100 -[ERROR][time= 540] Roq: CSR block should only happen in s_idle -[DEBUG][time= 540] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 540] Roq: walked pc 00800000d0 wen 0 ldst 0 data 04a85b7425ff2f44 -[INFO ][time= 540] Roq: walked pc 00800000cc wen 1 ldst 15 data 14aebf80d4026f54 -[INFO ][time= 540] Roq: walked pc 00800000c8 wen 1 ldst 15 data 6a32e58cb45a9365 -[INFO ][time= 540] Roq: walked pc 00800000c4 wen 1 ldst 14 data d778a282da4f7138 -[INFO ][time= 540] Roq: walked pc 00800000c0 wen 0 ldst 0 data d990a6bfff0eb52d -[INFO ][time= 540] Roq: walked pc 00800000bc wen 1 ldst 8 data c38fe4e3d1a781e0 -[INFO ][time= 540] Roq: rolling back: head 14 tail 9 walk 0:28 -[DEBUG][time= 540] Roq: head 0:14 tail 0: 9 -[DEBUG][time= 540] Roq: ---------wwwwwvvvvvvvvvvvvvvv--- -[DEBUG][time= 540] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 540] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 540] Roq: 00800000a0 - 00800000a4 w 00800000a8 w 008000008c w -[DEBUG][time= 540] Roq: 0080000090 w 0080000094 w 0080000098 v 008000009c v -[DEBUG][time= 540] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 540] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc v -[DEBUG][time= 540] Roq: 00800000c0 v 00800000c4 v 00800000c8 v 00800000cc v -[DEBUG][time= 540] Roq: 00800000d0 v 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 540] DispatchQueue: IntDpQ: num_deq = 4, head = ( 0 -> 4) -[DEBUG][time= 540] DispatchQueue: IntDpQ: valid_entries = 4, head = (1, 0), tail = (1, 4), -[DEBUG][time= 540] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 0 -[DEBUG][time= 540] LsExeUnit: forwarding data from stq, addr 000000004060000c stqpos 0 bitpos 4 data 03 -[DEBUG][time= 540] LsExeUnit: sbuffer id 0 ptr 0 pc 00800000a4 addr 000000004060000c data 0303030303030303 func 08 wmask 000000000010000 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 540] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 540] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 540] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 540] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483696------> s1 fire!!! -[DEBUG][time= 540] FakeCache: [Stage1_data] instr1:0x00f73023 instr2:0x00d73423 -[DEBUG][time= 540] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 540] FakeCache: [Stage2_data] instr1:0x00001717 instr2:0xea070713 -[DEBUG][time= 540] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 540] FakeCache: [Stage3_data] instr1:0x00008067 instr2:0xf2010113 -[DEBUG][time= 540] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 540] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000050 || if1_pcUpdate:1 if1_pc:0x0080000030 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 540] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000030 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 540] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 540] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 540] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000010 if3_npc:0x0080000030 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 540] IFU: [IF4]if4_valid:0 || if4_pc:0x008000018c if4_npc:0x00800001ac -[DEBUG][time= 540] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000018c -[DEBUG][time= 540] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 540] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 540] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 540] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 540] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 540] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 540] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 540] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 540] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 540] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 540] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 540] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 540] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 540] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 540] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 540] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 540] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 540] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 540] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 540] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 540] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 540] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 540] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 540] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 540] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 540] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 540] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 540] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 540] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 540] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 540] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 540] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 540] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 540] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 540] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 540] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 540] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 540] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 540] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 540] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 540] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 540] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 540] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 540] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 540] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 540] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 540] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 540] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 540] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 540] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 540] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 540] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 540] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 540] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 540] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 540] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 540] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 540] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 540] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 540] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 540] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 540] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 540] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 540] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 540] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 540] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 540] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 540] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 540] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 540] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 540] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 540] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 540] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 540] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 540] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 540] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 540] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 540] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 540] IssueQueue_7: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000001 moveDot:00000010 In(0 1) Out(0 0) -[DEBUG][time= 540] IssueQueue_7: popOne:1 isPop:1 popSel:0 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 -[DEBUG][time= 540] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 540] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 540] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 540] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 540] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 540] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 540] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 540] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 540] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 540] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 540] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 540] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 540] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 540] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 540] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 540] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 540] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 540] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 540] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 540] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 540] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 540] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 540] FreeList_1: head:0: 11 tail:1: 8 -[DEBUG][time= 540] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 540] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 4 -[DEBUG][time= 540] Brq: ---------------- -[DEBUG][time= 540] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 540] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 540] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 540] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 540] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 540] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 541] Tage: req: pc=0x0080000070, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 541] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 541] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 541] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 004060000c| 0 1) -[DEBUG][time= 541] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 541] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 541] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 541] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 541] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 541] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 541] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 541] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 541] BusyTable_1: writeback 47 -[DEBUG][time= 541] BusyTable_1: writeback 46 -[DEBUG][time= 541] BusyTable_1: 43 is busy -[DEBUG][time= 541] BusyTable_1: 44 is busy -[DEBUG][time= 541] BusyTable_1: 45 is busy -[DEBUG][time= 541] BusyTable_1: 46 is busy -[DEBUG][time= 541] BusyTable_1: 47 is busy -[DEBUG][time= 541] BPUStage2: in:(1 1) pc=0080000050 out:(1 1) pc=0080000030 -[DEBUG][time= 541] BPUStage2: validLatch=1 pc=0080000030 -[DEBUG][time= 541] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 541] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 541] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 541] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 541] BPUStage1: in:(1 1) pc=0080000070 ghr=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 541] BPUStage1: outPred:(1) pc=0x0080000050, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 541] BPUStage3: [RAS]:pc=0x0080000030, rasWritePosition= 1, rasWriteAddr=0x0080000030 -[DEBUG][time= 541] BPUStage3: in:(1 1) pc=0080000030 -[DEBUG][time= 541] BPUStage3: out:1 pc=0080000010 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000030 -[DEBUG][time= 541] BPUStage3: flushS3=0 -[DEBUG][time= 541] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 541] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 541] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 541] JBTAC: read: pc=0x0080000070, histXORAddr=0x008000006e, bank=7, row= 6, hist=0000000000000000000000000000000000000000000000000000000000001111 -[DEBUG][time= 541] JBTAC: read_resp: pc=0x0080000050, bank=7, row= 4, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 541] Ibuffer: Enque: -[DEBUG][time= 541] Ibuffer: 00000000000000000001011100010111 -[DEBUG][time= 541] Ibuffer: 11101010000001110000011100010011 -[DEBUG][time= 541] Ibuffer: 00000000000000000000011110010011 -[DEBUG][time= 541] Ibuffer: 00000000010100000000100000010011 -[DEBUG][time= 541] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 541] Ibuffer: 00000000001001111000010100010011 -[DEBUG][time= 541] Ibuffer: 00000000001101111000010110010011 -[DEBUG][time= 541] Ibuffer: 00000000010001111000011000010011 -[DEBUG][time= 541] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 541] BTB: read: pc=0x0080000070, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 541] BTB: read_resp: pc=0x0080000050, readIdx= 40------------------------------- -[DEBUG][time= 541] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 541] BTB: bankIdxInOrder:[DEBUG][time= 541] BTB: 8 [DEBUG][time= 541] BTB: 9 [DEBUG][time= 541] BTB: 10 [DEBUG][time= 541] BTB: 11 [DEBUG][time= 541] BTB: 12 [DEBUG][time= 541] BTB: 13 [DEBUG][time= 541] BTB: 14 [DEBUG][time= 541] BTB: 15 [DEBUG][time= 541] BTB: 0 [DEBUG][time= 541] BTB: 1 [DEBUG][time= 541] BTB: 2 [DEBUG][time= 541] BTB: 3 [DEBUG][time= 541] BTB: 4 [DEBUG][time= 541] BTB: 5 [DEBUG][time= 541] BTB: 6 [DEBUG][time= 541] BTB: 7 [DEBUG][time= 541] BTB: -[INFO ][time= 541] Rename: int walk: pc:00800000b8 ldst:15 old_pdest: 44 -[INFO ][time= 541] Rename: int walk: pc:00800000ac ldst: 2 old_pdest: 36 -[DEBUG][time= 541] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 0-th slot -[DEBUG][time= 541] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 1-th slot -[DEBUG][time= 541] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 2-th slot -[DEBUG][time= 541] Dispatch1: v:0 r:1 pc 0x00800000e0 of type 0011 is in 3-th slot -[DEBUG][time= 541] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 4-th slot -[DEBUG][time= 541] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 5-th slot -[DEBUG][time= 541] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 541] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 541] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 541] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 541] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 541] Dispatch2: regfile 0 from 0 -[DEBUG][time= 541] Dispatch2: regfile 1 from 0 -[DEBUG][time= 541] Dispatch2: regfile 2 from 1 -[DEBUG][time= 541] Dispatch2: regfile 3 from 1 -[DEBUG][time= 541] Dispatch2: regfile 4 from 2 -[DEBUG][time= 541] Dispatch2: regfile 5 from 2 -[DEBUG][time= 541] Dispatch2: regfile 6 from 3 -[DEBUG][time= 541] Dispatch2: regfile 7 from 3 -[DEBUG][time= 541] Dispatch2: int regfile 0: addr 45, state 0 -[DEBUG][time= 541] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 6: addr 40, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 9: addr 43, state 0 -[DEBUG][time= 541] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 541] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 541] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 541] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 541] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 541] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 541] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 541] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 541] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 541] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 541] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 541] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[INFO ][time= 541] DecodeBuffer: out v:0 r:0 pc=00800000f8 -[INFO ][time= 541] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 541] DecodeBuffer: out v:0 r:0 pc=0080000100 -[ERROR][time= 541] Roq: CSR block should only happen in s_idle -[DEBUG][time= 541] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 541] Roq: walked pc 00800000b8 wen 1 ldst 15 data 00000000591ea2fd -[INFO ][time= 541] Roq: walked pc 00800000b4 wen 0 ldst 0 data 0f1b316d28f507ae -[INFO ][time= 541] Roq: walked pc 00800000b0 wen 0 ldst 0 data 52a9bf6dd6a01910 -[INFO ][time= 541] Roq: walked pc 00800000ac wen 1 ldst 2 data c4cb6f1ec27112f1 -[INFO ][time= 541] Roq: walked pc 00800000a8 wen 1 ldst 0 data 2a083d0ba8e3b0d3 -[INFO ][time= 541] Roq: walked pc 00800000a4 wen 0 ldst 0 data 3b2c06f87ac8f439 -[INFO ][time= 541] Roq: rolling back: head 14 tail 9 walk 0:22 -[DEBUG][time= 541] Roq: head 0:14 tail 0: 9 -[DEBUG][time= 541] Roq: ---------wwwwwvvvvvvvvv--------- -[DEBUG][time= 541] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 541] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 541] Roq: 00800000a0 - 00800000a4 w 00800000a8 w 008000008c w -[DEBUG][time= 541] Roq: 0080000090 w 0080000094 w 0080000098 v 008000009c v -[DEBUG][time= 541] Roq: 00800000a0 v 00800000a4 v 00800000a8 v 00800000ac v -[DEBUG][time= 541] Roq: 00800000b0 v 00800000b4 v 00800000b8 v 00800000bc - -[DEBUG][time= 541] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 541] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 541] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 0 -[DEBUG][time= 541] LsExeUnit: forwarding data from stq, addr 000000004060000c stqpos 0 bitpos 4 data 03 -[DEBUG][time= 541] LsExeUnit: sbuffer id 0 ptr 0 pc 00800000a4 addr 000000004060000c data 0303030303030303 func 08 wmask 000000000010000 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 541] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 541] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 541] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 541] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483728------> s1 fire!!! -[DEBUG][time= 541] FakeCache: [Stage1_data] instr1:0x00000513 instr2:0x00008067 -[DEBUG][time= 541] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 541] FakeCache: [Stage2_data] instr1:0x00f73023 instr2:0x00d73423 -[DEBUG][time= 541] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 541] FakeCache: [Stage3_data] instr1:0x00001717 instr2:0xea070713 -[DEBUG][time= 541] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 541] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000070 || if1_pcUpdate:1 if1_pc:0x0080000050 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 541] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000050 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 541] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 541] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 541] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000030 if3_npc:0x0080000050 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 541] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000010 if4_npc:0x0080000030 -[DEBUG][time= 541] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000030 -[DEBUG][time= 541] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] starPC:0x0080000010 GroupPC:0x0080000000n -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction 00001717 pnpc:0x0080000014 -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction ea070713 pnpc:0x0080000018 -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction 00000793 pnpc:0x008000001c -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction 00500813 pnpc:0x0080000020 -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000024 -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction 00278513 pnpc:0x0080000028 -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction 00378593 pnpc:0x008000002c -[DEBUG][time= 541] IFU: [IFU-Out-FetchPacket] instruction 00478613 pnpc:0x0080000030 -[DEBUG][time= 541] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 541] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 541] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 541] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 541] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 541] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 541] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 541] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 541] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 541] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 541] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 541] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 541] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 541] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 541] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 541] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 541] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 541] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 541] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 541] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 541] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 541] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 541] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 541] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 541] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 541] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 541] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 541] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 541] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 541] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 541] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 541] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 541] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 541] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 541] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 541] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 541] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 541] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 541] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 541] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 541] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 541] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 541] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 541] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 541] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 541] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 541] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 541] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 541] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 541] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 541] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 541] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 541] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 541] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 541] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 541] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 541] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 541] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 541] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 541] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 541] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 541] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 541] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 541] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 541] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 541] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 541] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 541] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 541] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 541] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 541] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 541] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 541] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 541] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 541] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 541] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 541] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 541] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 541] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 541] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 541] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 541] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 541] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 541] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 541] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 541] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 541] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 541] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 541] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 541] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 541] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 541] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 541] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 541] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 541] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 541] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 541] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 541] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 541] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 541] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 541] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 541] FreeList_1: head:0: 11 tail:1: 8 -[DEBUG][time= 541] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 541] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 4 -[DEBUG][time= 541] Brq: ---------------- -[DEBUG][time= 541] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 541] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 541] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 541] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 541] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 541] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 4 -[DEBUG][time= 542] Tage: req: pc=0x008000009c, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 542] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 542] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 542] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 004060000c| 0 1) -[DEBUG][time= 542] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 542] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 542] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 542] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 542] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 542] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 542] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 542] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 542] BusyTable_1: writeback 45 -[DEBUG][time= 542] BusyTable_1: writeback 44 -[DEBUG][time= 542] BusyTable_1: writeback 43 -[DEBUG][time= 542] BusyTable_1: 43 is busy -[DEBUG][time= 542] BusyTable_1: 44 is busy -[DEBUG][time= 542] BusyTable_1: 45 is busy -[DEBUG][time= 542] BPUStage2: in:(1 1) pc=0080000070 out:(1 1) pc=0080000050 -[DEBUG][time= 542] BPUStage2: validLatch=1 pc=0080000050 -[DEBUG][time= 542] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 542] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 542] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 542] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 542] BPUStage1: in:(1 1) pc=008000009c ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 542] BPUStage1: outPred:(1) pc=0x0080000070, redirect=1 instrValid=01111111 tgt=008000009c -[DEBUG][time= 542] BPUStage3: [RAS]:pc=0x0080000050, rasWritePosition= 1, rasWriteAddr=0x0080000050 -[DEBUG][time= 542] BPUStage3: in:(1 1) pc=0080000050 -[DEBUG][time= 542] BPUStage3: out:1 pc=0080000030 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000050 -[DEBUG][time= 542] BPUStage3: flushS3=0 -[DEBUG][time= 542] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 542] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 542] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 542] JBTAC: read: pc=0x008000009c, histXORAddr=0x00800000a2, bank=1, row= 10, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 542] JBTAC: read_resp: pc=0x0080000070, bank=7, row= 6, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 542] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 542] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 542] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 542] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 542] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 542] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 542] Ibuffer: Enque: -[DEBUG][time= 542] Ibuffer: 00000000111101110011000000100011 -[DEBUG][time= 542] Ibuffer: 00000000110101110011010000100011 -[DEBUG][time= 542] Ibuffer: 00000000101001110011100000100011 -[DEBUG][time= 542] Ibuffer: 00000000101101110011110000100011 -[DEBUG][time= 542] Ibuffer: 00000010110001110011000000100011 -[DEBUG][time= 542] Ibuffer: 00000000000001101000011110010011 -[DEBUG][time= 542] Ibuffer: 00000010100001110000011100010011 -[DEBUG][time= 542] Ibuffer: 11111101000001101001101011100011 -[DEBUG][time= 542] Ibuffer: Deque: -[DEBUG][time= 542] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=0 -[DEBUG][time= 542] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=0 -[DEBUG][time= 542] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=0 -[DEBUG][time= 542] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=0 -[DEBUG][time= 542] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=0 -[DEBUG][time= 542] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=0 -[DEBUG][time= 542] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 542] BTB: read: pc=0x008000009c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 542] BTB: read_resp: pc=0x0080000070, readIdx= 56------------------------------- -[DEBUG][time= 542] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400000, target=0x008000009c, type=1, ctr=2 -[DEBUG][time= 542] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=12][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 542] BTB: bankIdxInOrder:[DEBUG][time= 542] BTB: 8 [DEBUG][time= 542] BTB: 9 [DEBUG][time= 542] BTB: 10 [DEBUG][time= 542] BTB: 11 [DEBUG][time= 542] BTB: 12 [DEBUG][time= 542] BTB: 13 [DEBUG][time= 542] BTB: 14 [DEBUG][time= 542] BTB: 15 [DEBUG][time= 542] BTB: 0 [DEBUG][time= 542] BTB: 1 [DEBUG][time= 542] BTB: 2 [DEBUG][time= 542] BTB: 3 [DEBUG][time= 542] BTB: 4 [DEBUG][time= 542] BTB: 5 [DEBUG][time= 542] BTB: 6 [DEBUG][time= 542] BTB: 7 [DEBUG][time= 542] BTB: -[INFO ][time= 542] Rename: int walk: pc:00800000a0 ldst:14 old_pdest: 39 -[INFO ][time= 542] Rename: int walk: pc:008000009c ldst:15 old_pdest: 38 -[INFO ][time= 542] Rename: int walk: pc:0080000098 ldst: 1 old_pdest: 42 -[DEBUG][time= 542] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 0-th slot -[DEBUG][time= 542] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 1-th slot -[DEBUG][time= 542] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 2-th slot -[DEBUG][time= 542] Dispatch1: v:0 r:1 pc 0x00800000e0 of type 0011 is in 3-th slot -[DEBUG][time= 542] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 4-th slot -[DEBUG][time= 542] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 5-th slot -[DEBUG][time= 542] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 542] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 542] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 542] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 542] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 542] Dispatch2: regfile 0 from 0 -[DEBUG][time= 542] Dispatch2: regfile 1 from 0 -[DEBUG][time= 542] Dispatch2: regfile 2 from 1 -[DEBUG][time= 542] Dispatch2: regfile 3 from 1 -[DEBUG][time= 542] Dispatch2: regfile 4 from 2 -[DEBUG][time= 542] Dispatch2: regfile 5 from 2 -[DEBUG][time= 542] Dispatch2: regfile 6 from 3 -[DEBUG][time= 542] Dispatch2: regfile 7 from 3 -[DEBUG][time= 542] Dispatch2: int regfile 0: addr 45, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 6: addr 40, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 9: addr 43, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 542] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 542] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 542] DecodeBuffer: in v:1 r:0 pc=0080000010 -[INFO ][time= 542] DecodeBuffer: in v:0 r:0 pc=0080000010 -[INFO ][time= 542] DecodeBuffer: in v:0 r:0 pc=0080000010 -[INFO ][time= 542] DecodeBuffer: in v:0 r:0 pc=0080000010 -[INFO ][time= 542] DecodeBuffer: in v:0 r:0 pc=0080000010 -[INFO ][time= 542] DecodeBuffer: in v:0 r:0 pc=0080000010 -[INFO ][time= 542] DecodeBuffer: out v:0 r:0 pc=00800000ec -[INFO ][time= 542] DecodeBuffer: out v:0 r:0 pc=00800000f0 -[INFO ][time= 542] DecodeBuffer: out v:0 r:0 pc=00800000f4 -[INFO ][time= 542] DecodeBuffer: out v:0 r:0 pc=00800000f8 -[INFO ][time= 542] DecodeBuffer: out v:0 r:0 pc=00800000fc -[INFO ][time= 542] DecodeBuffer: out v:0 r:0 pc=0080000100 -[ERROR][time= 542] Roq: CSR block should only happen in s_idle -[DEBUG][time= 542] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 542] Roq: walked pc 00800000a0 wen 1 ldst 14 data bc976fc1d239e13d -[INFO ][time= 542] Roq: walked pc 008000009c wen 1 ldst 15 data 617691e7ef800c75 -[INFO ][time= 542] Roq: walked pc 0080000098 wen 1 ldst 1 data 4e75619b606735e4 -[INFO ][time= 542] Roq: rolling back: head 14 tail 9 walk 0:16 -[DEBUG][time= 542] Roq: head 0:14 tail 0: 9 -[DEBUG][time= 542] Roq: ---------wwwwwvvv--------------- -[DEBUG][time= 542] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 542] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 542] Roq: 00800000a0 - 00800000a4 w 00800000a8 w 008000008c w -[DEBUG][time= 542] Roq: 0080000090 w 0080000094 w 0080000098 v 008000009c v -[DEBUG][time= 542] Roq: 00800000a0 v 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 542] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 542] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 542] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 542] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 0 -[DEBUG][time= 542] LsExeUnit: forwarding data from stq, addr 000000004060000c stqpos 0 bitpos 4 data 03 -[DEBUG][time= 542] LsExeUnit: sbuffer id 0 ptr 0 pc 00800000a4 addr 000000004060000c data 0303030303030303 func 08 wmask 000000000010000 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 542] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 542] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 542] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 542] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483760------> s1 fire!!! -[DEBUG][time= 542] FakeCache: [Stage1_data] instr1:0x00001517 instr2:0xc5050513 -[DEBUG][time= 542] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 542] FakeCache: [Stage2_data] instr1:0x00000513 instr2:0x00008067 -[DEBUG][time= 542] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 542] FakeCache: [Stage3_data] instr1:0x00f73023 instr2:0x00d73423 -[DEBUG][time= 542] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 542] IFU: [IF1]if1_valid:1 || if1_npc:0x008000009c || if1_pcUpdate:1 if1_pc:0x0080000070 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 542] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000070 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 542] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 542] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:01111111 || if2_btb_target:0x008000009c -[DEBUG][time= 542] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000050 if3_npc:0x0080000070 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 542] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000030 if4_npc:0x0080000050 -[DEBUG][time= 542] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000050 -[DEBUG][time= 542] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] starPC:0x0080000030 GroupPC:0x0080000020n -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction 00f73023 pnpc:0x0080000034 -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction 00d73423 pnpc:0x0080000038 -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction 00a73823 pnpc:0x008000003c -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction 00b73c23 pnpc:0x0080000040 -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction 02c73023 pnpc:0x0080000044 -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction 00068793 pnpc:0x0080000048 -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction 02870713 pnpc:0x008000004c -[DEBUG][time= 542] IFU: [IFU-Out-FetchPacket] instruction fd069ae3 pnpc:0x0080000050 -[DEBUG][time= 542] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 542] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 542] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 542] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 542] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 542] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 542] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 542] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 542] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 542] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 542] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 542] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 542] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 542] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 542] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 542] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 542] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 542] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 542] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 542] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 542] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 542] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 542] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 542] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 542] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 542] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 542] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 542] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 542] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 542] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 542] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 542] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 542] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 542] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 542] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 542] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 542] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 542] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 542] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 542] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 542] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 542] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 542] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 542] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 542] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 542] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 542] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 542] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 542] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 542] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 542] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 542] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 542] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 542] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 542] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 542] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 542] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 542] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 542] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 542] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 542] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 542] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 542] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 542] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 542] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 542] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 542] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 542] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 542] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 542] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 542] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 542] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 542] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 542] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 542] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 542] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 542] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 542] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 542] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 542] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 542] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 542] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 542] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 542] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 542] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 542] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 542] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 542] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 542] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 542] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 542] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 542] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 542] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 542] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 542] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 542] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 542] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 542] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 542] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 542] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 542] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 542] FreeList_1: head:0: 11 tail:1: 8 -[DEBUG][time= 542] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 542] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 4 -[DEBUG][time= 542] Brq: ---------------- -[DEBUG][time= 542] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 4 -[DEBUG][time= 542] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 4 -[DEBUG][time= 542] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 4 -[DEBUG][time= 542] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 4 -[DEBUG][time= 542] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 4 -[DEBUG][time= 542] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 4 -[DEBUG][time= 543] Tage: req: pc=0x00800000bc, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 543] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 543] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 543] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 004060000c| 0 1) -[DEBUG][time= 543] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 543] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 543] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 543] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 543] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 543] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 543] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 543] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 543] BPUStage2: in:(1 1) pc=008000009c out:(1 1) pc=0080000070 -[DEBUG][time= 543] BPUStage2: validLatch=1 pc=0080000070 -[DEBUG][time= 543] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 543] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 543] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 543] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 543] BPUStage1: in:(1 1) pc=00800000bc ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 543] BPUStage1: outPred:(1) pc=0x008000009c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 543] BPUStage3: [RAS]:pc=0x0080000070, rasWritePosition= 1, rasWriteAddr=0x0080000070 -[DEBUG][time= 543] BPUStage3: in:(1 1) pc=0080000070 -[DEBUG][time= 543] BPUStage3: out:1 pc=0080000050 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000070 -[DEBUG][time= 543] BPUStage3: flushS3=0 -[DEBUG][time= 543] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 543] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=1 -[DEBUG][time= 543] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 543] JBTAC: read: pc=0x00800000bc, histXORAddr=0x0080000082, bank=1, row= 8, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 543] JBTAC: read_resp: pc=0x008000009c, bank=1, row= 10, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 543] Frontend: inst:00001717 pc:0080000010 -[INFO ][time= 543] Frontend: inst:ea070713 pc:0080000014 -[INFO ][time= 543] Frontend: inst:00000793 pc:0080000018 -[INFO ][time= 543] Frontend: inst:00500813 pc:008000001c -[INFO ][time= 543] Frontend: inst:00178693 pc:0080000020 -[INFO ][time= 543] Frontend: inst:00278513 pc:0080000024 -[DEBUG][time= 543] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000010 instr:00001717 -[DEBUG][time= 543] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000014 instr:ea070713 -[DEBUG][time= 543] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000018 instr:00000793 -[DEBUG][time= 543] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000001c instr:00500813 -[DEBUG][time= 543] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000020 instr:00178693 -[DEBUG][time= 543] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000024 instr:00278513 -[DEBUG][time= 543] Ibuffer: Enque: -[DEBUG][time= 543] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 543] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 543] Ibuffer: 00000101010000000000000001101111 -[DEBUG][time= 543] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 543] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 543] Ibuffer: 00000000000001010000010110010011 -[DEBUG][time= 543] Ibuffer: 00000000000001010000010100010011 -[DEBUG][time= 543] Ibuffer: 00000000000001010000000001101011 -[DEBUG][time= 543] Ibuffer: Deque: -[DEBUG][time= 543] Ibuffer: 00000000000000000001011100010111 PC=0080000010 v=1 r=1 -[DEBUG][time= 543] Ibuffer: 11101010000001110000011100010011 PC=0080000014 v=1 r=1 -[DEBUG][time= 543] Ibuffer: 00000000000000000000011110010011 PC=0080000018 v=1 r=1 -[DEBUG][time= 543] Ibuffer: 00000000010100000000100000010011 PC=008000001c v=1 r=1 -[DEBUG][time= 543] Ibuffer: 00000000000101111000011010010011 PC=0080000020 v=1 r=1 -[DEBUG][time= 543] Ibuffer: 00000000001001111000010100010011 PC=0080000024 v=1 r=1 -[DEBUG][time= 543] Ibuffer: last_head_ptr= 0 last_tail_ptr= 32 -[DEBUG][time= 543] BTB: read: pc=0x00800000bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 543] BTB: read_resp: pc=0x008000009c, readIdx= 78------------------------------- -[DEBUG][time= 543] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 543] BTB: bankIdxInOrder:[DEBUG][time= 543] BTB: 14 [DEBUG][time= 543] BTB: 15 [DEBUG][time= 543] BTB: 0 [DEBUG][time= 543] BTB: 1 [DEBUG][time= 543] BTB: 2 [DEBUG][time= 543] BTB: 3 [DEBUG][time= 543] BTB: 4 [DEBUG][time= 543] BTB: 5 [DEBUG][time= 543] BTB: 6 [DEBUG][time= 543] BTB: 7 [DEBUG][time= 543] BTB: 8 [DEBUG][time= 543] BTB: 9 [DEBUG][time= 543] BTB: 10 [DEBUG][time= 543] BTB: 11 [DEBUG][time= 543] BTB: 12 [DEBUG][time= 543] BTB: 13 [DEBUG][time= 543] BTB: -[INFO ][time= 543] Rename: pc:00800000ec in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:1 -[INFO ][time= 543] Rename: pc:00800000f0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 543] Rename: pc:00800000f4 in v:0 in rdy:1 lsrc1:11 -> psrc1: 11 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 543] Rename: pc:00800000f8 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 36 out v:0 r:1 -[INFO ][time= 543] Rename: pc:00800000fc in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 543] Rename: pc:0080000100 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 9 -> psrc2: 9 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 543] Rename: int rat arch: ldest:10 pdest: 40 -[INFO ][time= 543] Rename: int rat arch: ldest:10 pdest: 41 -[INFO ][time= 543] Rename: int rat arch: ldest: 1 pdest: 42 -[DEBUG][time= 543] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 0-th slot -[DEBUG][time= 543] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 1-th slot -[DEBUG][time= 543] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 2-th slot -[DEBUG][time= 543] Dispatch1: v:0 r:1 pc 0x00800000e0 of type 0011 is in 3-th slot -[DEBUG][time= 543] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 4-th slot -[DEBUG][time= 543] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 5-th slot -[DEBUG][time= 543] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 543] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 543] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 543] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 543] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 543] Dispatch2: regfile 0 from 0 -[DEBUG][time= 543] Dispatch2: regfile 1 from 0 -[DEBUG][time= 543] Dispatch2: regfile 2 from 1 -[DEBUG][time= 543] Dispatch2: regfile 3 from 1 -[DEBUG][time= 543] Dispatch2: regfile 4 from 2 -[DEBUG][time= 543] Dispatch2: regfile 5 from 2 -[DEBUG][time= 543] Dispatch2: regfile 6 from 3 -[DEBUG][time= 543] Dispatch2: regfile 7 from 3 -[DEBUG][time= 543] Dispatch2: int regfile 0: addr 45, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 6: addr 40, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 9: addr 43, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 543] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 543] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 543] DecodeBuffer: in v:1 r:1 pc=0080000010 -[INFO ][time= 543] DecodeBuffer: in v:1 r:1 pc=0080000014 -[INFO ][time= 543] DecodeBuffer: in v:1 r:1 pc=0080000018 -[INFO ][time= 543] DecodeBuffer: in v:1 r:1 pc=008000001c -[INFO ][time= 543] DecodeBuffer: in v:1 r:1 pc=0080000020 -[INFO ][time= 543] DecodeBuffer: in v:1 r:1 pc=0080000024 -[INFO ][time= 543] DecodeBuffer: out v:0 r:1 pc=00800000ec -[INFO ][time= 543] DecodeBuffer: out v:0 r:1 pc=00800000f0 -[INFO ][time= 543] DecodeBuffer: out v:0 r:1 pc=00800000f4 -[INFO ][time= 543] DecodeBuffer: out v:0 r:1 pc=00800000f8 -[INFO ][time= 543] DecodeBuffer: out v:0 r:1 pc=00800000fc -[INFO ][time= 543] DecodeBuffer: out v:0 r:1 pc=0080000100 -[ERROR][time= 543] Roq: CSR block should only happen in s_idle -[DEBUG][time= 543] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 543] Roq: retired pc 00800000a4 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 543] Roq: difftest skiped pc0x00800000a4 -[INFO ][time= 543] Roq: retired pc 00800000a8 wen 1 ldst 0 data 00000000800000ac -[INFO ][time= 543] Roq: retired pc 008000008c wen 1 ldst 10 data 000000008000108c -[INFO ][time= 543] Roq: retired pc 0080000090 wen 1 ldst 10 data 0000000080000cd5 -[INFO ][time= 543] Roq: retired pc 0080000094 wen 1 ldst 1 data 0000000080000098 -[INFO ][time= 543] Roq: retired 5 insts -[DEBUG][time= 543] Roq: head 0:14 tail 0: 9 -[DEBUG][time= 543] Roq: ---------wwwww------------------ -[DEBUG][time= 543] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 543] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 543] Roq: 00800000a0 - 00800000a4 w 00800000a8 w 008000008c w -[DEBUG][time= 543] Roq: 0080000090 w 0080000094 w 0080000098 - 008000009c - -[DEBUG][time= 543] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 543] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 543] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 543] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 543] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 0 -[DEBUG][time= 543] LsExeUnit: forwarding data from stq, addr 000000004060000c stqpos 0 bitpos 4 data 03 -[DEBUG][time= 543] LsExeUnit: sbuffer id 0 ptr 0 pc 00800000a4 addr 000000004060000c data 0303030303030303 func 08 wmask 000000000010000 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 543] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 543] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 543] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 543] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483804------> s1 fire!!! -[DEBUG][time= 543] FakeCache: [Stage1_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 543] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 543] FakeCache: [Stage2_data] instr1:0x00001517 instr2:0xc5050513 -[DEBUG][time= 543] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 543] FakeCache: [Stage3_data] instr1:0x00000513 instr2:0x00008067 -[DEBUG][time= 543] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 543] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000bc || if1_pcUpdate:1 if1_pc:0x008000009c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 543] IFU: [IF2]if2_valid:1 || if2_pc:0x008000009c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 543] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 543] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 543] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000070 if3_npc:0x008000009c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 543] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000050 if4_npc:0x0080000070 -[DEBUG][time= 543] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000070 -[DEBUG][time= 543] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] starPC:0x0080000050 GroupPC:0x0080000040n -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000054 -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000058 -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction 0540006f pnpc:0x008000005c -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000060 -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000064 -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction 00050593 pnpc:0x0080000068 -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction 00050513 pnpc:0x008000006c -[DEBUG][time= 543] IFU: [IFU-Out-FetchPacket] instruction 0005006b pnpc:0x0080000070 -[DEBUG][time= 543] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 543] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 543] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 543] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 543] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 543] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 543] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 543] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 543] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 543] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 543] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 543] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 543] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 543] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 543] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 543] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 543] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 543] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 543] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 543] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 543] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 543] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 543] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 543] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 543] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 543] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 543] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 543] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 543] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 543] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 543] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 543] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 543] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 543] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 543] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 543] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 543] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 543] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 543] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 543] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 543] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 543] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 543] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 543] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 543] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 543] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 543] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 543] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 543] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 543] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 543] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 543] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 543] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 543] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 543] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 543] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 543] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 543] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 543] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 543] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 543] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 543] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 543] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 543] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 543] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 543] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 543] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 543] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 543] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 543] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 543] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 543] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 543] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 543] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 543] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 543] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 543] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 543] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 543] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 543] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 543] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 543] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 543] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 543] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 543] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 543] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 543] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 543] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 543] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 543] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 543] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 543] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 543] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 543] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 543] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 543] FreeList_1: dealloc preg: 10 -[DEBUG][time= 543] FreeList_1: dealloc preg: 40 -[DEBUG][time= 543] FreeList_1: dealloc preg: 37 -[DEBUG][time= 543] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 543] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 543] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 543] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 543] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 543] FreeList_1: req:0 canAlloc:1 pdest: 43 headNext:0: 11 -[DEBUG][time= 543] FreeList_1: head:0: 11 tail:1: 8 -[DEBUG][time= 543] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 543] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 4 -[DEBUG][time= 543] Brq: ---------------- -[DEBUG][time= 543] Brq: enq v:0 rdy:1 pc:0080000010 brTag:f:0 v: 4 -[DEBUG][time= 543] Brq: enq v:0 rdy:1 pc:0080000014 brTag:f:0 v: 4 -[DEBUG][time= 543] Brq: enq v:0 rdy:1 pc:0080000018 brTag:f:0 v: 4 -[DEBUG][time= 543] Brq: enq v:0 rdy:1 pc:008000001c brTag:f:0 v: 4 -[DEBUG][time= 543] Brq: enq v:0 rdy:1 pc:0080000020 brTag:f:0 v: 4 -[DEBUG][time= 543] Brq: enq v:0 rdy:1 pc:0080000024 brTag:f:0 v: 4 -[DEBUG][time= 544] Tage: req: pc=0x00800000dc, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 544] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 544] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 544] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 004060000c| 0 1) -[DEBUG][time= 544] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 544] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 544] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 544] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 544] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 544] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 544] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 544] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 544] BusyTable_1: Allocate 43 -[DEBUG][time= 544] BusyTable_1: Allocate 44 -[DEBUG][time= 544] BusyTable_1: Allocate 45 -[DEBUG][time= 544] BusyTable_1: Allocate 46 -[DEBUG][time= 544] BusyTable_1: Allocate 47 -[DEBUG][time= 544] BusyTable_1: Allocate 48 -[DEBUG][time= 544] BPUStage2: in:(1 1) pc=00800000bc out:(1 1) pc=008000009c -[DEBUG][time= 544] BPUStage2: validLatch=1 pc=008000009c -[DEBUG][time= 544] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 544] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 544] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 544] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 544] BPUStage1: in:(1 1) pc=00800000dc ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 544] BPUStage1: outPred:(1) pc=0x00800000bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 544] BPUStage3: [RAS]:pc=0x008000009c, rasWritePosition= 1, rasWriteAddr=0x008000008c -[DEBUG][time= 544] BPUStage3: in:(1 1) pc=008000009c -[DEBUG][time= 544] BPUStage3: out:1 pc=0080000070 redirect=0 predcdMask=11111111 instrValid=01111111 tgt=008000009c -[DEBUG][time= 544] BPUStage3: flushS3=0 -[DEBUG][time= 544] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 544] BPUStage3: jmpIdx=6, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=6 retIdx=7 -[DEBUG][time= 544] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=1 -[DEBUG][time= 544] JBTAC: read: pc=0x00800000dc, histXORAddr=0x00800000e2, bank=1, row= 14, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 544] JBTAC: read_resp: pc=0x00800000bc, bank=1, row= 8, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 544] Frontend: inst:00378593 pc:0080000028 -[INFO ][time= 544] Frontend: inst:00478613 pc:008000002c -[INFO ][time= 544] Frontend: inst:00f73023 pc:0080000030 -[INFO ][time= 544] Frontend: inst:00d73423 pc:0080000034 -[INFO ][time= 544] Frontend: inst:00a73823 pc:0080000038 -[INFO ][time= 544] Frontend: inst:00b73c23 pc:008000003c -[DEBUG][time= 544] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000028 instr:00378593 -[DEBUG][time= 544] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000002c instr:00478613 -[DEBUG][time= 544] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000030 instr:00f73023 -[DEBUG][time= 544] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000034 instr:00d73423 -[DEBUG][time= 544] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000038 instr:00a73823 -[DEBUG][time= 544] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:008000003c instr:00b73c23 -[DEBUG][time= 544] Ibuffer: Enque: -[DEBUG][time= 544] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 544] Ibuffer: 11000101000001010000010100010011 -[DEBUG][time= 544] Ibuffer: 00111101010100000000000011101111 -[DEBUG][time= 544] Ibuffer: 00000000000000000000000001101111 -[DEBUG][time= 544] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 544] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 544] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 544] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 544] Ibuffer: Deque: -[DEBUG][time= 544] Ibuffer: 00000000001101111000010110010011 PC=0080000028 v=1 r=1 -[DEBUG][time= 544] Ibuffer: 00000000010001111000011000010011 PC=008000002c v=1 r=1 -[DEBUG][time= 544] Ibuffer: 00000000111101110011000000100011 PC=0080000030 v=1 r=1 -[DEBUG][time= 544] Ibuffer: 00000000110101110011010000100011 PC=0080000034 v=1 r=1 -[DEBUG][time= 544] Ibuffer: 00000000101001110011100000100011 PC=0080000038 v=1 r=1 -[DEBUG][time= 544] Ibuffer: 00000000101101110011110000100011 PC=008000003c v=1 r=1 -[DEBUG][time= 544] Ibuffer: last_head_ptr= 12 last_tail_ptr= 48 -[DEBUG][time= 544] BTB: read: pc=0x00800000dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 544] BTB: read_resp: pc=0x00800000bc, readIdx= 94------------------------------- -[DEBUG][time= 544] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 544] BTB: bankIdxInOrder:[DEBUG][time= 544] BTB: 14 [DEBUG][time= 544] BTB: 15 [DEBUG][time= 544] BTB: 0 [DEBUG][time= 544] BTB: 1 [DEBUG][time= 544] BTB: 2 [DEBUG][time= 544] BTB: 3 [DEBUG][time= 544] BTB: 4 [DEBUG][time= 544] BTB: 5 [DEBUG][time= 544] BTB: 6 [DEBUG][time= 544] BTB: 7 [DEBUG][time= 544] BTB: 8 [DEBUG][time= 544] BTB: 9 [DEBUG][time= 544] BTB: 10 [DEBUG][time= 544] BTB: 11 [DEBUG][time= 544] BTB: 12 [DEBUG][time= 544] BTB: 13 [DEBUG][time= 544] BTB: -[INFO ][time= 544] Rename: pc:0080000010 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 43 old_pdest: 39 out v:1 r:1 -[INFO ][time= 544] Rename: pc:0080000014 in v:1 in rdy:1 lsrc1:14 -> psrc1: 43 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 44 old_pdest: 43 out v:1 r:1 -[INFO ][time= 544] Rename: pc:0080000018 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 45 old_pdest: 38 out v:1 r:1 -[INFO ][time= 544] Rename: pc:008000001c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:16 -> pdest: 46 old_pdest: 16 out v:1 r:1 -[INFO ][time= 544] Rename: pc:0080000020 in v:1 in rdy:1 lsrc1:15 -> psrc1: 45 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 47 old_pdest: 13 out v:1 r:1 -[INFO ][time= 544] Rename: pc:0080000024 in v:1 in rdy:1 lsrc1:15 -> psrc1: 45 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 48 old_pdest: 41 out v:1 r:1 -[DEBUG][time= 544] Dispatch1: v:0 r:1 pc 0x00800000d4 of type 1101 is in 0-th slot -[DEBUG][time= 544] Dispatch1: v:0 r:1 pc 0x00800000d8 of type 1100 is in 1-th slot -[DEBUG][time= 544] Dispatch1: v:0 r:1 pc 0x00800000dc of type 1100 is in 2-th slot -[DEBUG][time= 544] Dispatch1: v:0 r:1 pc 0x00800000e0 of type 0011 is in 3-th slot -[DEBUG][time= 544] Dispatch1: v:0 r:1 pc 0x00800000e4 of type 0000 is in 4-th slot -[DEBUG][time= 544] Dispatch1: v:0 r:1 pc 0x00800000e8 of type 0011 is in 5-th slot -[DEBUG][time= 544] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 544] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 544] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 544] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 544] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 544] Dispatch2: regfile 0 from 0 -[DEBUG][time= 544] Dispatch2: regfile 1 from 0 -[DEBUG][time= 544] Dispatch2: regfile 2 from 1 -[DEBUG][time= 544] Dispatch2: regfile 3 from 1 -[DEBUG][time= 544] Dispatch2: regfile 4 from 2 -[DEBUG][time= 544] Dispatch2: regfile 5 from 2 -[DEBUG][time= 544] Dispatch2: regfile 6 from 3 -[DEBUG][time= 544] Dispatch2: regfile 7 from 3 -[DEBUG][time= 544] Dispatch2: int regfile 0: addr 45, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 6: addr 40, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 9: addr 43, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 544] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 544] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 544] DecodeBuffer: in v:1 r:1 pc=0080000028 -[INFO ][time= 544] DecodeBuffer: in v:1 r:1 pc=008000002c -[INFO ][time= 544] DecodeBuffer: in v:1 r:1 pc=0080000030 -[INFO ][time= 544] DecodeBuffer: in v:1 r:1 pc=0080000034 -[INFO ][time= 544] DecodeBuffer: in v:1 r:1 pc=0080000038 -[INFO ][time= 544] DecodeBuffer: in v:1 r:1 pc=008000003c -[INFO ][time= 544] DecodeBuffer: out v:1 r:1 pc=0080000010 -[INFO ][time= 544] DecodeBuffer: out v:1 r:1 pc=0080000014 -[INFO ][time= 544] DecodeBuffer: out v:1 r:1 pc=0080000018 -[INFO ][time= 544] DecodeBuffer: out v:1 r:1 pc=008000001c -[INFO ][time= 544] DecodeBuffer: out v:1 r:1 pc=0080000020 -[INFO ][time= 544] DecodeBuffer: out v:1 r:1 pc=0080000024 -[ERROR][time= 544] Roq: CSR block should only happen in s_idle -[DEBUG][time= 544] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 544] Roq: head 0:14 tail 0:14 -[DEBUG][time= 544] Roq: -------------------------------- -[DEBUG][time= 544] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 544] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 544] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 008000008c - -[DEBUG][time= 544] Roq: 0080000090 - 0080000094 - 0080000098 - 008000009c - -[DEBUG][time= 544] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 544] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 544] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 544] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 544] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 0 -[DEBUG][time= 544] LsExeUnit: forwarding data from stq, addr 000000004060000c stqpos 0 bitpos 4 data 03 -[DEBUG][time= 544] LsExeUnit: sbuffer id 0 ptr 0 pc 00800000a4 addr 000000004060000c data 0303030303030303 func 08 wmask 000000000010000 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 544] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 544] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 544] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 1 emptySlot 1 -[DEBUG][time= 544] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483836------> s1 fire!!! -[DEBUG][time= 544] FakeCache: [Stage1_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 544] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 544] FakeCache: [Stage2_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 544] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 544] FakeCache: [Stage3_data] instr1:0x00001517 instr2:0xc5050513 -[DEBUG][time= 544] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 544] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000dc || if1_pcUpdate:1 if1_pc:0x00800000bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 544] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 544] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 544] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 544] IFU: [IF3]if3_valid:1 || if3_pc:0x008000009c if3_npc:0x00800000bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 544] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000070 if4_npc:0x008000009c -[DEBUG][time= 544] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:01111111 || if4_tage_target:0x008000009c -[DEBUG][time= 544] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] starPC:0x0080000070 GroupPC:0x0080000060n -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instrmask 0011111111111111 -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000074 -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction c5050513 pnpc:0x0080000078 -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction 3d5000ef pnpc:0x008000007c -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction 0000006f pnpc:0x0080000080 -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000009c -[DEBUG][time= 544] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 544] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 544] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 544] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 544] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 544] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 544] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 544] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 544] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 544] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 544] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 544] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 544] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 544] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 544] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 544] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 544] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 544] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 544] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 544] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 544] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 544] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 544] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 544] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 544] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 544] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 544] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 544] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 544] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 544] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 544] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 544] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 544] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 544] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 544] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 544] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 544] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 544] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 544] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 544] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 544] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 544] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 544] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 544] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 544] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 544] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 544] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 544] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 544] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 544] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 544] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 544] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 544] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 544] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 544] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 544] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 544] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 544] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 544] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 544] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 544] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 544] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 544] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 544] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 544] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 544] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 544] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 544] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 544] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 544] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 544] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 544] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 544] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 544] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 544] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 544] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 544] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 544] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 544] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 544] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 544] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 544] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 544] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 544] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 544] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 544] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 544] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 544] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 544] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 544] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 544] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 544] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 544] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 544] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 544] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 544] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 544] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 544] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 544] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 544] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 544] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 544] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 544] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 12 -[DEBUG][time= 544] FreeList_1: req:1 canAlloc:1 pdest: 43 headNext:0: 12 -[DEBUG][time= 544] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 13 -[DEBUG][time= 544] FreeList_1: req:1 canAlloc:1 pdest: 44 headNext:0: 13 -[DEBUG][time= 544] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 14 -[DEBUG][time= 544] FreeList_1: req:1 canAlloc:1 pdest: 45 headNext:0: 14 -[DEBUG][time= 544] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 15 -[DEBUG][time= 544] FreeList_1: req:1 canAlloc:1 pdest: 46 headNext:0: 15 -[DEBUG][time= 544] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 16 -[DEBUG][time= 544] FreeList_1: req:1 canAlloc:1 pdest: 47 headNext:0: 16 -[DEBUG][time= 544] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 17 -[DEBUG][time= 544] FreeList_1: req:1 canAlloc:1 pdest: 48 headNext:0: 17 -[DEBUG][time= 544] FreeList_1: head:0: 11 tail:1: 11 -[DEBUG][time= 544] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 544] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 4 -[DEBUG][time= 544] Brq: ---------------- -[DEBUG][time= 544] Brq: enq v:0 rdy:1 pc:0080000028 brTag:f:0 v: 4 -[DEBUG][time= 544] Brq: enq v:0 rdy:1 pc:008000002c brTag:f:0 v: 4 -[DEBUG][time= 544] Brq: enq v:0 rdy:1 pc:0080000030 brTag:f:0 v: 4 -[DEBUG][time= 544] Brq: enq v:0 rdy:1 pc:0080000034 brTag:f:0 v: 4 -[DEBUG][time= 544] Brq: enq v:0 rdy:1 pc:0080000038 brTag:f:0 v: 4 -[DEBUG][time= 544] Brq: enq v:0 rdy:1 pc:008000003c brTag:f:0 v: 4 -[DEBUG][time= 545] Tage: req: pc=0x00800000fc, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 545] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 545] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 545] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 4060000c| 0 1) tlb (1 1 004060000c| 0 1) -[DEBUG][time= 545] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 545] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 545] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 545] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 545] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 545] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 545] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 545] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 545] BusyTable_1: Allocate 49 -[DEBUG][time= 545] BusyTable_1: Allocate 50 -[DEBUG][time= 545] BusyTable_1: 43 is busy -[DEBUG][time= 545] BusyTable_1: 44 is busy -[DEBUG][time= 545] BusyTable_1: 45 is busy -[DEBUG][time= 545] BusyTable_1: 46 is busy -[DEBUG][time= 545] BusyTable_1: 47 is busy -[DEBUG][time= 545] BusyTable_1: 48 is busy -[DEBUG][time= 545] BPUStage2: in:(1 1) pc=00800000dc out:(1 1) pc=00800000bc -[DEBUG][time= 545] BPUStage2: validLatch=1 pc=00800000bc -[DEBUG][time= 545] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 545] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 545] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 545] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 545] BPUStage1: in:(1 1) pc=00800000fc ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 545] BPUStage1: outPred:(1) pc=0x00800000dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 545] BPUStage3: [RAS]:pc=0x00800000bc, rasWritePosition= 2, rasWriteAddr=0x00800000bc -[DEBUG][time= 545] BPUStage3: in:(1 1) pc=00800000bc -[DEBUG][time= 545] BPUStage3: out:1 pc=008000009c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000bc -[DEBUG][time= 545] BPUStage3: flushS3=0 -[DEBUG][time= 545] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 545] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 545] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 545] JBTAC: read: pc=0x00800000fc, histXORAddr=0x00800000c2, bank=1, row= 12, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 545] JBTAC: read_resp: pc=0x00800000dc, bank=1, row= 14, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 545] Frontend: inst:02c73023 pc:0080000040 -[INFO ][time= 545] Frontend: inst:00068793 pc:0080000044 -[INFO ][time= 545] Frontend: inst:02870713 pc:0080000048 -[INFO ][time= 545] Frontend: inst:fd069ae3 pc:008000004c -[INFO ][time= 545] Frontend: inst:00000513 pc:0080000050 -[INFO ][time= 545] Frontend: inst:00008067 pc:0080000054 -[DEBUG][time= 545] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000040 instr:02c73023 -[DEBUG][time= 545] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000044 instr:00068793 -[DEBUG][time= 545] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000048 instr:02870713 -[DEBUG][time= 545] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:008000004c instr:fd069ae3 -[DEBUG][time= 545] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 545] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000054 instr:00008067 -[DEBUG][time= 545] Ibuffer: Enque: -[DEBUG][time= 545] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 545] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 545] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 545] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 545] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 545] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 545] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 545] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 545] Ibuffer: Deque: -[DEBUG][time= 545] Ibuffer: 00000010110001110011000000100011 PC=0080000040 v=1 r=1 -[DEBUG][time= 545] Ibuffer: 00000000000001101000011110010011 PC=0080000044 v=1 r=1 -[DEBUG][time= 545] Ibuffer: 00000010100001110000011100010011 PC=0080000048 v=1 r=1 -[DEBUG][time= 545] Ibuffer: 11111101000001101001101011100011 PC=008000004c v=1 r=1 -[DEBUG][time= 545] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=1 -[DEBUG][time= 545] Ibuffer: 00000000000000001000000001100111 PC=0080000054 v=1 r=1 -[DEBUG][time= 545] Ibuffer: last_head_ptr= 24 last_tail_ptr= 62 -[DEBUG][time= 545] BTB: read: pc=0x00800000fc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 545] BTB: read_resp: pc=0x00800000dc, readIdx=110------------------------------- -[DEBUG][time= 545] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 545] BTB: bankIdxInOrder:[DEBUG][time= 545] BTB: 14 [DEBUG][time= 545] BTB: 15 [DEBUG][time= 545] BTB: 0 [DEBUG][time= 545] BTB: 1 [DEBUG][time= 545] BTB: 2 [DEBUG][time= 545] BTB: 3 [DEBUG][time= 545] BTB: 4 [DEBUG][time= 545] BTB: 5 [DEBUG][time= 545] BTB: 6 [DEBUG][time= 545] BTB: 7 [DEBUG][time= 545] BTB: 8 [DEBUG][time= 545] BTB: 9 [DEBUG][time= 545] BTB: 10 [DEBUG][time= 545] BTB: 11 [DEBUG][time= 545] BTB: 12 [DEBUG][time= 545] BTB: 13 [DEBUG][time= 545] BTB: -[INFO ][time= 545] Rename: pc:0080000028 in v:1 in rdy:1 lsrc1:15 -> psrc1: 45 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 49 old_pdest: 11 out v:1 r:1 -[INFO ][time= 545] Rename: pc:008000002c in v:1 in rdy:1 lsrc1:15 -> psrc1: 45 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 50 old_pdest: 12 out v:1 r:1 -[INFO ][time= 545] Rename: pc:0080000030 in v:1 in rdy:1 lsrc1:14 -> psrc1: 44 lsrc2:15 -> psrc2: 45 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 545] Rename: pc:0080000034 in v:1 in rdy:1 lsrc1:14 -> psrc1: 44 lsrc2:13 -> psrc2: 47 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 545] Rename: pc:0080000038 in v:1 in rdy:1 lsrc1:14 -> psrc1: 44 lsrc2:10 -> psrc2: 48 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 545] Rename: pc:008000003c in v:1 in rdy:1 lsrc1:14 -> psrc1: 44 lsrc2:11 -> psrc2: 49 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 545] Dispatch1: pc 0x0080000010 accepted by queue 1 0 0 -[INFO ][time= 545] Dispatch1: pc 0x0080000014 accepted by queue 1 0 0 -[INFO ][time= 545] Dispatch1: pc 0x0080000018 accepted by queue 1 0 0 -[INFO ][time= 545] Dispatch1: pc 0x008000001c accepted by queue 1 0 0 -[INFO ][time= 545] Dispatch1: pc 0x0080000020 accepted by queue 1 0 0 -[INFO ][time= 545] Dispatch1: pc 0x0080000024 accepted by queue 1 0 0 -[DEBUG][time= 545] Dispatch1: pc 0x0080000010 receives nroq 14 -[DEBUG][time= 545] Dispatch1: v:1 r:1 pc 0x0080000010 of type 0011 is in 0-th slot -[DEBUG][time= 545] Dispatch1: pc 0x0080000014 receives nroq 15 -[DEBUG][time= 545] Dispatch1: v:1 r:1 pc 0x0080000014 of type 0011 is in 1-th slot -[DEBUG][time= 545] Dispatch1: pc 0x0080000018 receives nroq 16 -[DEBUG][time= 545] Dispatch1: v:1 r:1 pc 0x0080000018 of type 0011 is in 2-th slot -[DEBUG][time= 545] Dispatch1: pc 0x008000001c receives nroq 17 -[DEBUG][time= 545] Dispatch1: v:1 r:1 pc 0x008000001c of type 0011 is in 3-th slot -[DEBUG][time= 545] Dispatch1: pc 0x0080000020 receives nroq 18 -[DEBUG][time= 545] Dispatch1: v:1 r:1 pc 0x0080000020 of type 0011 is in 4-th slot -[DEBUG][time= 545] Dispatch1: pc 0x0080000024 receives nroq 19 -[DEBUG][time= 545] Dispatch1: v:1 r:1 pc 0x0080000024 of type 0011 is in 5-th slot -[DEBUG][time= 545] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 545] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 545] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 545] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 545] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 545] Dispatch2: regfile 0 from 0 -[DEBUG][time= 545] Dispatch2: regfile 1 from 0 -[DEBUG][time= 545] Dispatch2: regfile 2 from 1 -[DEBUG][time= 545] Dispatch2: regfile 3 from 1 -[DEBUG][time= 545] Dispatch2: regfile 4 from 2 -[DEBUG][time= 545] Dispatch2: regfile 5 from 2 -[DEBUG][time= 545] Dispatch2: regfile 6 from 3 -[DEBUG][time= 545] Dispatch2: regfile 7 from 3 -[DEBUG][time= 545] Dispatch2: int regfile 0: addr 45, state 0 -[DEBUG][time= 545] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 2: addr 40, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 6: addr 40, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 9: addr 43, state 0 -[DEBUG][time= 545] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 545] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 545] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 545] DecodeBuffer: in v:1 r:1 pc=0080000040 -[INFO ][time= 545] DecodeBuffer: in v:1 r:1 pc=0080000044 -[INFO ][time= 545] DecodeBuffer: in v:1 r:1 pc=0080000048 -[INFO ][time= 545] DecodeBuffer: in v:1 r:1 pc=008000004c -[INFO ][time= 545] DecodeBuffer: in v:1 r:1 pc=0080000050 -[INFO ][time= 545] DecodeBuffer: in v:1 r:1 pc=0080000054 -[INFO ][time= 545] DecodeBuffer: out v:1 r:1 pc=0080000028 -[INFO ][time= 545] DecodeBuffer: out v:1 r:1 pc=008000002c -[INFO ][time= 545] DecodeBuffer: out v:1 r:1 pc=0080000030 -[INFO ][time= 545] DecodeBuffer: out v:1 r:1 pc=0080000034 -[INFO ][time= 545] DecodeBuffer: out v:1 r:1 pc=0080000038 -[INFO ][time= 545] DecodeBuffer: out v:1 r:1 pc=008000003c -[ERROR][time= 545] Roq: CSR block should only happen in s_idle -[DEBUG][time= 545] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 545] Roq: dispatched 6 insts -[DEBUG][time= 545] Roq: head 0:14 tail 0:14 -[DEBUG][time= 545] Roq: -------------------------------- -[DEBUG][time= 545] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 545] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 545] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 008000008c - -[DEBUG][time= 545] Roq: 0080000090 - 0080000094 - 0080000098 - 008000009c - -[DEBUG][time= 545] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 00800000ac - -[DEBUG][time= 545] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 545] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 545] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 545] DispatchQueue: IntDpQ: num_enq = 6, tail = ( 4 -> 10) -[DEBUG][time= 545] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 1 -[DEBUG][time= 545] LsExeUnit: forwarding data from stq, addr 000000004060000c stqpos 0 bitpos 4 data 03 -[DEBUG][time= 545] LsExeUnit: sbuffer id 0 ptr 0 pc 00800000a4 addr 000000004060000c data 0303030303030303 func 08 wmask 000000000010000 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 545] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 545] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 545] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 1 emptySlot 1 -[DEBUG][time= 545] LsExeUnit: retiringStore now... -[INFO ][time= 545] LsExeUnit: [DMEM STORE REQ] addr 0x4060000c wdata 0x0303030303030303 size 0 -[DEBUG][time= 545] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483868------> s1 fire!!! -[DEBUG][time= 545] FakeCache: [Stage1_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 545] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 545] FakeCache: [Stage2_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 545] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 545] FakeCache: [Stage3_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 545] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 545] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000fc || if1_pcUpdate:1 if1_pc:0x00800000dc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 545] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000dc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 545] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 545] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 545] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000bc if3_npc:0x00800000dc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 545] IFU: [IF4]if4_valid:1 || if4_pc:0x008000009c if4_npc:0x00800000bc -[DEBUG][time= 545] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000bc -[DEBUG][time= 545] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] starPC:0x008000009c GroupPC:0x0080000080n -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 545] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 545] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 545] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 545] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 545] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 545] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 545] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 545] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 545] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 545] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 545] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 545] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 545] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 545] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 545] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 545] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 545] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 545] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 545] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 545] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 545] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 545] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 545] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 545] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 545] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 545] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 545] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 545] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 545] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 545] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 545] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 545] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 545] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 545] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 545] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 545] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 545] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 545] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 545] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 545] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 545] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 545] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 545] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 545] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 545] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 545] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 545] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 545] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 545] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 545] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 545] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 545] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 545] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 545] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 545] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 545] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 545] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 545] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 545] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 545] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 545] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 545] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 545] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 545] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 545] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 545] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 545] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 545] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 545] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 545] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 545] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 545] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 545] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 545] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 545] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 545] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 545] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 545] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 545] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 545] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 545] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 545] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 545] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 545] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 545] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 545] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 545] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 545] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 545] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 545] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 545] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 545] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 545] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 545] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 545] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 545] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 545] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 545] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 545] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 545] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 545] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 545] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 545] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 18 -[DEBUG][time= 545] FreeList_1: req:1 canAlloc:1 pdest: 49 headNext:0: 18 -[DEBUG][time= 545] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 19 -[DEBUG][time= 545] FreeList_1: req:1 canAlloc:1 pdest: 50 headNext:0: 19 -[DEBUG][time= 545] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 19 -[DEBUG][time= 545] FreeList_1: req:0 canAlloc:1 pdest: 51 headNext:0: 19 -[DEBUG][time= 545] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 19 -[DEBUG][time= 545] FreeList_1: req:0 canAlloc:1 pdest: 51 headNext:0: 19 -[DEBUG][time= 545] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 19 -[DEBUG][time= 545] FreeList_1: req:0 canAlloc:1 pdest: 51 headNext:0: 19 -[DEBUG][time= 545] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 19 -[DEBUG][time= 545] FreeList_1: req:0 canAlloc:1 pdest: 51 headNext:0: 19 -[DEBUG][time= 545] FreeList_1: head:0: 17 tail:1: 11 -[DEBUG][time= 545] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 545] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 4 -[DEBUG][time= 545] Brq: ---------------- -[DEBUG][time= 545] Brq: enq v:0 rdy:1 pc:0080000040 brTag:f:0 v: 4 -[DEBUG][time= 545] Brq: enq v:0 rdy:1 pc:0080000044 brTag:f:0 v: 4 -[DEBUG][time= 545] Brq: enq v:0 rdy:1 pc:0080000048 brTag:f:0 v: 4 -[DEBUG][time= 545] Brq: enq v:1 rdy:1 pc:008000004c brTag:f:0 v: 4 -[DEBUG][time= 545] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 5 -[DEBUG][time= 545] Brq: enq v:1 rdy:1 pc:0080000054 brTag:f:0 v: 5 -[DEBUG][time= 546] Tage: req: pc=0x008000011c, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 546] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 546] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 546] XSCore: c-mem(0 0 00000fc0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 004060000c| 0 1) -[DEBUG][time= 546] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 546] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 546] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 546] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 546] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 546] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 546] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 546] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 546] BusyTable_1: Allocate 51 -[DEBUG][time= 546] BusyTable_1: Allocate 52 -[DEBUG][time= 546] BusyTable_1: Allocate 53 -[DEBUG][time= 546] BusyTable_1: 43 is busy -[DEBUG][time= 546] BusyTable_1: 44 is busy -[DEBUG][time= 546] BusyTable_1: 45 is busy -[DEBUG][time= 546] BusyTable_1: 46 is busy -[DEBUG][time= 546] BusyTable_1: 47 is busy -[DEBUG][time= 546] BusyTable_1: 48 is busy -[DEBUG][time= 546] BusyTable_1: 49 is busy -[DEBUG][time= 546] BusyTable_1: 50 is busy -[DEBUG][time= 546] BPUStage2: in:(1 1) pc=00800000fc out:(1 1) pc=00800000dc -[DEBUG][time= 546] BPUStage2: validLatch=1 pc=00800000dc -[DEBUG][time= 546] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 546] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 546] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 546] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 546] BPUStage1: in:(1 1) pc=008000011c ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 546] BPUStage1: outPred:(1) pc=0x00800000fc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 546] BPUStage3: [RAS]:pc=0x00800000dc, rasWritePosition= 2, rasWriteAddr=0x00800000dc -[DEBUG][time= 546] BPUStage3: in:(1 1) pc=00800000dc -[DEBUG][time= 546] BPUStage3: out:1 pc=00800000bc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000dc -[DEBUG][time= 546] BPUStage3: flushS3=0 -[DEBUG][time= 546] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 546] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 546] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 546] JBTAC: read: pc=0x008000011c, histXORAddr=0x0080000122, bank=1, row= 18, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 546] JBTAC: read_resp: pc=0x00800000fc, bank=1, row= 12, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 546] Frontend: inst:0540006f pc:0080000058 -[INFO ][time= 546] Frontend: inst:ff010113 pc:008000005c -[INFO ][time= 546] Frontend: inst:00113423 pc:0080000060 -[INFO ][time= 546] Frontend: inst:00050593 pc:0080000064 -[INFO ][time= 546] Frontend: inst:00050513 pc:0080000068 -[INFO ][time= 546] Frontend: inst:0005006b pc:008000006c -[DEBUG][time= 546] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000058 instr:0540006f -[DEBUG][time= 546] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000005c instr:ff010113 -[DEBUG][time= 546] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 546] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000064 instr:00050593 -[DEBUG][time= 546] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000068 instr:00050513 -[DEBUG][time= 546] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:008000006c instr:0005006b -[DEBUG][time= 546] Ibuffer: Enque: -[DEBUG][time= 546] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 546] Ibuffer: 00000010111101010000010001100011 -[DEBUG][time= 546] Ibuffer: 01000000011000000000011100110111 -[DEBUG][time= 546] Ibuffer: 00000000100001110100011110000011 -[DEBUG][time= 546] Ibuffer: 00000000100001111111011110010011 -[DEBUG][time= 546] Ibuffer: 11111110000001111001110011100011 -[DEBUG][time= 546] Ibuffer: 00000000100001110000001000100011 -[DEBUG][time= 546] Ibuffer: 00000000100000010011000010000011 -[DEBUG][time= 546] Ibuffer: Deque: -[DEBUG][time= 546] Ibuffer: 00000101010000000000000001101111 PC=0080000058 v=1 r=1 -[DEBUG][time= 546] Ibuffer: 11111111000000010000000100010011 PC=008000005c v=1 r=1 -[DEBUG][time= 546] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=1 -[DEBUG][time= 546] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=1 r=1 -[DEBUG][time= 546] Ibuffer: 00000000000001010000010100010011 PC=0080000068 v=1 r=1 -[DEBUG][time= 546] Ibuffer: 00000000000001010000000001101011 PC=008000006c v=1 r=1 -[DEBUG][time= 546] Ibuffer: last_head_ptr= 36 last_tail_ptr= 78 -[DEBUG][time= 546] BTB: read: pc=0x008000011c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 546] BTB: read_resp: pc=0x00800000fc, readIdx=126------------------------------- -[DEBUG][time= 546] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 546] BTB: bankIdxInOrder:[DEBUG][time= 546] BTB: 14 [DEBUG][time= 546] BTB: 15 [DEBUG][time= 546] BTB: 0 [DEBUG][time= 546] BTB: 1 [DEBUG][time= 546] BTB: 2 [DEBUG][time= 546] BTB: 3 [DEBUG][time= 546] BTB: 4 [DEBUG][time= 546] BTB: 5 [DEBUG][time= 546] BTB: 6 [DEBUG][time= 546] BTB: 7 [DEBUG][time= 546] BTB: 8 [DEBUG][time= 546] BTB: 9 [DEBUG][time= 546] BTB: 10 [DEBUG][time= 546] BTB: 11 [DEBUG][time= 546] BTB: 12 [DEBUG][time= 546] BTB: 13 [DEBUG][time= 546] BTB: -[INFO ][time= 546] Rename: pc:0080000040 in v:1 in rdy:1 lsrc1:14 -> psrc1: 44 lsrc2:12 -> psrc2: 50 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 546] Rename: pc:0080000044 in v:1 in rdy:1 lsrc1:13 -> psrc1: 47 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 51 old_pdest: 45 out v:1 r:1 -[INFO ][time= 546] Rename: pc:0080000048 in v:1 in rdy:1 lsrc1:14 -> psrc1: 44 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 52 old_pdest: 44 out v:1 r:1 -[INFO ][time= 546] Rename: pc:008000004c in v:1 in rdy:1 lsrc1:13 -> psrc1: 47 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 546] Rename: pc:0080000050 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 53 old_pdest: 48 out v:1 r:1 -[INFO ][time= 546] Rename: pc:0080000054 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 546] Dispatch1: pc 0x0080000028 accepted by queue 1 0 0 -[INFO ][time= 546] Dispatch1: pc 0x008000002c accepted by queue 1 0 0 -[INFO ][time= 546] Dispatch1: pc 0x0080000030 accepted by queue 0 0 1 -[INFO ][time= 546] Dispatch1: pc 0x0080000034 accepted by queue 0 0 1 -[INFO ][time= 546] Dispatch1: pc 0x0080000038 accepted by queue 0 0 1 -[INFO ][time= 546] Dispatch1: pc 0x008000003c accepted by queue 0 0 1 -[DEBUG][time= 546] Dispatch1: pc 0x0080000028 receives nroq 20 -[DEBUG][time= 546] Dispatch1: v:1 r:1 pc 0x0080000028 of type 0011 is in 0-th slot -[DEBUG][time= 546] Dispatch1: pc 0x008000002c receives nroq 21 -[DEBUG][time= 546] Dispatch1: v:1 r:1 pc 0x008000002c of type 0011 is in 1-th slot -[DEBUG][time= 546] Dispatch1: pc 0x0080000030 receives nroq 22 -[DEBUG][time= 546] Dispatch1: v:1 r:1 pc 0x0080000030 of type 1101 is in 2-th slot -[DEBUG][time= 546] Dispatch1: pc 0x0080000034 receives nroq 23 -[DEBUG][time= 546] Dispatch1: v:1 r:1 pc 0x0080000034 of type 1101 is in 3-th slot -[DEBUG][time= 546] Dispatch1: pc 0x0080000038 receives nroq 24 -[DEBUG][time= 546] Dispatch1: v:1 r:1 pc 0x0080000038 of type 1101 is in 4-th slot -[DEBUG][time= 546] Dispatch1: pc 0x008000003c receives nroq 25 -[DEBUG][time= 546] Dispatch1: v:1 r:1 pc 0x008000003c of type 1101 is in 5-th slot -[DEBUG][time= 546] Dispatch2: int dp queue 0: 0080000010 type 0011 -[DEBUG][time= 546] Dispatch2: int dp queue 1: 0080000014 type 0011 -[DEBUG][time= 546] Dispatch2: int dp queue 2: 0080000018 type 0011 -[DEBUG][time= 546] Dispatch2: int dp queue 3: 008000001c type 0011 -[DEBUG][time= 546] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 546] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 546] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 546] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 546] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 546] Dispatch2: regfile 0 from 0 -[DEBUG][time= 546] Dispatch2: regfile 1 from 0 -[DEBUG][time= 546] Dispatch2: regfile 2 from 1 -[DEBUG][time= 546] Dispatch2: regfile 3 from 1 -[DEBUG][time= 546] Dispatch2: regfile 4 from 2 -[DEBUG][time= 546] Dispatch2: regfile 5 from 2 -[DEBUG][time= 546] Dispatch2: regfile 6 from 3 -[DEBUG][time= 546] Dispatch2: regfile 7 from 3 -[DEBUG][time= 546] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 2: addr 43, state 0 -[DEBUG][time= 546] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 8: addr 37, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 9: addr 43, state 0 -[DEBUG][time= 546] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 12: addr 43, state 1 -[DEBUG][time= 546] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 546] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 546] Dispatch2: pc 0x0080000010 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 546] Dispatch2: pc 0x0080000014 with type 0011 srcState(0 1 0) enters reservation station 2 from 1 -[INFO ][time= 546] Dispatch2: pc 0x0080000018 with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 546] Dispatch2: pc 0x008000001c with type 0011 srcState(1 1 0) enters reservation station 4 from 3 -[INFO ][time= 546] Dispatch2: pc 0x0080000010 leaves Int dispatch queue with nroq 14 -[INFO ][time= 546] Dispatch2: pc 0x0080000014 leaves Int dispatch queue with nroq 15 -[INFO ][time= 546] Dispatch2: pc 0x0080000018 leaves Int dispatch queue with nroq 16 -[INFO ][time= 546] Dispatch2: pc 0x008000001c leaves Int dispatch queue with nroq 17 -[INFO ][time= 546] DecodeBuffer: in v:1 r:1 pc=0080000058 -[INFO ][time= 546] DecodeBuffer: in v:1 r:1 pc=008000005c -[INFO ][time= 546] DecodeBuffer: in v:1 r:1 pc=0080000060 -[INFO ][time= 546] DecodeBuffer: in v:1 r:1 pc=0080000064 -[INFO ][time= 546] DecodeBuffer: in v:1 r:1 pc=0080000068 -[INFO ][time= 546] DecodeBuffer: in v:1 r:1 pc=008000006c -[INFO ][time= 546] DecodeBuffer: out v:1 r:1 pc=0080000040 -[INFO ][time= 546] DecodeBuffer: out v:1 r:1 pc=0080000044 -[INFO ][time= 546] DecodeBuffer: out v:1 r:1 pc=0080000048 -[INFO ][time= 546] DecodeBuffer: out v:1 r:1 pc=008000004c -[INFO ][time= 546] DecodeBuffer: out v:1 r:1 pc=0080000050 -[INFO ][time= 546] DecodeBuffer: out v:1 r:1 pc=0080000054 -[ERROR][time= 546] Roq: CSR block should only happen in s_idle -[DEBUG][time= 546] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 546] Roq: dispatched 6 insts -[DEBUG][time= 546] Roq: head 0:20 tail 0:14 -[DEBUG][time= 546] Roq: --------------vvvvvv------------ -[DEBUG][time= 546] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 546] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 546] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 008000008c - -[DEBUG][time= 546] Roq: 0080000090 - 0080000094 - 0080000010 v 0080000014 v -[DEBUG][time= 546] Roq: 0080000018 v 008000001c v 0080000020 v 0080000024 v -[DEBUG][time= 546] Roq: 00800000b0 - 00800000b4 - 00800000b8 - 00800000bc - -[DEBUG][time= 546] Roq: 00800000c0 - 00800000c4 - 00800000c8 - 00800000cc - -[DEBUG][time= 546] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 546] DispatchQueue_2: LsDpQ: num_enq = 4, tail = ( 4 -> 8) -[DEBUG][time= 546] DispatchQueue: IntDpQ: num_deq = 4, head = ( 4 -> 8) -[DEBUG][time= 546] DispatchQueue: IntDpQ: num_enq = 2, tail = (10 -> 12) -[DEBUG][time= 546] DispatchQueue: IntDpQ: valid_entries = 6, head = (1, 4), tail = (1, 10), -[DEBUG][time= 546] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 000000004060000c size 0 data 0303030303030303 mask 0010 cmd 1 -[DEBUG][time= 546] LsExeUnit: forwarding data from stq, addr 000000004060000c stqpos 0 bitpos 4 data 03 -[DEBUG][time= 546] LsExeUnit: sbuffer id 0 ptr 0 pc 00800000a4 addr 000000004060000c data 0303030303030303 func 08 wmask 000000000010000 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 1 ptr 3 valid 0 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 2 ptr 6 valid 0 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 4 ptr 2 valid 0 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 5 ptr 1 valid 0 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 546] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 546] LsExeUnit: state: 2 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 546] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 1 emptySlot 1 -[DEBUG][time= 546] LsExeUnit: retiringStore now... -[DEBUG][time= 546] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483900------> s1 fire!!! -[DEBUG][time= 546] FakeCache: [Stage1_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 546] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 546] FakeCache: [Stage2_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 546] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 546] FakeCache: [Stage3_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 546] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 546] IFU: [IF1]if1_valid:1 || if1_npc:0x008000011c || if1_pcUpdate:1 if1_pc:0x00800000fc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 546] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000fc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 546] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 546] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 546] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000dc if3_npc:0x00800000fc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 546] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000bc if4_npc:0x00800000dc -[DEBUG][time= 546] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 546] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] starPC:0x00800000bc GroupPC:0x00800000a0n -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction 02f50463 pnpc:0x00800000c4 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction 40600737 pnpc:0x00800000c8 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction 00874783 pnpc:0x00800000cc -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction 0087f793 pnpc:0x00800000d0 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction fe079ce3 pnpc:0x00800000d4 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction 00870223 pnpc:0x00800000d8 -[DEBUG][time= 546] IFU: [IFU-Out-FetchPacket] instruction 00813083 pnpc:0x00800000dc -[DEBUG][time= 546] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 546] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 546] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 546] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 546] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 546] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 546] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 546] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 546] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 546] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 546] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 546] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 43 oldDest: 39 pc:0080000010 roqIdx:0e -[DEBUG][time= 546] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 546] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 546] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 546] IssueQueue_1: 1 |0|1| 10|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 3 |00800000bc|0f <- -[DEBUG][time= 546] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b -[DEBUG][time= 546] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 546] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 546] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 546] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 546] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 546] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 546] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 43:0 0:1 0:0) Dest: 44 oldDest: 43 pc:0080000014 roqIdx:0f -[DEBUG][time= 546] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 546] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 546] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 546] IssueQueue_2: 0 |0|0| 10|1|d95ad4be237be8dc| 41|0|d95ad4be237be8dc| 0|0|0000000000000000| 3 |00800000c0|10 <- -[DEBUG][time= 546] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c -[DEBUG][time= 546] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 546] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 546] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 546] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 546] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 546] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 546] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 0:1 0:1 0:0) Dest: 45 oldDest: 38 pc:0080000018 roqIdx:10 -[DEBUG][time= 546] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 546] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 546] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 546] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |00800000c4|11 <- -[DEBUG][time= 546] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f -[DEBUG][time= 546] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 546] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 546] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 546] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 546] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 546] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 546] IssueQueue_4: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 46 oldDest: 16 pc:008000001c roqIdx:11 -[DEBUG][time= 546] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 546] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 546] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 546] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 3 |00800000b8|0e <- -[DEBUG][time= 546] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 -[DEBUG][time= 546] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 546] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 546] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 546] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 546] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 546] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 546] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 546] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 546] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 546] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 546] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 546] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 546] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 546] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 546] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 546] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 546] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 546] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 546] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 546] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 546] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 546] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 546] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 546] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 546] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 546] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 546] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 546] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 546] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 546] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 546] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 546] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 546] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 546] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 546] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 546] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 546] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 546] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 546] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 546] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 546] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 546] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 546] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 546] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 546] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 546] FreeList: do checkPt at BrqIdx= 4 headPtr:0: 0 -[DEBUG][time= 546] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 546] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 546] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 546] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 546] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 546] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 546] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 19 -[DEBUG][time= 546] FreeList_1: req:0 canAlloc:1 pdest: 51 headNext:0: 19 -[DEBUG][time= 546] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 20 -[DEBUG][time= 546] FreeList_1: req:1 canAlloc:1 pdest: 51 headNext:0: 20 -[DEBUG][time= 546] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 21 -[DEBUG][time= 546] FreeList_1: req:1 canAlloc:1 pdest: 52 headNext:0: 21 -[DEBUG][time= 546] FreeList_1: do checkPt at BrqIdx= 4 headPtr:0: 21 -[DEBUG][time= 546] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 546] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 22 -[DEBUG][time= 546] FreeList_1: req:1 canAlloc:1 pdest: 53 headNext:0: 22 -[DEBUG][time= 546] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 22 -[DEBUG][time= 546] FreeList_1: req:0 canAlloc:1 pdest: 54 headNext:0: 22 -[DEBUG][time= 546] FreeList_1: head:0: 19 tail:1: 11 -[DEBUG][time= 546] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 546] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 6 -[DEBUG][time= 546] Brq: ---------------- -[DEBUG][time= 546] Brq: enq v:1 rdy:1 pc:0080000058 brTag:f:0 v: 6 -[DEBUG][time= 546] Brq: enq v:0 rdy:1 pc:008000005c brTag:f:0 v: 7 -[DEBUG][time= 546] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v: 7 -[DEBUG][time= 546] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v: 7 -[DEBUG][time= 546] Brq: enq v:0 rdy:1 pc:0080000068 brTag:f:0 v: 7 -[DEBUG][time= 546] Brq: enq v:0 rdy:1 pc:008000006c brTag:f:0 v: 7 -[DEBUG][time= 547] Tage: req: pc=0x008000013c, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 547] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 547] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 547] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 00cc63b969| 0 1) -[DEBUG][time= 547] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 547] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 547] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 547] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 547] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 547] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 547] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 547] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 547] BusyTable_1: Allocate 54 -[DEBUG][time= 547] BusyTable_1: Allocate 55 -[DEBUG][time= 547] BusyTable_1: Allocate 56 -[DEBUG][time= 547] BusyTable_1: writeback 43 -[DEBUG][time= 547] BusyTable_1: writeback 45 -[DEBUG][time= 547] BusyTable_1: writeback 46 -[DEBUG][time= 547] BusyTable_1: 43 is busy -[DEBUG][time= 547] BusyTable_1: 44 is busy -[DEBUG][time= 547] BusyTable_1: 45 is busy -[DEBUG][time= 547] BusyTable_1: 46 is busy -[DEBUG][time= 547] BusyTable_1: 47 is busy -[DEBUG][time= 547] BusyTable_1: 48 is busy -[DEBUG][time= 547] BusyTable_1: 49 is busy -[DEBUG][time= 547] BusyTable_1: 50 is busy -[DEBUG][time= 547] BusyTable_1: 51 is busy -[DEBUG][time= 547] BusyTable_1: 52 is busy -[DEBUG][time= 547] BusyTable_1: 53 is busy -[DEBUG][time= 547] BPUStage2: in:(1 1) pc=008000011c out:(1 1) pc=00800000fc -[DEBUG][time= 547] BPUStage2: validLatch=1 pc=00800000fc -[DEBUG][time= 547] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 547] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 547] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 547] DispatchGen: priority: data(3) = 1, priority = 3 -[INFO ][time= 547] WriteBackArbMtoN: out(1) pc(0x0080000010) writebacks 0x0000000080001010 to pdest( 43) ldest(14) -[INFO ][time= 547] WriteBackArbMtoN: out(3) pc(0x0080000018) writebacks 0x0000000000000000 to pdest( 45) ldest(15) -[INFO ][time= 547] WriteBackArbMtoN: out(4) pc(0x008000001c) writebacks 0x0000000000000005 to pdest( 46) ldest(16) -[DEBUG][time= 547] BPUStage1: in:(1 1) pc=008000013c ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 547] BPUStage1: outPred:(1) pc=0x008000011c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 547] BPUStage3: [RAS]:pc=0x00800000fc, rasWritePosition= 2, rasWriteAddr=0x00800000fc -[DEBUG][time= 547] BPUStage3: in:(1 1) pc=00800000fc -[DEBUG][time= 547] BPUStage3: out:1 pc=00800000dc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000fc -[DEBUG][time= 547] BPUStage3: flushS3=0 -[DEBUG][time= 547] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 547] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 547] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 547] JBTAC: read: pc=0x008000013c, histXORAddr=0x0080000102, bank=1, row= 16, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 547] JBTAC: read_resp: pc=0x008000011c, bank=1, row= 18, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 547] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 547] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 547] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 547] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 547] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 547] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 547] Ibuffer: Enque: -[DEBUG][time= 547] Ibuffer: 00000000000000010011010000000011 -[DEBUG][time= 547] Ibuffer: 00000001000000010000000100010011 -[DEBUG][time= 547] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 547] Ibuffer: 00000000110100000000010100010011 -[DEBUG][time= 547] Ibuffer: 11111100000111111111000011101111 -[DEBUG][time= 547] Ibuffer: 11111101010111111111000001101111 -[DEBUG][time= 547] Ibuffer: 00001000000001011000110001100011 -[DEBUG][time= 547] Ibuffer: 11111101000000010000000100010011 -[DEBUG][time= 547] Ibuffer: Deque: -[DEBUG][time= 547] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=0 -[DEBUG][time= 547] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=0 -[DEBUG][time= 547] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=0 -[DEBUG][time= 547] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=0 -[DEBUG][time= 547] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=0 -[DEBUG][time= 547] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=0 -[DEBUG][time= 547] Ibuffer: last_head_ptr= 48 last_tail_ptr= 94 -[DEBUG][time= 547] BTB: read: pc=0x008000013c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 547] BTB: read_resp: pc=0x008000011c, readIdx=142------------------------------- -[DEBUG][time= 547] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 547] BTB: bankIdxInOrder:[DEBUG][time= 547] BTB: 14 [DEBUG][time= 547] BTB: 15 [DEBUG][time= 547] BTB: 0 [DEBUG][time= 547] BTB: 1 [DEBUG][time= 547] BTB: 2 [DEBUG][time= 547] BTB: 3 [DEBUG][time= 547] BTB: 4 [DEBUG][time= 547] BTB: 5 [DEBUG][time= 547] BTB: 6 [DEBUG][time= 547] BTB: 7 [DEBUG][time= 547] BTB: 8 [DEBUG][time= 547] BTB: 9 [DEBUG][time= 547] BTB: 10 [DEBUG][time= 547] BTB: 11 [DEBUG][time= 547] BTB: 12 [DEBUG][time= 547] BTB: 13 [DEBUG][time= 547] BTB: -[DEBUG][time= 547] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 547] AluExeUnit: src1:0000000080000010 src2:0000000000001000 offset:0000000000001000 func:0000000 pc:0000000080000010 -[DEBUG][time= 547] AluExeUnit: res:0000000080001010 aluRes:00000000080001010 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080001010 taken:0 -[DEBUG][time= 547] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 547] AluExeUnit_2: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000018 -[DEBUG][time= 547] AluExeUnit_2: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[DEBUG][time= 547] AluExeUnit_3: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 547] AluExeUnit_3: src1:0000000000000000 src2:0000000000000005 offset:0000000000000005 func:0000000 pc:000000008000001c -[DEBUG][time= 547] AluExeUnit_3: res:0000000000000005 aluRes:00000000000000005 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000005 taken:0 -[INFO ][time= 547] Rename: pc:0080000058 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 547] Rename: pc:008000005c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 54 old_pdest: 36 out v:1 r:1 -[INFO ][time= 547] Rename: pc:0080000060 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 54 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 547] Rename: pc:0080000064 in v:1 in rdy:1 lsrc1:10 -> psrc1: 53 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 55 old_pdest: 49 out v:1 r:1 -[INFO ][time= 547] Rename: pc:0080000068 in v:1 in rdy:1 lsrc1:10 -> psrc1: 53 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 56 old_pdest: 53 out v:1 r:1 -[INFO ][time= 547] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 56 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 547] Dispatch1: pc 0x0080000040 accepted by queue 0 0 1 -[INFO ][time= 547] Dispatch1: pc 0x0080000044 accepted by queue 1 0 0 -[INFO ][time= 547] Dispatch1: pc 0x0080000048 accepted by queue 1 0 0 -[INFO ][time= 547] Dispatch1: pc 0x008000004c accepted by queue 1 0 0 -[INFO ][time= 547] Dispatch1: pc 0x0080000050 accepted by queue 1 0 0 -[INFO ][time= 547] Dispatch1: pc 0x0080000054 accepted by queue 1 0 0 -[DEBUG][time= 547] Dispatch1: pc 0x0080000040 receives nroq 26 -[DEBUG][time= 547] Dispatch1: v:1 r:1 pc 0x0080000040 of type 1101 is in 0-th slot -[DEBUG][time= 547] Dispatch1: pc 0x0080000044 receives nroq 27 -[DEBUG][time= 547] Dispatch1: v:1 r:1 pc 0x0080000044 of type 0011 is in 1-th slot -[DEBUG][time= 547] Dispatch1: pc 0x0080000048 receives nroq 28 -[DEBUG][time= 547] Dispatch1: v:1 r:1 pc 0x0080000048 of type 0011 is in 2-th slot -[DEBUG][time= 547] Dispatch1: pc 0x008000004c receives nroq 29 -[DEBUG][time= 547] Dispatch1: v:1 r:1 pc 0x008000004c of type 0011 is in 3-th slot -[DEBUG][time= 547] Dispatch1: pc 0x0080000050 receives nroq 30 -[DEBUG][time= 547] Dispatch1: v:1 r:1 pc 0x0080000050 of type 0011 is in 4-th slot -[DEBUG][time= 547] Dispatch1: pc 0x0080000054 receives nroq 31 -[DEBUG][time= 547] Dispatch1: v:1 r:1 pc 0x0080000054 of type 0000 is in 5-th slot -[DEBUG][time= 547] Dispatch2: int dp queue 0: 0080000020 type 0011 -[DEBUG][time= 547] Dispatch2: int dp queue 1: 0080000024 type 0011 -[DEBUG][time= 547] Dispatch2: int dp queue 2: 0080000028 type 0011 -[DEBUG][time= 547] Dispatch2: int dp queue 3: 008000002c type 0011 -[DEBUG][time= 547] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 547] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 547] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 547] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 547] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 547] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 547] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 547] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 547] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 547] Dispatch2: regfile 0 from 0 -[DEBUG][time= 547] Dispatch2: regfile 1 from 0 -[DEBUG][time= 547] Dispatch2: regfile 2 from 1 -[DEBUG][time= 547] Dispatch2: regfile 3 from 1 -[DEBUG][time= 547] Dispatch2: regfile 4 from 2 -[DEBUG][time= 547] Dispatch2: regfile 5 from 2 -[DEBUG][time= 547] Dispatch2: regfile 6 from 3 -[DEBUG][time= 547] Dispatch2: regfile 7 from 3 -[DEBUG][time= 547] Dispatch2: int regfile 0: addr 45, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 2: addr 45, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 4: addr 45, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 6: addr 45, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 8: addr 44, state 0 -[DEBUG][time= 547] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 9: addr 45, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 12: addr 45, state 1 -[DEBUG][time= 547] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 547] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 547] Dispatch2: pc 0x0080000020 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 547] Dispatch2: pc 0x0080000024 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 547] Dispatch2: pc 0x0080000028 with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 547] Dispatch2: pc 0x008000002c with type 0011 srcState(1 1 0) enters reservation station 4 from 3 -[INFO ][time= 547] Dispatch2: pc 0x0080000030 with type 1101 srcState(0 1 0) enters reservation station 7 from 0 -[INFO ][time= 547] Dispatch2: pc 0x0080000020 leaves Int dispatch queue with nroq 18 -[INFO ][time= 547] Dispatch2: pc 0x0080000024 leaves Int dispatch queue with nroq 19 -[INFO ][time= 547] Dispatch2: pc 0x0080000028 leaves Int dispatch queue with nroq 20 -[INFO ][time= 547] Dispatch2: pc 0x008000002c leaves Int dispatch queue with nroq 21 -[INFO ][time= 547] Dispatch2: pc 0x0080000030 leaves Ls dispatch queue with nroq 22 -[DEBUG][time= 547] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 547] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 547] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[DEBUG][time= 547] Dispatch2: pc 0x0080000010 reads operands from ( 0, 0, 0000000080000010), ( 1, 0, 0000000000001000), ( 0, 0, 0000000000000000) -[DEBUG][time= 547] Dispatch2: pc 0x0080000014 reads operands from ( 2, 43, d95ad4be237be8dc), ( 3, 0, fffffffffffffea0), ( 0, 0, 0000000000000000) -[DEBUG][time= 547] Dispatch2: pc 0x0080000018 reads operands from ( 4, 0, 0000000000000000), ( 5, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 547] Dispatch2: pc 0x008000001c reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 547] DecodeBuffer: in v:1 r:0 pc=0080000070 -[INFO ][time= 547] DecodeBuffer: in v:0 r:0 pc=0080000070 -[INFO ][time= 547] DecodeBuffer: in v:0 r:0 pc=0080000070 -[INFO ][time= 547] DecodeBuffer: in v:0 r:0 pc=0080000070 -[INFO ][time= 547] DecodeBuffer: in v:0 r:0 pc=0080000070 -[INFO ][time= 547] DecodeBuffer: in v:0 r:0 pc=0080000070 -[INFO ][time= 547] DecodeBuffer: out v:1 r:1 pc=0080000058 -[INFO ][time= 547] DecodeBuffer: out v:1 r:1 pc=008000005c -[INFO ][time= 547] DecodeBuffer: out v:1 r:1 pc=0080000060 -[INFO ][time= 547] DecodeBuffer: out v:1 r:1 pc=0080000064 -[INFO ][time= 547] DecodeBuffer: out v:1 r:1 pc=0080000068 -[INFO ][time= 547] DecodeBuffer: out v:0 r:1 pc=008000006c -[ERROR][time= 547] Roq: CSR block should only happen in s_idle -[DEBUG][time= 547] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 547] Roq: dispatched 6 insts -[INFO ][time= 547] Roq: writebacked 3 insts -[INFO ][time= 547] Roq: writebacked pc 0x0080000010 wen 1 data 0x0000000080001010 ldst 14 pdst 43 skip 0 -[INFO ][time= 547] Roq: writebacked pc 0x0080000018 wen 1 data 0x0000000000000000 ldst 15 pdst 45 skip 0 -[INFO ][time= 547] Roq: writebacked pc 0x008000001c wen 1 data 0x0000000000000005 ldst 16 pdst 46 skip 0 -[DEBUG][time= 547] Roq: head 0:26 tail 0:14 -[DEBUG][time= 547] Roq: --------------vvvvvvvvvvvv------ -[DEBUG][time= 547] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 547] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 547] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 008000008c - -[DEBUG][time= 547] Roq: 0080000090 - 0080000094 - 0080000010 v 0080000014 v -[DEBUG][time= 547] Roq: 0080000018 v 008000001c v 0080000020 v 0080000024 v -[DEBUG][time= 547] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 547] Roq: 0080000038 v 008000003c v 00800000c8 - 00800000cc - -[DEBUG][time= 547] Roq: 00800000d0 - 00800000d4 - 00800000d8 - 00800000dc - -[DEBUG][time= 547] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 4 -> 5) -[DEBUG][time= 547] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 8 -> 9) -[DEBUG][time= 547] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 4), tail = (1, 8), -[DEBUG][time= 547] DispatchQueue: IntDpQ: num_deq = 4, head = ( 8 -> 12) -[DEBUG][time= 547] DispatchQueue: IntDpQ: num_enq = 5, tail = (12 -> 1) -[DEBUG][time= 547] DispatchQueue: IntDpQ: valid_entries = 4, head = (1, 8), tail = (1, 12), -[DEBUG][time= 547] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr aa55dcebcc63b969 size 2 data 3fe45a5b3fe45a5b mask 001e cmd 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 0 ptr 3 valid 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 1 ptr 6 valid 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 2 ptr 2 valid 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 4 ptr 1 valid 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 547] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 547] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 547] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 3 stqCommited 0 emptySlot 0 -[DEBUG][time= 547] LsExeUnit: retiringStore now... -[DEBUG][time= 547] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483932------> s1 fire!!! -[DEBUG][time= 547] FakeCache: [Stage1_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 547] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 547] FakeCache: [Stage2_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 547] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 547] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 547] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 547] IFU: [IF1]if1_valid:1 || if1_npc:0x008000013c || if1_pcUpdate:1 if1_pc:0x008000011c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 547] IFU: [IF2]if2_valid:1 || if2_pc:0x008000011c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 547] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 547] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 547] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000fc if3_npc:0x008000011c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 547] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 547] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000fc -[DEBUG][time= 547] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] starPC:0x00800000dc GroupPC:0x00800000c0n -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction 00013403 pnpc:0x00800000e0 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction 01010113 pnpc:0x00800000e4 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000e8 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction 00d00513 pnpc:0x00800000ec -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction fc1ff0ef pnpc:0x00800000f0 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction fd5ff06f pnpc:0x00800000f4 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction 08058c63 pnpc:0x00800000f8 -[DEBUG][time= 547] IFU: [IFU-Out-FetchPacket] instruction fd010113 pnpc:0x00800000fc -[DEBUG][time= 547] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 547] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 547] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 547] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 547] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 547] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 547] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 547] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 547] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 547] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 547] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 547] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 45:1 0:1 0:0) Dest: 47 oldDest: 13 pc:0080000020 roqIdx:12 -[INFO ][time= 547] IssueQueue_1: EnqData: src1:0000000080000010 src2:0000000000001000 src3:0000000000000000 pc:0080000010 roqIdx:0e(for last cycle's Ctrl) -[INFO ][time= 547] IssueQueue_1: Deq:(1 1) [ 0|0000000080000010][ 0|0000000000001000][ 0|c63124ed8740c04f] pdest: 43 pc:0080000010 roqIdx:0e -[DEBUG][time= 547] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 547] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 43) -[DEBUG][time= 547] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 547] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 547] IssueQueue_1: 1 |1|1| 0|1|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000010|0e -[DEBUG][time= 547] IssueQueue_1: 0 |0|1| 0|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 3 |008000008c|0b <- -[DEBUG][time= 547] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 547] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 547] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 547] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 547] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 547] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 547] IssueQueue_2: WakeUpHit: IQIdx:0 Src0: 43 Ports:1 Data:0000000080001010 Pc:0080000010 RoqIdx:0e -[INFO ][time= 547] IssueQueue_2: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 45:1 0:1 0:0) Dest: 48 oldDest: 41 pc:0080000024 roqIdx:13 -[INFO ][time= 547] IssueQueue_2: EnqData: src1:d95ad4be237be8dc src2:fffffffffffffea0 src3:0000000000000000 pc:0080000014 roqIdx:0f(for last cycle's Ctrl) -[DEBUG][time= 547] IssueQueue_2: tailAll: 1 KID(010) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(1 1) Out(0 1) -[DEBUG][time= 547] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 547] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 547] IssueQueue_2: 0 |1|0| 43|0|d95ad4be237be8dc| 0|1|d95ad4be237be8dc| 0|0|0000000000000000| 4 |0080000014|0f -[DEBUG][time= 547] IssueQueue_2: 1 |0|1| 40|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 3 |0080000090|0c <- -[DEBUG][time= 547] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 547] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 547] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 547] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 547] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 547] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 547] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 45:1 0:1 0:0) Dest: 49 oldDest: 11 pc:0080000028 roqIdx:14 -[INFO ][time= 547] IssueQueue_3: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000018 roqIdx:10(for last cycle's Ctrl) -[INFO ][time= 547] IssueQueue_3: Deq:(1 1) [ 0|0000000000000000][ 0|0000000000000000][ 0|04ea9d532908f0c8] pdest: 45 pc:0080000018 roqIdx:10 -[DEBUG][time= 547] IssueQueue_3: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 547] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 45) -[DEBUG][time= 547] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 547] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 547] IssueQueue_3: 2 |1|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |0080000018|10 -[DEBUG][time= 547] IssueQueue_3: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 5 |008000009c|0f <- -[DEBUG][time= 547] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 547] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 547] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 547] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 547] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 547] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 547] IssueQueue_4: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 45:1 0:1 0:0) Dest: 50 oldDest: 12 pc:008000002c roqIdx:15 -[INFO ][time= 547] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000000000005 src3:0000000000000000 pc:008000001c roqIdx:11(for last cycle's Ctrl) -[INFO ][time= 547] IssueQueue_4: Deq:(1 1) [ 0|0000000000000000][ 0|0000000000000005][ 0|cc3111a43502079a] pdest: 46 pc:008000001c roqIdx:11 -[DEBUG][time= 547] IssueQueue_4: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 547] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 46) -[DEBUG][time= 547] IssueQueue_4: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 547] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 547] IssueQueue_4: 0 |1|1| 0|1|0000000000000000| 0|1|000000000000000a| 0|0|0000000000000000| 4 |008000001c|11 -[DEBUG][time= 547] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 5 |00800000a0|10 <- -[DEBUG][time= 547] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 547] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 547] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 547] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 547] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 547] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 547] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 547] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 547] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 547] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 547] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 547] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 547] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 547] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 547] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 547] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 547] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 547] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 547] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 547] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 547] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 547] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 547] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 547] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 547] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 547] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 547] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 547] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 547] IssueQueue_7: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 44:0 45:1 0:0) Dest: 32 oldDest: 0 pc:0080000030 roqIdx:16 -[DEBUG][time= 547] IssueQueue_7: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(1 1) Out(0 0) -[DEBUG][time= 547] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 547] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 547] IssueQueue_7: 2 |0|1| 38|1|0000000040600000| 39|1|0000000000000003| 0|0|0000000000000000| 2 |00800000a4|09 <- -[DEBUG][time= 547] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 -[DEBUG][time= 547] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 547] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 547] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 547] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 547] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 547] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 547] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 547] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 547] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 547] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 547] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 547] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 547] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 547] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 547] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 547] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 547] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 547] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 547] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 22 -[DEBUG][time= 547] FreeList_1: req:0 canAlloc:1 pdest: 54 headNext:0: 22 -[DEBUG][time= 547] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 23 -[DEBUG][time= 547] FreeList_1: req:1 canAlloc:1 pdest: 54 headNext:0: 23 -[DEBUG][time= 547] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 23 -[DEBUG][time= 547] FreeList_1: req:0 canAlloc:1 pdest: 55 headNext:0: 23 -[DEBUG][time= 547] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 24 -[DEBUG][time= 547] FreeList_1: req:1 canAlloc:1 pdest: 55 headNext:0: 24 -[DEBUG][time= 547] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 25 -[DEBUG][time= 547] FreeList_1: req:1 canAlloc:1 pdest: 56 headNext:0: 25 -[DEBUG][time= 547] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 547] FreeList_1: head:0: 22 tail:1: 11 -[DEBUG][time= 547] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 547] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 7 -[DEBUG][time= 547] Brq: ---------------- -[DEBUG][time= 547] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 7 -[DEBUG][time= 547] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 7 -[DEBUG][time= 547] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 7 -[DEBUG][time= 547] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 7 -[DEBUG][time= 547] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 7 -[DEBUG][time= 547] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 7 -[DEBUG][time= 548] Tage: req: pc=0x008000015c, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 548] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 548] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 548] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 00cc63b969| 0 1) -[DEBUG][time= 548] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 548] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 548] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 548] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 548] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 548] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 548] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 548] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 548] BusyTable_1: writeback 47 -[DEBUG][time= 548] BusyTable_1: writeback 49 -[DEBUG][time= 548] BusyTable_1: writeback 50 -[DEBUG][time= 548] BusyTable_1: 44 is busy -[DEBUG][time= 548] BusyTable_1: 47 is busy -[DEBUG][time= 548] BusyTable_1: 48 is busy -[DEBUG][time= 548] BusyTable_1: 49 is busy -[DEBUG][time= 548] BusyTable_1: 50 is busy -[DEBUG][time= 548] BusyTable_1: 51 is busy -[DEBUG][time= 548] BusyTable_1: 52 is busy -[DEBUG][time= 548] BusyTable_1: 53 is busy -[DEBUG][time= 548] BusyTable_1: 54 is busy -[DEBUG][time= 548] BusyTable_1: 55 is busy -[DEBUG][time= 548] BusyTable_1: 56 is busy -[DEBUG][time= 548] BPUStage2: in:(1 1) pc=008000013c out:(1 1) pc=008000011c -[DEBUG][time= 548] BPUStage2: validLatch=1 pc=008000011c -[DEBUG][time= 548] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 548] DispatchGen: priority: data(1) = 2, priority = 3 -[DEBUG][time= 548] DispatchGen: priority: data(2) = 1, priority = 1 -[DEBUG][time= 548] DispatchGen: priority: data(3) = 1, priority = 2 -[INFO ][time= 548] WriteBackArbMtoN: out(1) pc(0x0080000020) writebacks 0x0000000000000001 to pdest( 47) ldest(13) -[INFO ][time= 548] WriteBackArbMtoN: out(3) pc(0x0080000028) writebacks 0x0000000000000003 to pdest( 49) ldest(11) -[INFO ][time= 548] WriteBackArbMtoN: out(4) pc(0x008000002c) writebacks 0x0000000000000004 to pdest( 50) ldest(12) -[DEBUG][time= 548] BPUStage1: in:(1 1) pc=008000015c ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 548] BPUStage1: outPred:(1) pc=0x008000013c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 548] BPUStage3: [RAS]:pc=0x008000011c, rasWritePosition= 2, rasWriteAddr=0x008000011c -[DEBUG][time= 548] BPUStage3: in:(1 1) pc=008000011c -[DEBUG][time= 548] BPUStage3: out:1 pc=00800000fc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000011c -[DEBUG][time= 548] BPUStage3: flushS3=0 -[DEBUG][time= 548] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 548] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 548] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 548] JBTAC: read: pc=0x008000015c, histXORAddr=0x0080000162, bank=1, row= 22, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 548] JBTAC: read_resp: pc=0x008000013c, bank=1, row= 16, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 548] Frontend: inst:00001517 pc:0080000070 -[INFO ][time= 548] Frontend: inst:c5050513 pc:0080000074 -[INFO ][time= 548] Frontend: inst:3d5000ef pc:0080000078 -[INFO ][time= 548] Frontend: inst:0000006f pc:008000007c -[INFO ][time= 548] Frontend: inst:ff010113 pc:0080000080 -[INFO ][time= 548] Frontend: inst:00113423 pc:0080000084 -[DEBUG][time= 548] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 548] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 548] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 548] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:008000007c instr:0000006f -[DEBUG][time= 548] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 548] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000084 instr:00113423 -[DEBUG][time= 548] Ibuffer: Enque: -[DEBUG][time= 548] Ibuffer: 00000010100000010011000000100011 -[DEBUG][time= 548] Ibuffer: 00000000100100010011110000100011 -[DEBUG][time= 548] Ibuffer: 00000001001000010011100000100011 -[DEBUG][time= 548] Ibuffer: 00000001001100010011010000100011 -[DEBUG][time= 548] Ibuffer: 00000010000100010011010000100011 -[DEBUG][time= 548] Ibuffer: 11111111111101011000010010011011 -[DEBUG][time= 548] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 548] Ibuffer: 00000000000001010000100100010011 -[DEBUG][time= 548] Ibuffer: Deque: -[DEBUG][time= 548] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=1 -[DEBUG][time= 548] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=1 -[DEBUG][time= 548] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=1 -[DEBUG][time= 548] Ibuffer: 00000000000000000000000001101111 PC=008000007c v=1 r=1 -[DEBUG][time= 548] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=1 -[DEBUG][time= 548] Ibuffer: 00000000000100010011010000100011 PC=0080000084 v=1 r=1 -[DEBUG][time= 548] Ibuffer: last_head_ptr= 48 last_tail_ptr=110 -[DEBUG][time= 548] BTB: read: pc=0x008000015c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 548] BTB: read_resp: pc=0x008000013c, readIdx=158------------------------------- -[DEBUG][time= 548] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 548] BTB: bankIdxInOrder:[DEBUG][time= 548] BTB: 14 [DEBUG][time= 548] BTB: 15 [DEBUG][time= 548] BTB: 0 [DEBUG][time= 548] BTB: 1 [DEBUG][time= 548] BTB: 2 [DEBUG][time= 548] BTB: 3 [DEBUG][time= 548] BTB: 4 [DEBUG][time= 548] BTB: 5 [DEBUG][time= 548] BTB: 6 [DEBUG][time= 548] BTB: 7 [DEBUG][time= 548] BTB: 8 [DEBUG][time= 548] BTB: 9 [DEBUG][time= 548] BTB: 10 [DEBUG][time= 548] BTB: 11 [DEBUG][time= 548] BTB: 12 [DEBUG][time= 548] BTB: 13 [DEBUG][time= 548] BTB: -[DEBUG][time= 548] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 548] AluExeUnit: src1:0000000000000000 src2:0000000000000001 offset:0000000000000001 func:0000000 pc:0000000080000020 -[DEBUG][time= 548] AluExeUnit: res:0000000000000001 aluRes:00000000000000001 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000001 taken:0 -[DEBUG][time= 548] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 548] AluExeUnit_2: src1:0000000000000000 src2:0000000000000003 offset:0000000000000003 func:0000000 pc:0000000080000028 -[DEBUG][time= 548] AluExeUnit_2: res:0000000000000003 aluRes:00000000000000003 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000003 taken:0 -[DEBUG][time= 548] AluExeUnit_3: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 548] AluExeUnit_3: src1:0000000000000000 src2:0000000000000004 offset:0000000000000004 func:0000000 pc:000000008000002c -[DEBUG][time= 548] AluExeUnit_3: res:0000000000000004 aluRes:00000000000000004 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000004 taken:0 -[INFO ][time= 548] Rename: pc:0080000058 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 548] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[INFO ][time= 548] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 54 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 548] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 56 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 55 out v:0 r:1 -[INFO ][time= 548] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 56 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 56 out v:0 r:1 -[INFO ][time= 548] Rename: pc:008000006c in v:1 in rdy:1 lsrc1:10 -> psrc1: 56 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 548] Rename: int rat arch: ldest:14 pdest: 43 -[INFO ][time= 548] Dispatch1: pc 0x0080000058 accepted by queue 1 0 0 -[INFO ][time= 548] Dispatch1: pc 0x008000005c accepted by queue 1 0 0 -[INFO ][time= 548] Dispatch1: pc 0x0080000060 accepted by queue 0 0 1 -[INFO ][time= 548] Dispatch1: pc 0x0080000064 accepted by queue 1 0 0 -[INFO ][time= 548] Dispatch1: pc 0x0080000068 accepted by queue 1 0 0 -[DEBUG][time= 548] Dispatch1: pc 0x0080000058 receives nroq 32 -[DEBUG][time= 548] Dispatch1: v:1 r:1 pc 0x0080000058 of type 0000 is in 0-th slot -[DEBUG][time= 548] Dispatch1: pc 0x008000005c receives nroq 33 -[DEBUG][time= 548] Dispatch1: v:1 r:1 pc 0x008000005c of type 0011 is in 1-th slot -[DEBUG][time= 548] Dispatch1: pc 0x0080000060 receives nroq 34 -[DEBUG][time= 548] Dispatch1: v:1 r:1 pc 0x0080000060 of type 1101 is in 2-th slot -[DEBUG][time= 548] Dispatch1: pc 0x0080000064 receives nroq 35 -[DEBUG][time= 548] Dispatch1: v:1 r:1 pc 0x0080000064 of type 0011 is in 3-th slot -[DEBUG][time= 548] Dispatch1: pc 0x0080000068 receives nroq 36 -[DEBUG][time= 548] Dispatch1: v:1 r:1 pc 0x0080000068 of type 0011 is in 4-th slot -[DEBUG][time= 548] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 5-th slot -[DEBUG][time= 548] Dispatch2: int dp queue 0: 0080000044 type 0011 -[DEBUG][time= 548] Dispatch2: int dp queue 1: 0080000048 type 0011 -[DEBUG][time= 548] Dispatch2: int dp queue 2: 008000004c type 0011 -[DEBUG][time= 548] Dispatch2: int dp queue 3: 0080000050 type 0011 -[DEBUG][time= 548] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 548] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 548] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 548] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 548] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 548] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 548] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 548] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 548] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 548] Dispatch2: regfile 0 from 0 -[DEBUG][time= 548] Dispatch2: regfile 1 from 0 -[DEBUG][time= 548] Dispatch2: regfile 2 from 3 -[DEBUG][time= 548] Dispatch2: regfile 3 from 3 -[DEBUG][time= 548] Dispatch2: regfile 4 from 1 -[DEBUG][time= 548] Dispatch2: regfile 5 from 1 -[DEBUG][time= 548] Dispatch2: regfile 6 from 2 -[DEBUG][time= 548] Dispatch2: regfile 7 from 2 -[DEBUG][time= 548] Dispatch2: int regfile 0: addr 47, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 4: addr 44, state 0 -[DEBUG][time= 548] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 6: addr 47, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 8: addr 44, state 0 -[DEBUG][time= 548] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 9: addr 47, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 12: addr 47, state 1 -[DEBUG][time= 548] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 548] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 548] Dispatch2: pc 0x0080000044 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 548] Dispatch2: pc 0x0080000050 with type 0011 srcState(1 1 0) enters reservation station 2 from 3 -[INFO ][time= 548] Dispatch2: pc 0x0080000048 with type 0011 srcState(0 1 0) enters reservation station 3 from 1 -[INFO ][time= 548] Dispatch2: pc 0x008000004c with type 0011 srcState(1 1 0) enters reservation station 4 from 2 -[INFO ][time= 548] Dispatch2: pc 0x0080000034 with type 1101 srcState(0 1 0) enters reservation station 7 from 0 -[INFO ][time= 548] Dispatch2: pc 0x0080000044 leaves Int dispatch queue with nroq 27 -[INFO ][time= 548] Dispatch2: pc 0x0080000048 leaves Int dispatch queue with nroq 28 -[INFO ][time= 548] Dispatch2: pc 0x008000004c leaves Int dispatch queue with nroq 29 -[INFO ][time= 548] Dispatch2: pc 0x0080000050 leaves Int dispatch queue with nroq 30 -[INFO ][time= 548] Dispatch2: pc 0x0080000034 leaves Ls dispatch queue with nroq 23 -[DEBUG][time= 548] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 548] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 548] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[DEBUG][time= 548] Dispatch2: pc 0x0080000020 reads operands from ( 0, 45, 0000000000000000), ( 1, 0, 0000000000000001), ( 0, 0, 0000000000000000) -[DEBUG][time= 548] Dispatch2: pc 0x0080000024 reads operands from ( 2, 45, 0000000000000000), ( 3, 0, 0000000000000002), ( 0, 0, 0000000000000000) -[DEBUG][time= 548] Dispatch2: pc 0x0080000028 reads operands from ( 4, 45, 0000000000000000), ( 5, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[DEBUG][time= 548] Dispatch2: pc 0x008000002c reads operands from ( 6, 45, 0000000000000000), ( 7, 0, 0000000000000004), ( 0, 0, 0000000000000000) -[DEBUG][time= 548] Dispatch2: pc 0x0080000030 reads operands from ( 8, 44, d95ad4be237be8dc), ( 9, 45, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 548] DecodeBuffer: in v:1 r:1 pc=0080000070 -[INFO ][time= 548] DecodeBuffer: in v:1 r:1 pc=0080000074 -[INFO ][time= 548] DecodeBuffer: in v:1 r:1 pc=0080000078 -[INFO ][time= 548] DecodeBuffer: in v:1 r:1 pc=008000007c -[INFO ][time= 548] DecodeBuffer: in v:1 r:1 pc=0080000080 -[INFO ][time= 548] DecodeBuffer: in v:1 r:1 pc=0080000084 -[INFO ][time= 548] DecodeBuffer: out v:0 r:1 pc=0080000058 -[INFO ][time= 548] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 548] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 548] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 548] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 548] DecodeBuffer: out v:1 r:1 pc=008000006c -[ERROR][time= 548] Roq: CSR block should only happen in s_idle -[DEBUG][time= 548] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 0) -[INFO ][time= 548] Roq: dispatched 5 insts -[INFO ][time= 548] Roq: writebacked 3 insts -[INFO ][time= 548] Roq: writebacked pc 0x0080000020 wen 1 data 0x0000000000000001 ldst 13 pdst 47 skip 0 -[INFO ][time= 548] Roq: writebacked pc 0x0080000028 wen 1 data 0x0000000000000003 ldst 11 pdst 49 skip 0 -[INFO ][time= 548] Roq: writebacked pc 0x008000002c wen 1 data 0x0000000000000004 ldst 12 pdst 50 skip 0 -[INFO ][time= 548] Roq: retired pc 0080000010 wen 1 ldst 14 data 0000000080001010 -[INFO ][time= 548] Roq: retired 1 insts -[DEBUG][time= 548] Roq: head 1: 0 tail 0:14 -[DEBUG][time= 548] Roq: --------------wvwwvvvvvvvvvvvvvv -[DEBUG][time= 548] Roq: 00800000e0 - 00800000e4 - 00800000e8 - 008000000c - -[DEBUG][time= 548] Roq: 0080000080 - 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 548] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 008000008c - -[DEBUG][time= 548] Roq: 0080000090 - 0080000094 - 0080000010 w 0080000014 v -[DEBUG][time= 548] Roq: 0080000018 w 008000001c w 0080000020 v 0080000024 v -[DEBUG][time= 548] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 548] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 548] Roq: 0080000048 v 008000004c v 0080000050 v 0080000054 v -[DEBUG][time= 548] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 5 -> 6) -[DEBUG][time= 548] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 9 -> 10) -[DEBUG][time= 548] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 5), tail = (1, 9), -[DEBUG][time= 548] DispatchQueue: IntDpQ: num_deq = 4, head = (12 -> 0) -[DEBUG][time= 548] DispatchQueue: IntDpQ: num_enq = 4, tail = ( 1 -> 5) -[DEBUG][time= 548] DispatchQueue: IntDpQ: valid_entries = 5, head = (1, 12), tail = (0, 1), -[DEBUG][time= 548] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr aa55dcebcc63b969 size 2 data 3fe45a5b3fe45a5b mask 001e cmd 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 0 ptr 3 valid 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 1 ptr 6 valid 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 2 ptr 2 valid 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 4 ptr 1 valid 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 548] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 548] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 548] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 3 stqCommited 0 emptySlot 0 -[DEBUG][time= 548] LsExeUnit: retiringStore now... -[DEBUG][time= 548] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483964------> s1 fire!!! -[DEBUG][time= 548] FakeCache: [Stage1_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 548] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 548] FakeCache: [Stage2_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 548] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 548] FakeCache: [Stage3_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 548] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 548] IFU: [IF1]if1_valid:1 || if1_npc:0x008000015c || if1_pcUpdate:1 if1_pc:0x008000013c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 548] IFU: [IF2]if2_valid:1 || if2_pc:0x008000013c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 548] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 548] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 548] IFU: [IF3]if3_valid:1 || if3_pc:0x008000011c if3_npc:0x008000013c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 548] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000fc if4_npc:0x008000011c -[DEBUG][time= 548] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000011c -[DEBUG][time= 548] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] starPC:0x00800000fc GroupPC:0x00800000e0n -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction 02813023 pnpc:0x0080000100 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction 00913c23 pnpc:0x0080000104 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction 01213823 pnpc:0x0080000108 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction 01313423 pnpc:0x008000010c -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction 02113423 pnpc:0x0080000110 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction fff5849b pnpc:0x0080000114 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x0080000118 -[DEBUG][time= 548] IFU: [IFU-Out-FetchPacket] instruction 00050913 pnpc:0x008000011c -[DEBUG][time= 548] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 548] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 548] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 548] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 548] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 548] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 548] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 548] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 548] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 548] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 548] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 548] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 47:1 0:1 0:0) Dest: 51 oldDest: 45 pc:0080000044 roqIdx:1b -[INFO ][time= 548] IssueQueue_1: EnqData: src1:0000000000000000 src2:0000000000000001 src3:0000000000000000 pc:0080000020 roqIdx:12(for last cycle's Ctrl) -[INFO ][time= 548] IssueQueue_1: Deq:(1 1) [ 45|0000000000000000][ 0|0000000000000001][ 0|c63124ed8740c04f] pdest: 47 pc:0080000020 roqIdx:12 -[DEBUG][time= 548] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 548] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 47) -[DEBUG][time= 548] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 548] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 548] IssueQueue_1: 0 |1|1| 45|1|000000008000008c| 0|1|0000000000001000| 0|0|0000000000000000| 4 |0080000020|12 -[DEBUG][time= 548] IssueQueue_1: 1 |0|1| 0|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 4 |0080000010|0e <- -[DEBUG][time= 548] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 548] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 548] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 548] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 548] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 548] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 548] IssueQueue_2: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 0:1 0:1 0:0) Dest: 53 oldDest: 48 pc:0080000050 roqIdx:1e -[INFO ][time= 548] IssueQueue_2: EnqData: src1:0000000000000000 src2:0000000000000002 src3:0000000000000000 pc:0080000024 roqIdx:13(for last cycle's Ctrl) -[DEBUG][time= 548] IssueQueue_2: tailAll: 2 KID(111) tailDot:00000111 tailDot2:00000011 selDot:00000001 popDot:00000000 moveDot:00000110 In(1 1) Out(0 1) -[DEBUG][time= 548] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(1, 44) -[DEBUG][time= 548] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 548] IssueQueue_2: 0 |1|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f -[DEBUG][time= 548] IssueQueue_2: 1 |1|1| 45|1|000000008000108c| 0|1|fffffffffffffc49| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 548] IssueQueue_2: 2 |0|1| 70|1|d63520b693d5bee7| 110|1|0ca5a26d2e283069| 3|0|0000000000000000| 6 |1e4d8b89f5|26 <- -[DEBUG][time= 548] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 548] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 548] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 548] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 548] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 548] IssueQueue_3: EnqBypassCtrlHit: enqSelIq:2 Src0: 44 Ports:1 Pc:0080000014 RoqIdx:0f -[INFO ][time= 548] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 44:0 0:1 0:0) Dest: 52 oldDest: 44 pc:0080000048 roqIdx:1c -[INFO ][time= 548] IssueQueue_3: EnqData: src1:0000000000000000 src2:0000000000000003 src3:0000000000000000 pc:0080000028 roqIdx:14(for last cycle's Ctrl) -[INFO ][time= 548] IssueQueue_3: Deq:(1 1) [ 45|0000000000000000][ 0|0000000000000003][ 0|04ea9d532908f0c8] pdest: 49 pc:0080000028 roqIdx:14 -[DEBUG][time= 548] IssueQueue_3: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 548] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 548] IssueQueue_3: NoDelayIss: enqALRdy:0 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 548] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 548] IssueQueue_3: 1 |1|1| 45|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| 4 |0080000028|14 -[DEBUG][time= 548] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000018|10 <- -[DEBUG][time= 548] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 548] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 548] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 548] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 548] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 548] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 548] IssueQueue_4: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 47:1 46:1 0:0) Dest: 32 oldDest: 0 pc:008000004c roqIdx:1d -[INFO ][time= 548] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000000000004 src3:0000000000000000 pc:008000002c roqIdx:15(for last cycle's Ctrl) -[INFO ][time= 548] IssueQueue_4: Deq:(1 1) [ 45|0000000000000000][ 0|0000000000000004][ 0|cc3111a43502079a] pdest: 50 pc:008000002c roqIdx:15 -[DEBUG][time= 548] IssueQueue_4: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 548] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 50) -[DEBUG][time= 548] IssueQueue_4: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 548] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 548] IssueQueue_4: 1 |1|1| 45|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |008000002c|15 -[DEBUG][time= 548] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000005| 0|0|0000000000000000| 4 |008000001c|11 <- -[DEBUG][time= 548] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 548] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 548] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 548] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 548] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 548] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 548] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 548] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 548] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 548] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 548] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 548] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 548] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 548] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 548] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 548] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 548] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 548] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 548] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 548] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 548] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 548] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 548] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 548] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 548] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 548] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 548] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 548] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 548] IssueQueue_7: BypassCtrlHit: IQIdx:2 Src0: 44 Ports:1 Pc:0080000014 RoqIdx:0f -[DEBUG][time= 548] IssueQueue_7: EnqBypassCtrlHit: enqSelIq:0 Src0: 44 Ports:1 Pc:0080000014 RoqIdx:0f -[INFO ][time= 548] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 44:0 47:1 0:0) Dest: 32 oldDest: 0 pc:0080000034 roqIdx:17 -[INFO ][time= 548] IssueQueue_7: EnqData: src1:d95ad4be237be8dc src2:0000000000000000 src3:0000000000000000 pc:0080000030 roqIdx:16(for last cycle's Ctrl) -[DEBUG][time= 548] IssueQueue_7: tailAll: 1 KID(010) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000000 moveDot:00000010 In(1 1) Out(0 0) -[DEBUG][time= 548] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 548] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 548] IssueQueue_7: 2 |1|0| 44|0|0000000040600000| 45|1|0000000000000003| 0|0|0000000000000000| 4 |0080000030|16 -[DEBUG][time= 548] IssueQueue_7: 0 |0|0| 44|0|d95ad4be237be8dc| 45|0|d95ad4be237be8dc| 0|0|0000000000000000| 5 |00800000a4|11 <- -[DEBUG][time= 548] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c -[DEBUG][time= 548] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 548] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 548] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 548] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 548] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 548] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 548] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 548] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 548] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 548] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 548] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 548] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 548] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 548] FreeList_1: dealloc preg: 39 -[DEBUG][time= 548] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 548] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 548] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 548] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 548] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 548] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 25 -[DEBUG][time= 548] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 548] FreeList_1: head:0: 25 tail:1: 11 -[DEBUG][time= 548] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 548] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 7 -[DEBUG][time= 548] Brq: ---------------- -[DEBUG][time= 548] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 7 -[DEBUG][time= 548] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v: 7 -[DEBUG][time= 548] Brq: enq v:1 rdy:1 pc:0080000078 brTag:f:0 v: 7 -[DEBUG][time= 548] Brq: enq v:1 rdy:1 pc:008000007c brTag:f:0 v: 8 -[DEBUG][time= 548] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v: 9 -[DEBUG][time= 548] Brq: enq v:0 rdy:1 pc:0080000084 brTag:f:0 v: 9 -[DEBUG][time= 549] Tage: req: pc=0x008000017c, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 549] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 549] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 549] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 00cc63b969| 0 1) -[DEBUG][time= 549] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 549] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 549] RegfileReadPortGen: int 1: want 0100, deqChoice: 1 -[DEBUG][time= 549] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 549] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 549] RegfileReadPortGen: dynamicExuSrc 0: 1 0100 -[DEBUG][time= 549] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 549] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 549] BusyTable_1: Allocate 57 -[DEBUG][time= 549] BusyTable_1: Allocate 58 -[DEBUG][time= 549] BusyTable_1: Allocate 59 -[DEBUG][time= 549] BusyTable_1: Allocate 60 -[DEBUG][time= 549] BusyTable_1: writeback 51 -[DEBUG][time= 549] BusyTable_1: writeback 44 -[DEBUG][time= 549] BusyTable_1: 44 is busy -[DEBUG][time= 549] BusyTable_1: 48 is busy -[DEBUG][time= 549] BusyTable_1: 51 is busy -[DEBUG][time= 549] BusyTable_1: 52 is busy -[DEBUG][time= 549] BusyTable_1: 53 is busy -[DEBUG][time= 549] BusyTable_1: 54 is busy -[DEBUG][time= 549] BusyTable_1: 55 is busy -[DEBUG][time= 549] BusyTable_1: 56 is busy -[DEBUG][time= 549] BPUStage2: in:(1 1) pc=008000015c out:(1 1) pc=008000013c -[DEBUG][time= 549] BPUStage2: validLatch=1 pc=008000013c -[DEBUG][time= 549] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 549] DispatchGen: priority: data(1) = 2, priority = 3 -[DEBUG][time= 549] DispatchGen: priority: data(2) = 1, priority = 1 -[DEBUG][time= 549] DispatchGen: priority: data(3) = 1, priority = 2 -[INFO ][time= 549] WriteBackArbMtoN: out(1) pc(0x0080000044) writebacks 0x0000000000000001 to pdest( 51) ldest(15) -[INFO ][time= 549] WriteBackArbMtoN: out(2) pc(0x0080000014) writebacks 0x0000000080000eb0 to pdest( 44) ldest(14) -[DEBUG][time= 549] BPUStage1: in:(1 1) pc=008000017c ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 549] BPUStage1: outPred:(1) pc=0x008000015c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 549] BPUStage3: [RAS]:pc=0x008000013c, rasWritePosition= 2, rasWriteAddr=0x008000013c -[DEBUG][time= 549] BPUStage3: in:(1 1) pc=008000013c -[DEBUG][time= 549] BPUStage3: out:1 pc=008000011c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000013c -[DEBUG][time= 549] BPUStage3: flushS3=0 -[DEBUG][time= 549] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 549] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 549] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 549] JBTAC: read: pc=0x008000017c, histXORAddr=0x0080000142, bank=1, row= 20, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 549] JBTAC: read_resp: pc=0x008000015c, bank=1, row= 22, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 549] Frontend: inst:014000ef pc:0080000088 -[INFO ][time= 549] Frontend: inst:406007b7 pc:008000009c -[INFO ][time= 549] Frontend: inst:00300713 pc:00800000a0 -[INFO ][time= 549] Frontend: inst:00e78623 pc:00800000a4 -[INFO ][time= 549] Frontend: inst:00008067 pc:00800000a8 -[INFO ][time= 549] Frontend: inst:ff010113 pc:00800000ac -[DEBUG][time= 549] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000088 instr:014000ef -[DEBUG][time= 549] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 549] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a0 instr:00300713 -[DEBUG][time= 549] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a4 instr:00e78623 -[DEBUG][time= 549] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000a8 instr:00008067 -[DEBUG][time= 549] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000ac instr:ff010113 -[DEBUG][time= 549] Ibuffer: Enque: -[DEBUG][time= 549] Ibuffer: 11111111111100000000100110010011 -[DEBUG][time= 549] Ibuffer: 00000010100000000000000001101111 -[DEBUG][time= 549] Ibuffer: 00000001100001000011011100000011 -[DEBUG][time= 549] Ibuffer: 00000000111001111000011001100011 -[DEBUG][time= 549] Ibuffer: 00000000110101000011100000100011 -[DEBUG][time= 549] Ibuffer: 00000001001001111000000000100011 -[DEBUG][time= 549] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 549] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 549] Ibuffer: Deque: -[DEBUG][time= 549] Ibuffer: 00000001010000000000000011101111 PC=0080000088 v=1 r=1 -[DEBUG][time= 549] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=1 -[DEBUG][time= 549] Ibuffer: 00000000001100000000011100010011 PC=00800000a0 v=1 r=1 -[DEBUG][time= 549] Ibuffer: 00000000111001111000011000100011 PC=00800000a4 v=1 r=1 -[DEBUG][time= 549] Ibuffer: 00000000000000001000000001100111 PC=00800000a8 v=1 r=1 -[DEBUG][time= 549] Ibuffer: 11111111000000010000000100010011 PC=00800000ac v=1 r=1 -[DEBUG][time= 549] Ibuffer: last_head_ptr= 60 last_tail_ptr=126 -[DEBUG][time= 549] BTB: read: pc=0x008000017c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 549] BTB: read_resp: pc=0x008000015c, readIdx=174------------------------------- -[DEBUG][time= 549] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 549] BTB: bankIdxInOrder:[DEBUG][time= 549] BTB: 14 [DEBUG][time= 549] BTB: 15 [DEBUG][time= 549] BTB: 0 [DEBUG][time= 549] BTB: 1 [DEBUG][time= 549] BTB: 2 [DEBUG][time= 549] BTB: 3 [DEBUG][time= 549] BTB: 4 [DEBUG][time= 549] BTB: 5 [DEBUG][time= 549] BTB: 6 [DEBUG][time= 549] BTB: 7 [DEBUG][time= 549] BTB: 8 [DEBUG][time= 549] BTB: 9 [DEBUG][time= 549] BTB: 10 [DEBUG][time= 549] BTB: 11 [DEBUG][time= 549] BTB: 12 [DEBUG][time= 549] BTB: 13 [DEBUG][time= 549] BTB: -[DEBUG][time= 549] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 549] AluExeUnit: src1:0000000000000001 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000044 -[DEBUG][time= 549] AluExeUnit: res:0000000000000001 aluRes:00000000000000001 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000001 taken:0 -[DEBUG][time= 549] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 549] AluExeUnit_1: src1:0000000080001010 src2:fffffffffffffea0 offset:fffffffffffffea0 func:0000000 pc:0000000080000014 -[DEBUG][time= 549] AluExeUnit_1: res:0000000080000eb0 aluRes:10000000080000eb0 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000eb0 taken:0 -[DEBUG][time= 549] AluExeUnit_3: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 3 -[DEBUG][time= 549] AluExeUnit_3: src1:0000000000000001 src2:0000000000000005 offset:ffffffffffffffd4 func:0010001 pc:000000008000004c -[DEBUG][time= 549] AluExeUnit_3: res:0000000000000020 aluRes:00000000000000020 isRVC:0 isBru:1 isBranch:1 isJump:0 target:0080000020 taken:1 -[INFO ][time= 549] Rename: pc:0080000070 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 57 old_pdest: 56 out v:1 r:1 -[INFO ][time= 549] Rename: pc:0080000074 in v:1 in rdy:1 lsrc1:10 -> psrc1: 57 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 58 old_pdest: 57 out v:1 r:1 -[INFO ][time= 549] Rename: pc:0080000078 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 59 old_pdest: 42 out v:1 r:1 -[INFO ][time= 549] Rename: pc:008000007c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 549] Rename: pc:0080000080 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 60 old_pdest: 54 out v:1 r:1 -[INFO ][time= 549] Rename: pc:0080000084 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 60 lsrc2: 1 -> psrc2: 59 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 549] Dispatch1: pc 0x008000006c accepted by queue 1 0 0 -[DEBUG][time= 549] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 0-th slot -[DEBUG][time= 549] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 1-th slot -[DEBUG][time= 549] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 2-th slot -[DEBUG][time= 549] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 3-th slot -[DEBUG][time= 549] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 4-th slot -[DEBUG][time= 549] Dispatch1: pc 0x008000006c receives nroq 37 -[DEBUG][time= 549] Dispatch1: v:1 r:1 pc 0x008000006c of type 0011 is in 5-th slot -[DEBUG][time= 549] Dispatch2: int dp queue 0: 0080000054 type 0000 -[DEBUG][time= 549] Dispatch2: int dp queue 1: 0080000058 type 0000 -[DEBUG][time= 549] Dispatch2: int dp queue 2: 008000005c type 0011 -[DEBUG][time= 549] Dispatch2: int dp queue 3: 0080000064 type 0011 -[DEBUG][time= 549] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 549] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 549] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 549] Dispatch2: ls dp queue 3: 0080000060 type 1101 -[DEBUG][time= 549] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 549] Dispatch2: dispatch to iq index 1: 2 -[DEBUG][time= 549] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 549] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 549] Dispatch2: regfile 0 from 2 -[DEBUG][time= 549] Dispatch2: regfile 1 from 2 -[DEBUG][time= 549] Dispatch2: regfile 2 from 0 -[DEBUG][time= 549] Dispatch2: regfile 3 from 0 -[DEBUG][time= 549] Dispatch2: regfile 4 from 3 -[DEBUG][time= 549] Dispatch2: regfile 5 from 3 -[DEBUG][time= 549] Dispatch2: regfile 6 from 0 -[DEBUG][time= 549] Dispatch2: regfile 7 from 0 -[DEBUG][time= 549] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 2: addr 42, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 4: addr 53, state 0 -[DEBUG][time= 549] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 6: addr 42, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 8: addr 44, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 9: addr 48, state 0 -[DEBUG][time= 549] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 12: addr 48, state 1 -[DEBUG][time= 549] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 549] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 549] Dispatch2: pc 0x0080000054 with type 0000 srcState(1 1 0) enters reservation station 0 from 0 -[INFO ][time= 549] Dispatch2: pc 0x008000005c with type 0011 srcState(1 1 0) enters reservation station 1 from 2 -[INFO ][time= 549] Dispatch2: pc 0x0080000064 with type 0011 srcState(0 1 0) enters reservation station 3 from 3 -[INFO ][time= 549] Dispatch2: pc 0x0080000038 with type 1101 srcState(1 0 0) enters reservation station 7 from 0 -[INFO ][time= 549] Dispatch2: pc 0x0080000054 leaves Int dispatch queue with nroq 31 -[DEBUG][time= 549] Dispatch2: pc 0x0080000058 waits at Int dispatch queue with index 1 -[INFO ][time= 549] Dispatch2: pc 0x008000005c leaves Int dispatch queue with nroq 33 -[INFO ][time= 549] Dispatch2: pc 0x0080000064 leaves Int dispatch queue with nroq 35 -[INFO ][time= 549] Dispatch2: pc 0x0080000038 leaves Ls dispatch queue with nroq 24 -[DEBUG][time= 549] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 549] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[DEBUG][time= 549] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 3 -[DEBUG][time= 549] Dispatch2: pc 0x0080000044 reads operands from ( 0, 47, 0000000000000001), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 549] Dispatch2: pc 0x0080000050 reads operands from ( 2, 0, 0000000000000000), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 549] Dispatch2: pc 0x0080000048 reads operands from ( 4, 44, d95ad4be237be8dc), ( 5, 0, 0000000000000028), ( 0, 0, 0000000000000000) -[DEBUG][time= 549] Dispatch2: pc 0x008000004c reads operands from ( 6, 47, 0000000000000001), ( 7, 46, 0000000000000005), ( 0, 0, 0000000000000000) -[DEBUG][time= 549] Dispatch2: pc 0x0080000034 reads operands from ( 8, 44, d95ad4be237be8dc), ( 9, 47, 0000000000000001), ( 0, 0, 0000000000000000) -[INFO ][time= 549] DecodeBuffer: in v:1 r:1 pc=0080000088 -[INFO ][time= 549] DecodeBuffer: in v:1 r:1 pc=008000009c -[INFO ][time= 549] DecodeBuffer: in v:1 r:1 pc=00800000a0 -[INFO ][time= 549] DecodeBuffer: in v:1 r:1 pc=00800000a4 -[INFO ][time= 549] DecodeBuffer: in v:1 r:1 pc=00800000a8 -[INFO ][time= 549] DecodeBuffer: in v:1 r:1 pc=00800000ac -[INFO ][time= 549] DecodeBuffer: out v:1 r:1 pc=0080000070 -[INFO ][time= 549] DecodeBuffer: out v:1 r:1 pc=0080000074 -[INFO ][time= 549] DecodeBuffer: out v:1 r:1 pc=0080000078 -[INFO ][time= 549] DecodeBuffer: out v:1 r:1 pc=008000007c -[INFO ][time= 549] DecodeBuffer: out v:1 r:1 pc=0080000080 -[INFO ][time= 549] DecodeBuffer: out v:1 r:1 pc=0080000084 -[ERROR][time= 549] Roq: CSR block should only happen in s_idle -[DEBUG][time= 549] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 1) -[INFO ][time= 549] Roq: dispatched 1 insts -[INFO ][time= 549] Roq: writebacked 2 insts -[INFO ][time= 549] Roq: writebacked pc 0x0080000044 wen 1 data 0x0000000000000001 ldst 15 pdst 51 skip 0 -[INFO ][time= 549] Roq: writebacked pc 0x0080000014 wen 1 data 0x0000000080000eb0 ldst 14 pdst 44 skip 0 -[DEBUG][time= 549] Roq: head 1: 5 tail 0:15 -[DEBUG][time= 549] Roq: vvvvv----------vwwwvwwvvvvvvvvvv -[DEBUG][time= 549] Roq: 0080000058 v 008000005c v 0080000060 v 0080000064 v -[DEBUG][time= 549] Roq: 0080000068 v 0080000084 - 0080000088 - 008000009c - -[DEBUG][time= 549] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 008000008c - -[DEBUG][time= 549] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 v -[DEBUG][time= 549] Roq: 0080000018 w 008000001c w 0080000020 w 0080000024 v -[DEBUG][time= 549] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 549] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 549] Roq: 0080000048 v 008000004c v 0080000050 v 0080000054 v -[DEBUG][time= 549] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 6 -> 7) -[DEBUG][time= 549] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 6), tail = (1, 10), -[DEBUG][time= 549] DispatchQueue: IntDpQ: num_deq = 1, head = ( 0 -> 1) -[DEBUG][time= 549] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 5 -> 6) -[DEBUG][time= 549] DispatchQueue: IntDpQ: valid_entries = 5, head = (0, 0), tail = (0, 5), -[DEBUG][time= 549] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 0/1 addr aa55dcebcc63b969 size 2 data 3fe45a5b3fe45a5b mask 001e cmd 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 0 ptr 3 valid 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 1 ptr 6 valid 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 2 ptr 2 valid 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 4 ptr 1 valid 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 549] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 549] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 549] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 3 stqCommited 0 emptySlot 0 -[DEBUG][time= 549] LsExeUnit: retiringStore now... -[DEBUG][time= 549] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483996------> s1 fire!!! -[DEBUG][time= 549] FakeCache: [Stage1_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 549] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 549] FakeCache: [Stage2_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 549] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 549] FakeCache: [Stage3_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 549] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 549] IFU: [IF1]if1_valid:1 || if1_npc:0x008000017c || if1_pcUpdate:1 if1_pc:0x008000015c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 549] IFU: [IF2]if2_valid:1 || if2_pc:0x008000015c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 549] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 549] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 549] IFU: [IF3]if3_valid:1 || if3_pc:0x008000013c if3_npc:0x008000015c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 549] IFU: [IF4]if4_valid:1 || if4_pc:0x008000011c if4_npc:0x008000013c -[DEBUG][time= 549] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000013c -[DEBUG][time= 549] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] starPC:0x008000011c GroupPC:0x0080000100n -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction fff00993 pnpc:0x0080000120 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction 0280006f pnpc:0x0080000124 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction 01843703 pnpc:0x0080000128 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction 00e78663 pnpc:0x008000012c -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction 00d43823 pnpc:0x0080000130 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction 01278023 pnpc:0x0080000134 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000138 -[DEBUG][time= 549] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x008000013c -[INFO ][time= 549] IssueQueue: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 42:1 0:1 0:0) Dest: 0 oldDest: 0 pc:0080000054 roqIdx:1f -[DEBUG][time= 549] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 549] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 549] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 549] IssueQueue: 0 |0|1| 0|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 3 |0080000094|0d <- -[DEBUG][time= 549] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e -[DEBUG][time= 549] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 549] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 549] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 549] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 549] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 549] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 549] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 36:1 0:1 0:0) Dest: 54 oldDest: 36 pc:008000005c roqIdx:21 -[INFO ][time= 549] IssueQueue_1: EnqData: src1:0000000000000001 src2:0000000000000000 src3:0000000000000000 pc:0080000044 roqIdx:1b(for last cycle's Ctrl) -[INFO ][time= 549] IssueQueue_1: Deq:(1 1) [ 47|0000000000000001][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 51 pc:0080000044 roqIdx:1b -[DEBUG][time= 549] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 549] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 51) -[DEBUG][time= 549] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 549] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 549] IssueQueue_1: 1 |1|1| 47|1|0000000080000010| 0|1|0000000000001000| 0|0|0000000000000000| 4 |0080000044|1b -[DEBUG][time= 549] IssueQueue_1: 0 |0|1| 45|1|0000000000000000| 0|1|0000000000000001| 0|0|0000000000000000| 4 |0080000020|12 <- -[DEBUG][time= 549] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 549] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 549] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 549] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 549] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 549] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 549] IssueQueue_2: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000050 roqIdx:1e(for last cycle's Ctrl) -[INFO ][time= 549] IssueQueue_2: Deq:(1 1) [ 43|0000000080001010][ 0|fffffffffffffea0][ 0|0000000000000000] pdest: 44 pc:0080000014 roqIdx:0f -[DEBUG][time= 549] IssueQueue_2: tailAll: 2 KID(001) tailDot:00000111 tailDot2:00000011 selDot:00000001 popDot:00000000 moveDot:00000110 In(0 1) Out(1 1) -[INFO ][time= 549] IssueQueue_2: FireStage:Out(1 1) src1( 43|0000000080001010) src2( 0|fffffffffffffea0) src3( 0|0000000000000000) deqFlush:0 pc:0080000014 roqIdx:15 -[DEBUG][time= 549] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(1, 48) -[DEBUG][time= 549] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 549] IssueQueue_2: 1 |1|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 549] IssueQueue_2: 2 |1|1| 0|1|d63520b693d5bee7| 0|1|0ca5a26d2e283069| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 549] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 549] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 549] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 549] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 549] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 549] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 549] IssueQueue_3: EnqBypassDataHit: enqSelIq:1 Src0: 53 Ports:1 Data:0000000080000eb0 Pc:0080000024 RoqIdx:13 -[INFO ][time= 549] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 53:0 0:1 0:0) Dest: 55 oldDest: 49 pc:0080000064 roqIdx:23 -[INFO ][time= 549] IssueQueue_3: EnqData: src1:d95ad4be237be8dc src2:0000000000000028 src3:0000000000000000 pc:0080000048 roqIdx:1c(for last cycle's Ctrl) -[DEBUG][time= 549] IssueQueue_3: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(0 1) -[DEBUG][time= 549] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(1, 52) -[DEBUG][time= 549] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 549] IssueQueue_3: 2 |1|1| 44|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000048|1c -[DEBUG][time= 549] IssueQueue_3: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 4 |0080000028|14 <- -[DEBUG][time= 549] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 549] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 549] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 549] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 549] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 549] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 549] IssueQueue_4: EnqData: src1:0000000000000001 src2:0000000000000005 src3:0000000000000000 pc:008000004c roqIdx:1d(for last cycle's Ctrl) -[INFO ][time= 549] IssueQueue_4: Deq:(1 1) [ 47|0000000000000001][ 46|0000000000000005][ 0|cc3111a43502079a] pdest: 32 pc:008000004c roqIdx:1d -[DEBUG][time= 549] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 549] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 32) -[DEBUG][time= 549] IssueQueue_4: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 549] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 549] IssueQueue_4: 0 |1|1| 47|1|0000000000000000| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 549] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 549] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 549] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 549] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 549] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 549] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 549] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 549] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 549] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 549] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 549] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 549] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 549] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 549] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 549] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 549] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 549] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 549] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 549] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 549] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 549] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 549] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 549] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 549] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 549] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 549] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 549] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 549] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 549] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 549] IssueQueue_7: BypassDataHit: IQIdx:2 Src0: 44 Ports:1 Data:0000000080000eb0 Pc:0080000024 RoqIdx:13 -[DEBUG][time= 549] IssueQueue_7: EnqBypassDataHit: enqSelIq:1 Src0: 44 Ports:1 Data:0000000080000eb0 Pc:0080000024 RoqIdx:13 -[DEBUG][time= 549] IssueQueue_7: EnqBypassCtrlHit: enqSelIq:1 Src1: 48 Ports:1 Pc:0080000024 RoqIdx:13 -[INFO ][time= 549] IssueQueue_7: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 44:1 48:0 0:0) Dest: 32 oldDest: 0 pc:0080000038 roqIdx:18 -[INFO ][time= 549] IssueQueue_7: EnqData: src1:d95ad4be237be8dc src2:0000000000000001 src3:0000000000000000 pc:0080000034 roqIdx:17(for last cycle's Ctrl) -[DEBUG][time= 549] IssueQueue_7: tailAll: 2 KID(111) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000000 moveDot:00000110 In(1 1) Out(0 0) -[DEBUG][time= 549] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 549] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 549] IssueQueue_7: 2 |1|1| 44|1|d95ad4be237be8dc| 45|1|0000000000000000| 0|0|0000000000000000| 4 |0080000030|16 -[DEBUG][time= 549] IssueQueue_7: 0 |1|1| 44|1|d95ad4be237be8dc| 47|1|d95ad4be237be8dc| 0|0|0000000000000000| 4 |0080000034|17 -[DEBUG][time= 549] IssueQueue_7: 1 |0|1| 40|1|0000000080008fe0| 32|1|0000000000000000| 0|0|0000000000000000| 3 |00800000b0|0c <- -[DEBUG][time= 549] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 549] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 549] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 549] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 549] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 549] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 549] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 549] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 549] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 549] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 549] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 549] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 549] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 549] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 549] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 549] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 549] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 549] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 549] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 26 -[DEBUG][time= 549] FreeList_1: req:1 canAlloc:1 pdest: 57 headNext:0: 26 -[DEBUG][time= 549] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 27 -[DEBUG][time= 549] FreeList_1: req:1 canAlloc:1 pdest: 58 headNext:0: 27 -[DEBUG][time= 549] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 28 -[DEBUG][time= 549] FreeList_1: req:1 canAlloc:1 pdest: 59 headNext:0: 28 -[DEBUG][time= 549] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 28 -[DEBUG][time= 549] FreeList_1: req:0 canAlloc:1 pdest: 60 headNext:0: 28 -[DEBUG][time= 549] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 29 -[DEBUG][time= 549] FreeList_1: req:1 canAlloc:1 pdest: 60 headNext:0: 29 -[DEBUG][time= 549] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 29 -[DEBUG][time= 549] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 549] FreeList_1: head:0: 25 tail:1: 12 -[DEBUG][time= 549] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 549] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v: 9 -[DEBUG][time= 549] Brq: ---------------- -[INFO ][time= 549] Brq: exu write back: brTag:f:0 v: 4 pc=008000004c pnpc=0080000050 target=0080000020 -[DEBUG][time= 549] Brq: enq v:1 rdy:1 pc:0080000088 brTag:f:0 v: 9 -[DEBUG][time= 549] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v:10 -[DEBUG][time= 549] Brq: enq v:0 rdy:1 pc:00800000a0 brTag:f:0 v:10 -[DEBUG][time= 549] Brq: enq v:0 rdy:1 pc:00800000a4 brTag:f:0 v:10 -[DEBUG][time= 549] Brq: enq v:1 rdy:1 pc:00800000a8 brTag:f:0 v:10 -[DEBUG][time= 549] Brq: enq v:0 rdy:1 pc:00800000ac brTag:f:0 v:11 -[DEBUG][time= 550] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 550] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 550] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 550] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 1 1) tlb (0 0 00cc63b969| 1 1) -[DEBUG][time= 550] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 550] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 550] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 550] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 550] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 550] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 550] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 550] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 550] BusyTable_1: writeback 48 -[DEBUG][time= 550] BusyTable_1: writeback 52 -[DEBUG][time= 550] BusyTable_1: 48 is busy -[DEBUG][time= 550] BusyTable_1: 52 is busy -[DEBUG][time= 550] BusyTable_1: 53 is busy -[DEBUG][time= 550] BusyTable_1: 54 is busy -[DEBUG][time= 550] BusyTable_1: 55 is busy -[DEBUG][time= 550] BusyTable_1: 56 is busy -[DEBUG][time= 550] BusyTable_1: 57 is busy -[DEBUG][time= 550] BusyTable_1: 58 is busy -[DEBUG][time= 550] BusyTable_1: 59 is busy -[DEBUG][time= 550] BusyTable_1: 60 is busy -[DEBUG][time= 550] BPUStage2: in:(0 0) pc=008000017c out:(0 0) pc=008000015c -[DEBUG][time= 550] BPUStage2: validLatch=1 pc=008000015c -[DEBUG][time= 550] BPUStage2: flush!!! -[DEBUG][time= 550] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 550] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 550] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 550] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 550] WriteBackArbMtoN: out(2) pc(0x0080000024) writebacks 0x0000000000000002 to pdest( 48) ldest(10) -[INFO ][time= 550] WriteBackArbMtoN: out(3) pc(0x0080000048) writebacks 0x0000000080000ed8 to pdest( 52) ldest(14) -[DEBUG][time= 550] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 550] BPUStage1: outPred:(0) pc=0x008000017c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 550] BPUStage1: flush from backend: pc=008000004c tgt=0080000020 brTgt=0080000020 btbType=00 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000000001111 fetchIdx=7 isExcpt=0 -[DEBUG][time= 550] BPUStage3: flushS3=0 -[DEBUG][time= 550] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 550] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 550] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 550] JBTAC: read: pc=0x0080000020, histXORAddr=0x008000001e, bank=7, row= 1, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 550] JBTAC: read_resp: pc=0x008000017c, bank=1, row= 20, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 550] JBTAC: [JBTAC]update_req: fetchPC=0x0080000030, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000000001111, bank=7, row= 2, target=0x0080000020, offset=14, type=0x0 -[INFO ][time= 550] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 550] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 550] BTB: read_resp: pc=0x008000017c, readIdx=190------------------------------- -[DEBUG][time= 550] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 550] BTB: bankIdxInOrder:[DEBUG][time= 550] BTB: 14 [DEBUG][time= 550] BTB: 15 [DEBUG][time= 550] BTB: 0 [DEBUG][time= 550] BTB: 1 [DEBUG][time= 550] BTB: 2 [DEBUG][time= 550] BTB: 3 [DEBUG][time= 550] BTB: 4 [DEBUG][time= 550] BTB: 5 [DEBUG][time= 550] BTB: 6 [DEBUG][time= 550] BTB: 7 [DEBUG][time= 550] BTB: 8 [DEBUG][time= 550] BTB: 9 [DEBUG][time= 550] BTB: 10 [DEBUG][time= 550] BTB: 11 [DEBUG][time= 550] BTB: 12 [DEBUG][time= 550] BTB: 13 [DEBUG][time= 550] BTB: -[DEBUG][time= 550] BTB: update_req: pc=0x008000004c, hit=0, misPred=1, oldCtr=0, taken=1, target=0x0080000020, btbType=0 -[DEBUG][time= 550] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 6, row= 2, newCtr=2 -[DEBUG][time= 550] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(1 0 0) brTag:f:0 v: 4 -[DEBUG][time= 550] AluExeUnit_1: src1:0000000000000000 src2:0000000000000002 offset:0000000000000002 func:0000000 pc:0000000080000024 -[DEBUG][time= 550] AluExeUnit_1: res:0000000000000002 aluRes:00000000000000002 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000002 taken:0 -[DEBUG][time= 550] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(1 0 0) brTag:f:0 v: 4 -[DEBUG][time= 550] AluExeUnit_2: src1:0000000080000eb0 src2:0000000000000028 offset:0000000000000028 func:0000000 pc:0000000080000048 -[DEBUG][time= 550] AluExeUnit_2: res:0000000080000ed8 aluRes:00000000080000ed8 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000ed8 taken:0 -[DEBUG][time= 550] MulExeUnit: redirect: f:0 v: 4 -[DEBUG][time= 550] MulExeUnit_1: redirect: f:0 v: 4 -[INFO ][time= 550] Rename: pc:0080000088 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 59 out v:0 r:1 -[INFO ][time= 550] Rename: pc:008000009c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 51 out v:0 r:1 -[INFO ][time= 550] Rename: pc:00800000a0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 52 out v:0 r:1 -[INFO ][time= 550] Rename: pc:00800000a4 in v:0 in rdy:1 lsrc1:15 -> psrc1: 51 lsrc2:14 -> psrc2: 52 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 550] Rename: pc:00800000a8 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 59 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 550] Rename: pc:00800000ac in v:0 in rdy:1 lsrc1: 2 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[INFO ][time= 550] Rename: int rat arch: ldest:14 pdest: 44 -[INFO ][time= 550] Rename: int rat arch: ldest:15 pdest: 45 -[INFO ][time= 550] Rename: int rat arch: ldest:16 pdest: 46 -[INFO ][time= 550] Rename: int rat arch: ldest:13 pdest: 47 -[DEBUG][time= 550] Dispatch1: pc=0080000070 brTag:f:0 v: 4 -[DEBUG][time= 550] Dispatch1: pc=0080000074 brTag:f:0 v: 4 -[DEBUG][time= 550] Dispatch1: pc=0080000078 brTag:f:0 v: 4 -[DEBUG][time= 550] Dispatch1: pc=008000007c brTag:f:0 v: 4 -[DEBUG][time= 550] Dispatch1: pc=0080000080 brTag:f:0 v: 4 -[DEBUG][time= 550] Dispatch1: pc=0080000084 brTag:f:0 v: 4 -[INFO ][time= 550] Dispatch1: pc 0x0080000070 with brTag 4 cancelled -[INFO ][time= 550] Dispatch1: pc 0x0080000074 with brTag 4 cancelled -[INFO ][time= 550] Dispatch1: pc 0x0080000078 with brTag 4 cancelled -[INFO ][time= 550] Dispatch1: pc 0x008000007c with brTag 4 cancelled -[INFO ][time= 550] Dispatch1: pc 0x0080000080 with brTag 4 cancelled -[INFO ][time= 550] Dispatch1: pc 0x0080000084 with brTag 4 cancelled -[DEBUG][time= 550] Dispatch1: pc 0x0080000070 receives nroq 38 -[DEBUG][time= 550] Dispatch1: v:1 r:1 pc 0x0080000070 of type 0011 is in 0-th slot -[DEBUG][time= 550] Dispatch1: pc 0x0080000074 receives nroq 39 -[DEBUG][time= 550] Dispatch1: v:1 r:1 pc 0x0080000074 of type 0011 is in 1-th slot -[DEBUG][time= 550] Dispatch1: pc 0x0080000078 receives nroq 40 -[DEBUG][time= 550] Dispatch1: v:1 r:1 pc 0x0080000078 of type 0000 is in 2-th slot -[DEBUG][time= 550] Dispatch1: pc 0x008000007c receives nroq 41 -[DEBUG][time= 550] Dispatch1: v:1 r:1 pc 0x008000007c of type 0000 is in 3-th slot -[DEBUG][time= 550] Dispatch1: pc 0x0080000080 receives nroq 42 -[DEBUG][time= 550] Dispatch1: v:1 r:1 pc 0x0080000080 of type 0011 is in 4-th slot -[DEBUG][time= 550] Dispatch1: pc 0x0080000084 receives nroq 43 -[DEBUG][time= 550] Dispatch1: v:1 r:1 pc 0x0080000084 of type 1101 is in 5-th slot -[DEBUG][time= 550] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 550] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 550] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 550] Dispatch2: dispatch to iq index 1: 2 -[DEBUG][time= 550] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 550] Dispatch2: dispatch to iq index 4: 1 -[DEBUG][time= 550] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 550] Dispatch2: regfile 0 from 2 -[DEBUG][time= 550] Dispatch2: regfile 1 from 2 -[DEBUG][time= 550] Dispatch2: regfile 2 from 3 -[DEBUG][time= 550] Dispatch2: regfile 3 from 3 -[DEBUG][time= 550] Dispatch2: regfile 4 from 0 -[DEBUG][time= 550] Dispatch2: regfile 5 from 0 -[DEBUG][time= 550] Dispatch2: regfile 6 from 1 -[DEBUG][time= 550] Dispatch2: regfile 7 from 1 -[DEBUG][time= 550] Dispatch2: int regfile 0: addr 53, state 0 -[DEBUG][time= 550] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 2: addr 53, state 0 -[DEBUG][time= 550] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 6: addr 36, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 8: addr 44, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 9: addr 49, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 12: addr 49, state 1 -[DEBUG][time= 550] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 550] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 550] Dispatch2: pc 0x008000003c with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 550] Dispatch2: pc 0x008000003c leaves Ls dispatch queue with nroq 25 -[DEBUG][time= 550] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 550] Dispatch2: pc 0x0080000054 reads operands from ( 2, 42, 0000000080000098), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 550] Dispatch2: pc 0x008000005c reads operands from ( 0, 36, 0000000080008ff0), ( 1, 0, fffffffffffffff0), ( 0, 0, 0000000000000000) -[DEBUG][time= 550] Dispatch2: pc 0x0080000064 reads operands from ( 4, 53, d95ad4be237be8dc), ( 5, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 550] Dispatch2: pc 0x0080000038 reads operands from ( 8, 44, 0000000080000eb0), ( 9, 48, d95ad4be237be8dc), ( 0, 0, 0000000000000000) -[INFO ][time= 550] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 550] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 550] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 550] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 550] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 550] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 550] DecodeBuffer: out v:0 r:1 pc=0080000088 -[INFO ][time= 550] DecodeBuffer: out v:0 r:1 pc=008000009c -[INFO ][time= 550] DecodeBuffer: out v:0 r:1 pc=00800000a0 -[INFO ][time= 550] DecodeBuffer: out v:0 r:1 pc=00800000a4 -[INFO ][time= 550] DecodeBuffer: out v:0 r:1 pc=00800000a8 -[INFO ][time= 550] DecodeBuffer: out v:0 r:1 pc=00800000ac -[ERROR][time= 550] Roq: CSR block should only happen in s_idle -[DEBUG][time= 550] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 550] Roq: dispatched 6 insts -[INFO ][time= 550] Roq: writebacked 3 insts -[INFO ][time= 550] Roq: writebacked pc 0x0080000024 wen 1 data 0x0000000000000002 ldst 10 pdst 48 skip 0 -[INFO ][time= 550] Roq: writebacked pc 0x0080000048 wen 1 data 0x0000000080000ed8 ldst 14 pdst 52 skip 0 -[INFO ][time= 550] Roq: writebacked pc 0x008000004c wen 0 data 0x0000000000000020 ldst 0 pdst 32 skip 0 -[INFO ][time= 550] Roq: retired pc 0080000014 wen 1 ldst 14 data 0000000080000eb0 -[INFO ][time= 550] Roq: retired pc 0080000018 wen 1 ldst 15 data 0000000000000000 -[INFO ][time= 550] Roq: retired pc 008000001c wen 1 ldst 16 data 0000000000000005 -[INFO ][time= 550] Roq: retired pc 0080000020 wen 1 ldst 13 data 0000000000000001 -[INFO ][time= 550] Roq: retired 4 insts -[DEBUG][time= 550] Roq: head 1: 6 tail 0:15 -[DEBUG][time= 550] Roq: vvvvvv---------wwwwvwwvvvvvwvvvv -[DEBUG][time= 550] Roq: 0080000058 v 008000005c v 0080000060 v 0080000064 v -[DEBUG][time= 550] Roq: 0080000068 v 008000006c v 0080000088 - 008000009c - -[DEBUG][time= 550] Roq: 00800000a0 - 00800000a4 - 00800000a8 - 008000008c - -[DEBUG][time= 550] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 w -[DEBUG][time= 550] Roq: 0080000018 w 008000001c w 0080000020 w 0080000024 v -[DEBUG][time= 550] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 550] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 550] Roq: 0080000048 v 008000004c v 0080000050 v 0080000054 v -[INFO ][time= 550] DispatchQueue_2: LsDpQ: valid entry( 9)(pc = 0080000060) cancelled with brTag 4 -[DEBUG][time= 550] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 7 -> 8) -[DEBUG][time= 550] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 7), tail = (1, 10), -[INFO ][time= 550] DispatchQueue: IntDpQ: valid entry(1)(pc = 0080000058) cancelled with brTag 4 -[INFO ][time= 550] DispatchQueue: IntDpQ: valid entry(4)(pc = 0080000068) cancelled with brTag 4 -[INFO ][time= 550] DispatchQueue: IntDpQ: valid entry(5)(pc = 008000006c) cancelled with brTag 4 -[DEBUG][time= 550] DispatchQueue: IntDpQ: valid_entries = 5, head = (0, 1), tail = (0, 6), -[DEBUG][time= 550] LsExeUnit: state 0 req.valid/ready 0/0 resp.valid/ready 1/1 addr aa55dcebcc63b969 size 2 data 3fe45a5b3fe45a5b mask 001e cmd 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 0 ptr 3 valid 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 1 ptr 6 valid 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 2 ptr 2 valid 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 4 ptr 1 valid 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 550] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 550] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 550] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 3 stqCommited 0 emptySlot 0 -[DEBUG][time= 550] LsExeUnit: retiringStore now... -[INFO ][time= 550] LsExeUnit: [DMEM RESP] data 0000000000000003 -[DEBUG][time= 550] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484028------> s1 fire!!! -[DEBUG][time= 550] FakeCache: [Stage1_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 550] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 550] FakeCache: [Stage2_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 550] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 550] FakeCache: [Stage3_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 550] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 550] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x008000017c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 550] IFU: [IF2]if2_valid:1 || if2_pc:0x008000017c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 550] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 550] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 550] IFU: [IF3]if3_valid:1 || if3_pc:0x008000015c if3_npc:0x008000017c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 550] IFU: [IF4]if4_valid:1 || if4_pc:0x008000013c if4_npc:0x008000015c -[DEBUG][time= 550] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000015c -[DEBUG][time= 550] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 550] IFU: [IFU-REDIRECT] target:0x0080000020 -[INFO ][time= 550] IssueQueue: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:11111101 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 550] IssueQueue: EnqData: src1:0000000080000098 src2:0000000000000000 src3:0000000000000000 pc:0080000054 roqIdx:1f(for last cycle's Ctrl) -[DEBUG][time= 550] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 550] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 -[DEBUG][time= 550] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 550] IssueQueue: 0 |1|1| 42|1|0000000080000094| 0|1|ffffffffffffff7c| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 550] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 550] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 550] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 550] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 550] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 550] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 550] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 550] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:00000001 redIdHitVec:00000001 enqHit:1 selIsRed:1 -[INFO ][time= 550] IssueQueue_1: EnqData: src1:0000000080008ff0 src2:fffffffffffffff0 src3:0000000000000000 pc:008000005c roqIdx:21(for last cycle's Ctrl) -[DEBUG][time= 550] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 550] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 54) -[DEBUG][time= 550] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 550] IssueQueue_1: 0 |1|1| 36|1|0000000000000000| 0|1|0000000000000001| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 550] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 550] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 550] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 550] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 550] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 550] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 550] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 550] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:11111100 redIdHitVec:11111001 enqHit:1 selIsRed:1 -[INFO ][time= 550] IssueQueue_2: Deq:(1 1) [ 45|0000000000000000][ 0|0000000000000002][ 0|0000000000000000] pdest: 48 pc:0080000024 roqIdx:13 -[DEBUG][time= 550] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[INFO ][time= 550] IssueQueue_2: FireStage:Out(1 1) src1( 45|0000000000000000) src2( 0|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000024 roqIdx:19 -[DEBUG][time= 550] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 53) -[DEBUG][time= 550] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 550] IssueQueue_2: 2 |1|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 550] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 550] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 550] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 550] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 550] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 550] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 550] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 550] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:11111010 redIdHitVec:11111001 enqHit:1 selIsRed:0 -[INFO ][time= 550] IssueQueue_3: EnqData: src1:d95ad4be237be8dc src2:0000000000000000 src3:0000000000000000 pc:0080000064 roqIdx:23(for last cycle's Ctrl) -[INFO ][time= 550] IssueQueue_3: Deq:(1 1) [ 44|0000000080000eb0][ 0|0000000000000028][ 0|0000000000000000] pdest: 52 pc:0080000048 roqIdx:1c -[DEBUG][time= 550] IssueQueue_3: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(0 1) Out(1 1) -[INFO ][time= 550] IssueQueue_3: FireStage:Out(1 1) src1( 44|0000000080000eb0) src2( 0|0000000000000028) src3( 0|0000000000000000) deqFlush:0 pc:0080000048 roqIdx:28 -[DEBUG][time= 550] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 550] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 550] IssueQueue_3: 1 |1|0| 53|0|0000000000000000| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 550] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 550] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 550] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 550] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 550] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 550] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 550] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 550] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:11111100 redIdHitVec:11111100 enqHit:1 selIsRed:0 -[DEBUG][time= 550] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 550] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 550] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 550] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 550] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 550] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 550] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 550] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 550] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 550] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 550] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 550] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 550] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 550] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 550] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 550] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 550] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 550] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 550] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 550] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 550] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 550] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 550] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 550] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:0 -[DEBUG][time= 550] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 550] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 550] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 550] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 550] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 550] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 550] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 550] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 550] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 550] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 550] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 550] IssueQueue_7: EnqBypassDataHit: enqSelIq:2 Src1: 49 Ports:1 Data:0000000000000002 Pc:0080000050 RoqIdx:1e -[INFO ][time= 550] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 4 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[INFO ][time= 550] IssueQueue_7: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 44:1 49:1 0:0) Dest: 32 oldDest: 0 pc:008000003c roqIdx:19 -[INFO ][time= 550] IssueQueue_7: EnqData: src1:0000000080000eb0 src2:d95ad4be237be8dc src3:0000000000000000 pc:0080000038 roqIdx:18(for last cycle's Ctrl) -[DEBUG][time= 550] IssueQueue_7: tailAll: 2 KID(010) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000000 moveDot:00000110 In(1 1) Out(1 0) -[INFO ][time= 550] IssueQueue_7: FireStage:Out(1 0) src1( 44|0000000080000eb0) src2( 45|0000000000000000) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:22 -[DEBUG][time= 550] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 550] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 550] IssueQueue_7: 0 |1|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 -[DEBUG][time= 550] IssueQueue_7: 1 |1|1| 44|1|0000000080008fe0| 48|1|0000000000000000| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 550] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 45|1|0000000000000000| 0|0|0000000000000000| 4 |0080000030|16 <- -[DEBUG][time= 550] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 550] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 550] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 550] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 550] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 550] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 550] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 550] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 550] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 550] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 550] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 550] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 550] FreeList: redirect: brqIdx= 4 -[DEBUG][time= 550] FreeList_1: dealloc preg: 43 -[DEBUG][time= 550] FreeList_1: dealloc preg: 38 -[DEBUG][time= 550] FreeList_1: dealloc preg: 16 -[DEBUG][time= 550] FreeList_1: dealloc preg: 13 -[DEBUG][time= 550] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 550] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 550] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 550] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 550] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 550] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 550] FreeList_1: head:0: 29 tail:1: 12 -[DEBUG][time= 550] FreeList_1: redirect: brqIdx= 4 -[DEBUG][time= 550] Brq: headIdx: 4 commitIdx: 4 -[DEBUG][time= 550] Brq: headPtr:f:0 v: 4 tailPtr:f:0 v:11 -[DEBUG][time= 550] Brq: ----w----------- -[INFO ][time= 550] Brq: commit branch to roq, mispred:1 pc=008000004c -[INFO ][time= 550] Brq: brq redirect, target:0080000020 -[DEBUG][time= 551] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 551] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 551] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 551] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000eb0| 0 1) -[DEBUG][time= 551] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 551] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 551] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 551] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 551] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 551] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 551] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 551] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 551] BusyTable_1: writeback 60 -[DEBUG][time= 551] BusyTable_1: writeback 59 -[DEBUG][time= 551] BusyTable_1: writeback 58 -[DEBUG][time= 551] BusyTable_1: writeback 57 -[DEBUG][time= 551] BusyTable_1: 53 is busy -[DEBUG][time= 551] BusyTable_1: 54 is busy -[DEBUG][time= 551] BusyTable_1: 55 is busy -[DEBUG][time= 551] BusyTable_1: 56 is busy -[DEBUG][time= 551] BusyTable_1: 57 is busy -[DEBUG][time= 551] BusyTable_1: 58 is busy -[DEBUG][time= 551] BusyTable_1: 59 is busy -[DEBUG][time= 551] BusyTable_1: 60 is busy -[DEBUG][time= 551] BPUStage2: in:(1 1) pc=0080000020 out:(0 1) pc=008000015c -[DEBUG][time= 551] BPUStage2: validLatch=0 pc=008000015c -[DEBUG][time= 551] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 551] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 551] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 551] DispatchGen: priority: data(3) = 0, priority = 2 -[DEBUG][time= 551] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 551] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 551] BPUStage3: flushS3=1 -[DEBUG][time= 551] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 551] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 551] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 551] JBTAC: read: pc=0x0080000040, histXORAddr=0x008000007e, bank=7, row= 7, hist=0000000000000000000000000000000000000000000000000000000000011111 -[DEBUG][time= 551] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 1, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 551] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 551] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 551] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 551] BTB: bankIdxInOrder:[DEBUG][time= 551] BTB: 0 [DEBUG][time= 551] BTB: 1 [DEBUG][time= 551] BTB: 2 [DEBUG][time= 551] BTB: 3 [DEBUG][time= 551] BTB: 4 [DEBUG][time= 551] BTB: 5 [DEBUG][time= 551] BTB: 6 [DEBUG][time= 551] BTB: 7 [DEBUG][time= 551] BTB: 8 [DEBUG][time= 551] BTB: 9 [DEBUG][time= 551] BTB: 10 [DEBUG][time= 551] BTB: 11 [DEBUG][time= 551] BTB: 12 [DEBUG][time= 551] BTB: 13 [DEBUG][time= 551] BTB: 14 [DEBUG][time= 551] BTB: 15 [DEBUG][time= 551] BTB: -[INFO ][time= 551] Rename: int walk: pc:0080000080 ldst: 2 old_pdest: 54 -[INFO ][time= 551] Rename: int walk: pc:0080000078 ldst: 1 old_pdest: 42 -[INFO ][time= 551] Rename: int walk: pc:0080000074 ldst:10 old_pdest: 57 -[INFO ][time= 551] Rename: int walk: pc:0080000070 ldst:10 old_pdest: 56 -[DEBUG][time= 551] Dispatch1: v:0 r:1 pc 0x0080000070 of type 0011 is in 0-th slot -[DEBUG][time= 551] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 1-th slot -[DEBUG][time= 551] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 2-th slot -[DEBUG][time= 551] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 3-th slot -[DEBUG][time= 551] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 4-th slot -[DEBUG][time= 551] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 5-th slot -[DEBUG][time= 551] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 551] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 551] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 551] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 551] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 551] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 551] Dispatch2: regfile 0 from 1 -[DEBUG][time= 551] Dispatch2: regfile 1 from 1 -[DEBUG][time= 551] Dispatch2: regfile 2 from 2 -[DEBUG][time= 551] Dispatch2: regfile 3 from 2 -[DEBUG][time= 551] Dispatch2: regfile 4 from 0 -[DEBUG][time= 551] Dispatch2: regfile 5 from 0 -[DEBUG][time= 551] Dispatch2: regfile 6 from 3 -[DEBUG][time= 551] Dispatch2: regfile 7 from 3 -[DEBUG][time= 551] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 2: addr 53, state 0 -[DEBUG][time= 551] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 6: addr 53, state 0 -[DEBUG][time= 551] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 8: addr 44, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 9: addr 50, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 12: addr 50, state 1 -[DEBUG][time= 551] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 551] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 551] Dispatch2: pc 0x0080000040 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 551] Dispatch2: pc 0x0080000040 leaves Ls dispatch queue with nroq 26 -[DEBUG][time= 551] Dispatch2: pc 0x008000003c reads operands from ( 8, 44, 0000000080000eb0), ( 9, 49, 0000000000000003), ( 0, 0, 0000000000000000) -[INFO ][time= 551] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 551] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 551] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 551] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 551] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 551] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 551] DecodeBuffer: out v:0 r:0 pc=0080000088 -[INFO ][time= 551] DecodeBuffer: out v:0 r:0 pc=008000009c -[INFO ][time= 551] DecodeBuffer: out v:0 r:0 pc=00800000a0 -[INFO ][time= 551] DecodeBuffer: out v:0 r:0 pc=00800000a4 -[INFO ][time= 551] DecodeBuffer: out v:0 r:0 pc=00800000a8 -[INFO ][time= 551] DecodeBuffer: out v:0 r:0 pc=00800000ac -[ERROR][time= 551] Roq: CSR block should only happen in s_idle -[DEBUG][time= 551] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 551] Roq: writebacked 1 insts -[INFO ][time= 551] Roq: writebacked pc 0x0080000030 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[INFO ][time= 551] Roq: walked pc 0080000084 wen 0 ldst 0 data 000000008000108c -[INFO ][time= 551] Roq: walked pc 0080000080 wen 1 ldst 2 data 00000000800000ac -[INFO ][time= 551] Roq: walked pc 008000007c wen 1 ldst 0 data 0000000000000000 -[INFO ][time= 551] Roq: walked pc 0080000078 wen 1 ldst 1 data 0000000000000003 -[INFO ][time= 551] Roq: walked pc 0080000074 wen 1 ldst 10 data 0000000040600000 -[INFO ][time= 551] Roq: walked pc 0080000070 wen 1 ldst 10 data 000000008000008c -[INFO ][time= 551] Roq: rolling back: head 30 tail 19 walk 1:11 -[DEBUG][time= 551] Roq: head 0:30 tail 0:19 -[DEBUG][time= 551] Roq: vvvvvvvvvvvv-------wwwvvvvvwwwvv -[DEBUG][time= 551] Roq: 0080000058 v 008000005c v 0080000060 v 0080000064 v -[DEBUG][time= 551] Roq: 0080000068 v 008000006c v 0080000070 v 0080000074 v -[DEBUG][time= 551] Roq: 0080000078 v 008000007c v 0080000080 v 0080000084 v -[DEBUG][time= 551] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 551] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 w -[DEBUG][time= 551] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 551] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 551] Roq: 0080000048 w 008000004c w 0080000050 v 0080000054 v -[DEBUG][time= 551] DispatchQueue_2: LsDpQ: num_deq = 2, head = ( 8 -> 10) -[DEBUG][time= 551] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 8), tail = (1, 10), -[DEBUG][time= 551] DispatchQueue: IntDpQ: num_deq = 4, head = ( 1 -> 5) -[DEBUG][time= 551] DispatchQueue: IntDpQ: valid_entries = 5, head = (0, 1), tail = (0, 6), -[DEBUG][time= 551] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000eb0 size 3 data 0000000000000000 mask 00ff cmd 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 0 ptr 3 valid 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 1 ptr 6 valid 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 2 ptr 2 valid 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 4 ptr 1 valid 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 551] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 551] LsExeUnit: LSU fire: pc 0080000030 addr 0000000080000eb0 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000003 fwdres 0000000000000000 -[DEBUG][time= 551] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 551] LsExeUnit: stqinfo: stqValid.asUInt 00000000 stqHead 0 stqTail 3 stqCommited 0 emptySlot 0 -[DEBUG][time= 551] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 551] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 551] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 551] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 551] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 551] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 551] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 551] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 551] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 551] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 551] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 551] IFU: [IF3]if3_valid:0 || if3_pc:0x008000017c if3_npc:0x0080000020 || if4_ready:1 -[DEBUG][time= 551] IFU: [IF4]if4_valid:0 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 551] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000015c -[DEBUG][time= 551] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 551] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 551] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 551] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 551] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 551] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 551] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 551] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 551] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 551] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 551] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 551] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 551] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 551] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 551] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 551] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 551] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 551] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 551] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 551] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 551] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 551] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 551] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 551] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 551] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 551] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 551] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 551] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 551] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 551] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 551] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 551] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 551] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 551] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 551] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000001 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 551] IssueQueue_3: popOne:1 isPop:1 popSel:0 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000001 SelUop:(0, 24) -[DEBUG][time= 551] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 551] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 551] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 551] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 551] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 551] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 551] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 551] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 551] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 551] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 551] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 551] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 551] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 551] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 551] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 551] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 551] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 551] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 551] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 551] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 551] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 551] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 551] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 551] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 551] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 551] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 551] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 551] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 551] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 551] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 551] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 551] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 551] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 551] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 551] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 551] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 551] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 551] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 551] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 551] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 551] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 551] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 551] IssueQueue_7: EnqCtrl(1 1) enqSelIq:3 Psrc/Rdy( 44:1 50:1 0:0) Dest: 32 oldDest: 0 pc:0080000040 roqIdx:1a -[INFO ][time= 551] IssueQueue_7: EnqData: src1:0000000080000eb0 src2:0000000000000003 src3:0000000000000000 pc:008000003c roqIdx:19(for last cycle's Ctrl) -[INFO ][time= 551] IssueQueue_7: Deq:(1 1) [ 44|0000000080000eb0][ 45|0000000000000000][ 0|0000000000000000] pdest: 32 pc:0080000030 roqIdx:16 -[DEBUG][time= 551] IssueQueue_7: tailAll: 3 KID(111) tailDot:00001111 tailDot2:00000111 selDot:01 popDot:00000000 moveDot:00001110 In(1 1) Out(1 1) -[INFO ][time= 551] IssueQueue_7: FireStage:Out(1 1) src1( 44|0000000080000eb0) src2( 45|0000000000000000) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:22 -[DEBUG][time= 551] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 551] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 551] IssueQueue_7: 0 |1|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 -[DEBUG][time= 551] IssueQueue_7: 1 |1|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 551] IssueQueue_7: 2 |1|1| 44|1|0000000080000eb0| 49|1|0000000000000000| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 551] IssueQueue_7: 3 |0|0| 52|0|0298c32d749402c8| 92|0|f71274201a6f67c4| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 551] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 551] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 551] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 551] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 551] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 551] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 551] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 551] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 551] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 551] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 551] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 551] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 551] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 551] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 551] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 551] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 551] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 551] FreeList_1: head:0: 21 tail:1: 16 -[DEBUG][time= 551] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 551] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 5 -[DEBUG][time= 551] Brq: ---------------- -[DEBUG][time= 551] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 551] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 551] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 551] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 551] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 551] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 552] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 552] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 552] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 552] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000eb8| 0 1) -[DEBUG][time= 552] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 552] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 552] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 552] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 552] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 552] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 552] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 552] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 552] BusyTable_1: writeback 56 -[DEBUG][time= 552] BusyTable_1: writeback 55 -[DEBUG][time= 552] BusyTable_1: writeback 54 -[DEBUG][time= 552] BusyTable_1: 53 is busy -[DEBUG][time= 552] BusyTable_1: 54 is busy -[DEBUG][time= 552] BusyTable_1: 55 is busy -[DEBUG][time= 552] BusyTable_1: 56 is busy -[DEBUG][time= 552] BPUStage2: in:(1 1) pc=0080000040 out:(1 1) pc=0080000020 -[DEBUG][time= 552] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 552] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 552] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 552] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 552] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 552] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 552] BPUStage1: outPred:(1) pc=0x0080000040, redirect=1 instrValid=00001111 tgt=0080000020 -[DEBUG][time= 552] BPUStage3: [RAS]:pc=0x0080000020, rasWritePosition= 1, rasWriteAddr=0x008000015c -[DEBUG][time= 552] BPUStage3: in:(1 1) pc=0080000020 -[DEBUG][time= 552] BPUStage3: flushS3=1 -[DEBUG][time= 552] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 552] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 552] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 552] JBTAC: read: pc=0x0080000020, histXORAddr=0x008000005e, bank=7, row= 5, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 552] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 7, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 552] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 552] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 552] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=2 -[DEBUG][time= 552] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 552] BTB: bankIdxInOrder:[DEBUG][time= 552] BTB: 0 [DEBUG][time= 552] BTB: 1 [DEBUG][time= 552] BTB: 2 [DEBUG][time= 552] BTB: 3 [DEBUG][time= 552] BTB: 4 [DEBUG][time= 552] BTB: 5 [DEBUG][time= 552] BTB: 6 [DEBUG][time= 552] BTB: 7 [DEBUG][time= 552] BTB: 8 [DEBUG][time= 552] BTB: 9 [DEBUG][time= 552] BTB: 10 [DEBUG][time= 552] BTB: 11 [DEBUG][time= 552] BTB: 12 [DEBUG][time= 552] BTB: 13 [DEBUG][time= 552] BTB: 14 [DEBUG][time= 552] BTB: 15 [DEBUG][time= 552] BTB: -[INFO ][time= 552] Rename: int walk: pc:0080000068 ldst:10 old_pdest: 53 -[INFO ][time= 552] Rename: int walk: pc:0080000064 ldst:11 old_pdest: 49 -[INFO ][time= 552] Rename: int walk: pc:008000005c ldst: 2 old_pdest: 36 -[DEBUG][time= 552] Dispatch1: v:0 r:1 pc 0x0080000070 of type 0011 is in 0-th slot -[DEBUG][time= 552] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 1-th slot -[DEBUG][time= 552] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 2-th slot -[DEBUG][time= 552] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 3-th slot -[DEBUG][time= 552] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 4-th slot -[DEBUG][time= 552] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 5-th slot -[DEBUG][time= 552] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 552] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 552] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 552] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 552] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 552] Dispatch2: regfile 0 from 0 -[DEBUG][time= 552] Dispatch2: regfile 1 from 0 -[DEBUG][time= 552] Dispatch2: regfile 2 from 1 -[DEBUG][time= 552] Dispatch2: regfile 3 from 1 -[DEBUG][time= 552] Dispatch2: regfile 4 from 2 -[DEBUG][time= 552] Dispatch2: regfile 5 from 2 -[DEBUG][time= 552] Dispatch2: regfile 6 from 3 -[DEBUG][time= 552] Dispatch2: regfile 7 from 3 -[DEBUG][time= 552] Dispatch2: int regfile 0: addr 56, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 6: addr 45, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 552] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 552] Dispatch2: pc 0x0080000040 reads operands from ( 8, 44, 0000000080000eb0), ( 9, 50, 0000000000000004), ( 0, 0, 0000000000000000) -[INFO ][time= 552] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 552] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 552] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 552] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 552] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 552] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 552] DecodeBuffer: out v:0 r:0 pc=0080000088 -[INFO ][time= 552] DecodeBuffer: out v:0 r:0 pc=008000009c -[INFO ][time= 552] DecodeBuffer: out v:0 r:0 pc=00800000a0 -[INFO ][time= 552] DecodeBuffer: out v:0 r:0 pc=00800000a4 -[INFO ][time= 552] DecodeBuffer: out v:0 r:0 pc=00800000a8 -[INFO ][time= 552] DecodeBuffer: out v:0 r:0 pc=00800000ac -[ERROR][time= 552] Roq: CSR block should only happen in s_idle -[DEBUG][time= 552] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 552] Roq: writebacked 1 insts -[INFO ][time= 552] Roq: writebacked pc 0x0080000034 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[INFO ][time= 552] Roq: walked pc 008000006c wen 1 ldst 0 data 0000000000000000 -[INFO ][time= 552] Roq: walked pc 0080000068 wen 1 ldst 10 data 0000000080008ff0 -[INFO ][time= 552] Roq: walked pc 0080000064 wen 1 ldst 11 data 0000000080000010 -[INFO ][time= 552] Roq: walked pc 0080000060 wen 0 ldst 0 data 0000000080009000 -[INFO ][time= 552] Roq: walked pc 008000005c wen 1 ldst 2 data 0000000080009004 -[INFO ][time= 552] Roq: walked pc 0080000058 wen 1 ldst 0 data 0000000000000000 -[INFO ][time= 552] Roq: rolling back: head 30 tail 19 walk 1: 5 -[DEBUG][time= 552] Roq: head 0:30 tail 0:19 -[DEBUG][time= 552] Roq: vvvvvv-------------wwwwvvvvwwwvv -[DEBUG][time= 552] Roq: 0080000058 v 008000005c v 0080000060 v 0080000064 v -[DEBUG][time= 552] Roq: 0080000068 v 008000006c v 0080000070 - 0080000074 - -[DEBUG][time= 552] Roq: 0080000078 - 008000007c - 0080000080 - 0080000084 - -[DEBUG][time= 552] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 552] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 w -[DEBUG][time= 552] Roq: 0080000028 w 008000002c w 0080000030 w 0080000034 v -[DEBUG][time= 552] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 552] Roq: 0080000048 w 008000004c w 0080000050 v 0080000054 v -[DEBUG][time= 552] DispatchQueue: IntDpQ: num_deq = 1, head = ( 5 -> 6) -[DEBUG][time= 552] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 5), tail = (0, 6), -[DEBUG][time= 552] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 0 -[DEBUG][time= 552] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000eb0 data 0000000000000000 func 0b wmask 000000011111111 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 1 ptr 6 valid 0 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 2 ptr 2 valid 0 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 4 ptr 1 valid 0 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 552] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 552] LsExeUnit: LSU fire: pc 0080000034 addr 0000000080000eb8 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000003 fwdres 0000000000000000 -[DEBUG][time= 552] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 552] LsExeUnit: stqinfo: stqValid.asUInt 00000001 stqHead 1 stqTail 0 stqCommited 0 emptySlot 1 -[DEBUG][time= 552] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 552] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 552] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 552] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 552] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 552] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 552] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 552] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 552] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 552] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 552] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00001111 || if2_btb_target:0x0080000020 -[DEBUG][time= 552] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 552] IFU: [IF4]if4_valid:0 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 552] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000015c -[DEBUG][time= 552] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 552] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 552] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 552] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 552] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 552] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 552] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 552] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 552] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 552] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 552] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 552] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 552] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 552] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 552] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 552] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 552] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 552] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 552] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 552] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 552] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 552] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 552] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 552] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 552] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 552] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 552] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 552] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 552] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 552] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 552] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 552] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 552] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 552] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 552] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 552] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 552] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 552] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 552] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 552] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 552] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 552] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 552] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 552] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 552] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 552] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 552] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 552] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 552] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 552] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 552] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 552] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 552] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 552] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 552] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 552] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 552] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 552] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 552] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 552] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 552] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 552] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 552] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 552] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 552] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 552] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 552] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 552] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 552] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 552] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 552] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 552] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 552] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 552] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 552] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 552] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 552] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 552] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 552] IssueQueue_7: EnqData: src1:0000000080000eb0 src2:0000000000000004 src3:0000000000000000 pc:0080000040 roqIdx:1a(for last cycle's Ctrl) -[INFO ][time= 552] IssueQueue_7: Deq:(1 1) [ 44|0000000080000eb0][ 47|0000000000000001][ 0|0000000000000000] pdest: 32 pc:0080000034 roqIdx:17 -[DEBUG][time= 552] IssueQueue_7: tailAll: 3 KID(001) tailDot:00001111 tailDot2:00000111 selDot:01 popDot:00000000 moveDot:00001110 In(0 1) Out(1 1) -[INFO ][time= 552] IssueQueue_7: FireStage:Out(1 1) src1( 44|0000000080000eb0) src2( 47|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:23 -[DEBUG][time= 552] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 552] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 552] IssueQueue_7: 1 |1|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 552] IssueQueue_7: 2 |1|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 552] IssueQueue_7: 3 |1|1| 44|1|0298c32d749402c8| 50|1|f71274201a6f67c4| 0|0|0000000000000000| 4 |0080000040|1a -[DEBUG][time= 552] IssueQueue_7: 0 |0|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 <- -[DEBUG][time= 552] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 552] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 552] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 552] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 552] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 552] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 552] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 552] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 552] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 552] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 552] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 552] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 552] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 552] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 552] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 552] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 552] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 552] FreeList_1: head:0: 21 tail:1: 16 -[DEBUG][time= 552] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 552] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 5 -[DEBUG][time= 552] Brq: ---------------- -[DEBUG][time= 552] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 552] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 552] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 552] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 552] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 552] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 553] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 553] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 553] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 553] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ec0| 0 1) -[DEBUG][time= 553] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 553] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 553] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 553] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 553] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 553] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 553] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 553] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 553] BusyTable_1: writeback 53 -[DEBUG][time= 553] BusyTable_1: 53 is busy -[DEBUG][time= 553] BPUStage2: in:(1 1) pc=0080000020 out:(1 1) pc=0080000040 -[DEBUG][time= 553] BPUStage2: validLatch=1 pc=0080000040 -[DEBUG][time= 553] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 553] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 553] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 553] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 553] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 553] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 553] BPUStage3: [RAS]:pc=0x0080000040, rasWritePosition= 1, rasWriteAddr=0x0080000040 -[DEBUG][time= 553] BPUStage3: in:(1 1) pc=0080000040 -[DEBUG][time= 553] BPUStage3: out:1 pc=0080000020 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000040 -[DEBUG][time= 553] BPUStage3: flushS3=0 -[DEBUG][time= 553] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 553] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 553] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 553] JBTAC: read: pc=0x0080000040, histXORAddr=0x008000003e, bank=7, row= 3, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 553] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 5, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 553] Ibuffer: Enque: -[DEBUG][time= 553] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 553] Ibuffer: 00000000001001111000010100010011 -[DEBUG][time= 553] Ibuffer: 00000000001101111000010110010011 -[DEBUG][time= 553] Ibuffer: 00000000010001111000011000010011 -[DEBUG][time= 553] Ibuffer: 00000000111101110011000000100011 -[DEBUG][time= 553] Ibuffer: 00000000110101110011010000100011 -[DEBUG][time= 553] Ibuffer: 00000000101001110011100000100011 -[DEBUG][time= 553] Ibuffer: 00000000101101110011110000100011 -[DEBUG][time= 553] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 553] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 553] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 553] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 553] BTB: bankIdxInOrder:[DEBUG][time= 553] BTB: 0 [DEBUG][time= 553] BTB: 1 [DEBUG][time= 553] BTB: 2 [DEBUG][time= 553] BTB: 3 [DEBUG][time= 553] BTB: 4 [DEBUG][time= 553] BTB: 5 [DEBUG][time= 553] BTB: 6 [DEBUG][time= 553] BTB: 7 [DEBUG][time= 553] BTB: 8 [DEBUG][time= 553] BTB: 9 [DEBUG][time= 553] BTB: 10 [DEBUG][time= 553] BTB: 11 [DEBUG][time= 553] BTB: 12 [DEBUG][time= 553] BTB: 13 [DEBUG][time= 553] BTB: 14 [DEBUG][time= 553] BTB: 15 [DEBUG][time= 553] BTB: -[INFO ][time= 553] Rename: int walk: pc:0080000050 ldst:10 old_pdest: 48 -[DEBUG][time= 553] Dispatch1: v:0 r:1 pc 0x0080000070 of type 0011 is in 0-th slot -[DEBUG][time= 553] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 1-th slot -[DEBUG][time= 553] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 2-th slot -[DEBUG][time= 553] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 3-th slot -[DEBUG][time= 553] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 4-th slot -[DEBUG][time= 553] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 5-th slot -[DEBUG][time= 553] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 553] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 553] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 553] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 553] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 553] Dispatch2: regfile 0 from 0 -[DEBUG][time= 553] Dispatch2: regfile 1 from 0 -[DEBUG][time= 553] Dispatch2: regfile 2 from 1 -[DEBUG][time= 553] Dispatch2: regfile 3 from 1 -[DEBUG][time= 553] Dispatch2: regfile 4 from 2 -[DEBUG][time= 553] Dispatch2: regfile 5 from 2 -[DEBUG][time= 553] Dispatch2: regfile 6 from 3 -[DEBUG][time= 553] Dispatch2: regfile 7 from 3 -[DEBUG][time= 553] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 4: addr 45, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 6: addr 45, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 553] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 553] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 553] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 553] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 553] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 553] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 553] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 553] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 553] DecodeBuffer: out v:0 r:0 pc=0080000088 -[INFO ][time= 553] DecodeBuffer: out v:0 r:0 pc=008000009c -[INFO ][time= 553] DecodeBuffer: out v:0 r:0 pc=00800000a0 -[INFO ][time= 553] DecodeBuffer: out v:0 r:0 pc=00800000a4 -[INFO ][time= 553] DecodeBuffer: out v:0 r:0 pc=00800000a8 -[INFO ][time= 553] DecodeBuffer: out v:0 r:0 pc=00800000ac -[ERROR][time= 553] Roq: CSR block should only happen in s_idle -[DEBUG][time= 553] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 553] Roq: writebacked 1 insts -[INFO ][time= 553] Roq: writebacked pc 0x0080000038 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[INFO ][time= 553] Roq: walked pc 0080000054 wen 1 ldst 0 data 1c68c2a2cf0bb34c -[INFO ][time= 553] Roq: walked pc 0080000050 wen 1 ldst 10 data 354a99e36ebef7b8 -[INFO ][time= 553] Roq: rolling back: head 30 tail 19 walk 0:31 -[DEBUG][time= 553] Roq: head 0:30 tail 0:19 -[DEBUG][time= 553] Roq: -------------------wwwwwvvvwwwvv -[DEBUG][time= 553] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 553] Roq: 0080000068 - 008000006c - 0080000070 - 0080000074 - -[DEBUG][time= 553] Roq: 0080000078 - 008000007c - 0080000080 - 0080000084 - -[DEBUG][time= 553] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 553] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 w -[DEBUG][time= 553] Roq: 0080000028 w 008000002c w 0080000030 w 0080000034 w -[DEBUG][time= 553] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 553] Roq: 0080000048 w 008000004c w 0080000050 v 0080000054 v -[DEBUG][time= 553] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ec0 size 3 data 0000000000000002 mask 00ff cmd 0 -[DEBUG][time= 553] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000eb0 data 0000000000000000 func 0b wmask 000000011111111 -[DEBUG][time= 553] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 2 ptr 2 valid 0 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 3 ptr 2 valid 0 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 4 ptr 1 valid 1 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 553] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 553] LsExeUnit: LSU fire: pc 0080000038 addr 0000000080000ec0 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000003 fwdres 0000000000000000 -[DEBUG][time= 553] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 553] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 0 emptySlot 2 -[DEBUG][time= 553] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 553] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 553] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 553] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 553] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 553] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 553] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 553] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 553] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 553] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 553] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 553] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000040 if3_npc:0x0080000020 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 553] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 553] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000040 -[DEBUG][time= 553] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] starPC:0x0080000020 GroupPC:0x0080000020n -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000024 -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00278513 pnpc:0x0080000028 -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00378593 pnpc:0x008000002c -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00478613 pnpc:0x0080000030 -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00f73023 pnpc:0x0080000034 -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00d73423 pnpc:0x0080000038 -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00a73823 pnpc:0x008000003c -[DEBUG][time= 553] IFU: [IFU-Out-FetchPacket] instruction 00b73c23 pnpc:0x0080000040 -[DEBUG][time= 553] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 553] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 553] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 553] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 553] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 553] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 553] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 553] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 553] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 553] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 553] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 553] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 553] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 553] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 553] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 553] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 553] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 553] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 553] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 553] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 553] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 553] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 553] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 553] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 553] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 553] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 553] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 553] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 553] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 553] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 553] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 553] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 553] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 553] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 553] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 553] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 553] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 553] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 553] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 553] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 553] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 553] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 553] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 553] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 553] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 553] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 553] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 553] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 553] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 553] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 553] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 553] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 553] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 553] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 553] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 553] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 553] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 553] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 553] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 553] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 553] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 553] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 553] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 553] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 553] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 553] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 553] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 553] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 553] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 553] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 553] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 553] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 553] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 553] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 553] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 553] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 553] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 553] IssueQueue_7: Deq:(1 1) [ 44|0000000080000eb0][ 48|0000000000000002][ 0|0000000000000000] pdest: 32 pc:0080000038 roqIdx:18 -[DEBUG][time= 553] IssueQueue_7: tailAll: 2 KID(001) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000000 moveDot:00000110 In(0 1) Out(1 1) -[INFO ][time= 553] IssueQueue_7: FireStage:Out(1 1) src1( 44|0000000080000eb0) src2( 48|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:24 -[DEBUG][time= 553] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 553] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 553] IssueQueue_7: 2 |1|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 553] IssueQueue_7: 3 |1|1| 44|1|0000000080000eb0| 50|1|0000000000000004| 0|0|0000000000000000| 4 |0080000040|1a -[DEBUG][time= 553] IssueQueue_7: 0 |0|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 <- -[DEBUG][time= 553] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 553] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 553] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 553] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 553] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 553] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 553] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 553] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 553] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 553] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 553] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 553] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 553] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 553] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 553] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 553] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 553] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 553] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 553] FreeList_1: head:0: 21 tail:1: 16 -[DEBUG][time= 553] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 553] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 5 -[DEBUG][time= 553] Brq: ---------------- -[DEBUG][time= 553] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 553] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 553] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 553] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 553] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 553] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 5 -[DEBUG][time= 554] Tage: req: pc=0x0080000060, hist=0000000000000000000000000000000000000000000000000000000000111110 -[DEBUG][time= 554] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 554] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 554] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ec8| 0 1) -[DEBUG][time= 554] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 554] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 554] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 554] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 554] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 554] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 554] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 554] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 554] BPUStage2: in:(0 0) pc=0080000040 out:(0 1) pc=0080000020 -[DEBUG][time= 554] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 554] BPUStage2: flush!!! -[DEBUG][time= 554] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 554] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 554] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 554] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 554] BPUStage1: in:(1 1) pc=0080000060 ghr=0000000000000000000000000000000000000000000000000000000000111110 -[DEBUG][time= 554] BPUStage1: outPred:(0) pc=0x0080000040, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 554] BPUStage1: flush from Stage3: s3Taken=0 s3RollBackHist=0000000000000000000000000000000000000000000000000000000000111110 -[DEBUG][time= 554] BPUStage3: out:1 pc=0080000040 redirect=1 predcdMask=11111111 instrValid=11111111 tgt=0080000060 -[DEBUG][time= 554] BPUStage3: flushS3=0 -[DEBUG][time= 554] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 554] BPUStage3: jmpIdx=0, brs=00001000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=5 -[DEBUG][time= 554] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 554] JBTAC: read: pc=0x0080000060, histXORAddr=0x008000001c, bank=6, row= 1, hist=0000000000000000000000000000000000000000000000000000000000111110 -[DEBUG][time= 554] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 3, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 554] Frontend: inst:00178693 pc:0080000020 -[INFO ][time= 554] Frontend: inst:00278513 pc:0080000024 -[INFO ][time= 554] Frontend: inst:00378593 pc:0080000028 -[INFO ][time= 554] Frontend: inst:00478613 pc:008000002c -[INFO ][time= 554] Frontend: inst:00f73023 pc:0080000030 -[INFO ][time= 554] Frontend: inst:00d73423 pc:0080000034 -[DEBUG][time= 554] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000020 instr:00178693 -[DEBUG][time= 554] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000024 instr:00278513 -[DEBUG][time= 554] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000028 instr:00378593 -[DEBUG][time= 554] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000002c instr:00478613 -[DEBUG][time= 554] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000030 instr:00f73023 -[DEBUG][time= 554] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000034 instr:00d73423 -[DEBUG][time= 554] Ibuffer: Enque: -[DEBUG][time= 554] Ibuffer: 00000010110001110011000000100011 -[DEBUG][time= 554] Ibuffer: 00000000000001101000011110010011 -[DEBUG][time= 554] Ibuffer: 00000010100001110000011100010011 -[DEBUG][time= 554] Ibuffer: 11111101000001101001101011100011 -[DEBUG][time= 554] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 554] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 554] Ibuffer: 00000101010000000000000001101111 -[DEBUG][time= 554] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 554] Ibuffer: Deque: -[DEBUG][time= 554] Ibuffer: 00000000000101111000011010010011 PC=0080000020 v=1 r=1 -[DEBUG][time= 554] Ibuffer: 00000000001001111000010100010011 PC=0080000024 v=1 r=1 -[DEBUG][time= 554] Ibuffer: 00000000001101111000010110010011 PC=0080000028 v=1 r=1 -[DEBUG][time= 554] Ibuffer: 00000000010001111000011000010011 PC=008000002c v=1 r=1 -[DEBUG][time= 554] Ibuffer: 00000000111101110011000000100011 PC=0080000030 v=1 r=1 -[DEBUG][time= 554] Ibuffer: 00000000110101110011010000100011 PC=0080000034 v=1 r=1 -[DEBUG][time= 554] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 554] BTB: read: pc=0x0080000060, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 554] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 554] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=2 -[DEBUG][time= 554] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 554] BTB: bankIdxInOrder:[DEBUG][time= 554] BTB: 0 [DEBUG][time= 554] BTB: 1 [DEBUG][time= 554] BTB: 2 [DEBUG][time= 554] BTB: 3 [DEBUG][time= 554] BTB: 4 [DEBUG][time= 554] BTB: 5 [DEBUG][time= 554] BTB: 6 [DEBUG][time= 554] BTB: 7 [DEBUG][time= 554] BTB: 8 [DEBUG][time= 554] BTB: 9 [DEBUG][time= 554] BTB: 10 [DEBUG][time= 554] BTB: 11 [DEBUG][time= 554] BTB: 12 [DEBUG][time= 554] BTB: 13 [DEBUG][time= 554] BTB: 14 [DEBUG][time= 554] BTB: 15 [DEBUG][time= 554] BTB: -[INFO ][time= 554] Rename: pc:0080000088 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:1 -[INFO ][time= 554] Rename: pc:008000009c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 51 out v:0 r:1 -[INFO ][time= 554] Rename: pc:00800000a0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 52 out v:0 r:1 -[INFO ][time= 554] Rename: pc:00800000a4 in v:0 in rdy:1 lsrc1:15 -> psrc1: 51 lsrc2:14 -> psrc2: 52 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 554] Rename: pc:00800000a8 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 554] Rename: pc:00800000ac in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 36 out v:0 r:1 -[INFO ][time= 554] Rename: int rat arch: ldest:10 pdest: 48 -[INFO ][time= 554] Rename: int rat arch: ldest:11 pdest: 49 -[INFO ][time= 554] Rename: int rat arch: ldest:12 pdest: 50 -[DEBUG][time= 554] Dispatch1: v:0 r:1 pc 0x0080000070 of type 0011 is in 0-th slot -[DEBUG][time= 554] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 1-th slot -[DEBUG][time= 554] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 2-th slot -[DEBUG][time= 554] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 3-th slot -[DEBUG][time= 554] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 4-th slot -[DEBUG][time= 554] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 5-th slot -[DEBUG][time= 554] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 554] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 554] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 554] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 554] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 554] Dispatch2: regfile 0 from 0 -[DEBUG][time= 554] Dispatch2: regfile 1 from 0 -[DEBUG][time= 554] Dispatch2: regfile 2 from 1 -[DEBUG][time= 554] Dispatch2: regfile 3 from 1 -[DEBUG][time= 554] Dispatch2: regfile 4 from 2 -[DEBUG][time= 554] Dispatch2: regfile 5 from 2 -[DEBUG][time= 554] Dispatch2: regfile 6 from 3 -[DEBUG][time= 554] Dispatch2: regfile 7 from 3 -[DEBUG][time= 554] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 4: addr 45, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 6: addr 45, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 554] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 554] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 554] DecodeBuffer: in v:1 r:1 pc=0080000020 -[INFO ][time= 554] DecodeBuffer: in v:1 r:1 pc=0080000024 -[INFO ][time= 554] DecodeBuffer: in v:1 r:1 pc=0080000028 -[INFO ][time= 554] DecodeBuffer: in v:1 r:1 pc=008000002c -[INFO ][time= 554] DecodeBuffer: in v:1 r:1 pc=0080000030 -[INFO ][time= 554] DecodeBuffer: in v:1 r:1 pc=0080000034 -[INFO ][time= 554] DecodeBuffer: out v:0 r:1 pc=0080000088 -[INFO ][time= 554] DecodeBuffer: out v:0 r:1 pc=008000009c -[INFO ][time= 554] DecodeBuffer: out v:0 r:1 pc=00800000a0 -[INFO ][time= 554] DecodeBuffer: out v:0 r:1 pc=00800000a4 -[INFO ][time= 554] DecodeBuffer: out v:0 r:1 pc=00800000a8 -[INFO ][time= 554] DecodeBuffer: out v:0 r:1 pc=00800000ac -[ERROR][time= 554] Roq: CSR block should only happen in s_idle -[DEBUG][time= 554] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 554] Roq: writebacked 1 insts -[INFO ][time= 554] Roq: writebacked pc 0x008000003c wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[INFO ][time= 554] Roq: retired pc 0080000024 wen 1 ldst 10 data 0000000000000002 -[INFO ][time= 554] Roq: retired pc 0080000028 wen 1 ldst 11 data 0000000000000003 -[INFO ][time= 554] Roq: retired pc 008000002c wen 1 ldst 12 data 0000000000000004 -[INFO ][time= 554] Roq: retired pc 0080000030 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 554] Roq: retired pc 0080000034 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 554] Roq: retired pc 0080000038 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 554] Roq: retired 6 insts -[DEBUG][time= 554] Roq: head 0:30 tail 0:19 -[DEBUG][time= 554] Roq: -------------------wwwwwwvvwww-- -[DEBUG][time= 554] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 554] Roq: 0080000068 - 008000006c - 0080000070 - 0080000074 - -[DEBUG][time= 554] Roq: 0080000078 - 008000007c - 0080000080 - 0080000084 - -[DEBUG][time= 554] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 554] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 w -[DEBUG][time= 554] Roq: 0080000028 w 008000002c w 0080000030 w 0080000034 w -[DEBUG][time= 554] Roq: 0080000038 w 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 554] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 554] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 0 -[DEBUG][time= 554] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000eb0 data 0000000000000000 func 0b wmask 000000011111111 -[DEBUG][time= 554] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 554] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 3 ptr 2 valid 1 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 4 ptr 1 valid 1 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 554] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 554] LsExeUnit: LSU fire: pc 008000003c addr 0000000080000ec8 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000003 fwdres 0000000000000000 -[DEBUG][time= 554] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 554] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 0 emptySlot 3 -[DEBUG][time= 554] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 554] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 554] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 554] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 554] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 554] FakeCache: [Stage3_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 554] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 554] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000060 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 554] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 554] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 554] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 554] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 554] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000040 if4_npc:0x0080000020 -[DEBUG][time= 554] IFU: [IF4-TAGE-out]if4_tage_taken:1 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 554] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] starPC:0x0080000040 GroupPC:0x0080000040n -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction 02c73023 pnpc:0x0080000044 -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction 00068793 pnpc:0x0080000048 -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction 02870713 pnpc:0x008000004c -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction fd069ae3 pnpc:0x0080000050 -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000054 -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000058 -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction 0540006f pnpc:0x008000005c -[DEBUG][time= 554] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000060 -[DEBUG][time= 554] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 554] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 554] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 554] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 554] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 554] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 554] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 554] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 554] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 554] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 554] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 554] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 554] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 554] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 554] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 554] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 554] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 554] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 554] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 554] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 554] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 554] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 554] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 554] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 554] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 554] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 554] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 554] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 554] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 554] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 554] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 554] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 554] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 554] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 554] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 554] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 554] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 554] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 554] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 554] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 554] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 554] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 554] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 554] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 554] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 554] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 554] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 554] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 554] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 554] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 554] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 554] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 554] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 554] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 554] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 554] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 554] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 554] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 554] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 554] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 554] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 554] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 554] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 554] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 554] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 554] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 554] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 554] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 554] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 554] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 554] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 554] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 554] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 554] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 554] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 554] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 554] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 554] IssueQueue_7: Deq:(1 1) [ 44|0000000080000eb0][ 49|0000000000000003][ 0|0000000000000000] pdest: 32 pc:008000003c roqIdx:19 -[DEBUG][time= 554] IssueQueue_7: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[INFO ][time= 554] IssueQueue_7: FireStage:Out(1 1) src1( 44|0000000080000eb0) src2( 49|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:25 -[DEBUG][time= 554] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 554] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 554] IssueQueue_7: 3 |1|1| 44|1|0000000080000eb0| 50|1|0000000000000004| 0|0|0000000000000000| 4 |0080000040|1a -[DEBUG][time= 554] IssueQueue_7: 0 |0|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 <- -[DEBUG][time= 554] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 554] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 554] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 554] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 554] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 554] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 554] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 554] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 554] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 554] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 554] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 554] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 554] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 554] FreeList_1: dealloc preg: 41 -[DEBUG][time= 554] FreeList_1: dealloc preg: 11 -[DEBUG][time= 554] FreeList_1: dealloc preg: 12 -[DEBUG][time= 554] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 554] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 554] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 554] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 554] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 554] FreeList_1: req:0 canAlloc:1 pdest: 53 headNext:0: 21 -[DEBUG][time= 554] FreeList_1: head:0: 21 tail:1: 16 -[DEBUG][time= 554] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 554] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 5 -[DEBUG][time= 554] Brq: ---------------- -[DEBUG][time= 554] Brq: enq v:0 rdy:1 pc:0080000020 brTag:f:0 v: 5 -[DEBUG][time= 554] Brq: enq v:0 rdy:1 pc:0080000024 brTag:f:0 v: 5 -[DEBUG][time= 554] Brq: enq v:0 rdy:1 pc:0080000028 brTag:f:0 v: 5 -[DEBUG][time= 554] Brq: enq v:0 rdy:1 pc:008000002c brTag:f:0 v: 5 -[DEBUG][time= 554] Brq: enq v:0 rdy:1 pc:0080000030 brTag:f:0 v: 5 -[DEBUG][time= 554] Brq: enq v:0 rdy:1 pc:0080000034 brTag:f:0 v: 5 -[DEBUG][time= 555] Tage: req: pc=0x0080000080, hist=0000000000000000000000000000000000000000000000000000000000111110 -[DEBUG][time= 555] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 555] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 555] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ed0| 0 1) -[DEBUG][time= 555] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 555] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 555] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 555] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 555] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 555] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 555] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 555] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 555] BusyTable_1: Allocate 53 -[DEBUG][time= 555] BusyTable_1: Allocate 54 -[DEBUG][time= 555] BusyTable_1: Allocate 55 -[DEBUG][time= 555] BusyTable_1: Allocate 56 -[DEBUG][time= 555] BPUStage2: in:(1 1) pc=0080000060 out:(0 1) pc=0080000020 -[DEBUG][time= 555] BPUStage2: validLatch=0 pc=0080000020 -[DEBUG][time= 555] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 555] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 555] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 555] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 555] BPUStage1: in:(1 1) pc=0080000080 ghr=0000000000000000000000000000000000000000000000000000000000111110 -[DEBUG][time= 555] BPUStage1: outPred:(1) pc=0x0080000060, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 555] BPUStage3: flushS3=0 -[DEBUG][time= 555] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 555] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 555] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 555] JBTAC: read: pc=0x0080000080, histXORAddr=0x00800000fc, bank=6, row= 15, hist=0000000000000000000000000000000000000000000000000000000000111110 -[DEBUG][time= 555] JBTAC: read_resp: pc=0x0080000060, bank=6, row= 1, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 555] Frontend: inst:00a73823 pc:0080000038 -[INFO ][time= 555] Frontend: inst:00b73c23 pc:008000003c -[INFO ][time= 555] Frontend: inst:02c73023 pc:0080000040 -[INFO ][time= 555] Frontend: inst:00068793 pc:0080000044 -[INFO ][time= 555] Frontend: inst:02870713 pc:0080000048 -[INFO ][time= 555] Frontend: inst:fd069ae3 pc:008000004c -[DEBUG][time= 555] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000038 instr:00a73823 -[DEBUG][time= 555] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000003c instr:00b73c23 -[DEBUG][time= 555] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000040 instr:02c73023 -[DEBUG][time= 555] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000044 instr:00068793 -[DEBUG][time= 555] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000048 instr:02870713 -[DEBUG][time= 555] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:008000004c instr:fd069ae3 -[DEBUG][time= 555] Ibuffer: Deque: -[DEBUG][time= 555] Ibuffer: 00000000101001110011100000100011 PC=0080000038 v=1 r=1 -[DEBUG][time= 555] Ibuffer: 00000000101101110011110000100011 PC=008000003c v=1 r=1 -[DEBUG][time= 555] Ibuffer: 00000010110001110011000000100011 PC=0080000040 v=1 r=1 -[DEBUG][time= 555] Ibuffer: 00000000000001101000011110010011 PC=0080000044 v=1 r=1 -[DEBUG][time= 555] Ibuffer: 00000010100001110000011100010011 PC=0080000048 v=1 r=1 -[DEBUG][time= 555] Ibuffer: 11111101000001101001101011100011 PC=008000004c v=1 r=1 -[DEBUG][time= 555] BTB: read: pc=0x0080000080, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 555] BTB: read_resp: pc=0x0080000060, readIdx= 48------------------------------- -[DEBUG][time= 555] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=12][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 555] BTB: bankIdxInOrder:[DEBUG][time= 555] BTB: 0 [DEBUG][time= 555] BTB: 1 [DEBUG][time= 555] BTB: 2 [DEBUG][time= 555] BTB: 3 [DEBUG][time= 555] BTB: 4 [DEBUG][time= 555] BTB: 5 [DEBUG][time= 555] BTB: 6 [DEBUG][time= 555] BTB: 7 [DEBUG][time= 555] BTB: 8 [DEBUG][time= 555] BTB: 9 [DEBUG][time= 555] BTB: 10 [DEBUG][time= 555] BTB: 11 [DEBUG][time= 555] BTB: 12 [DEBUG][time= 555] BTB: 13 [DEBUG][time= 555] BTB: 14 [DEBUG][time= 555] BTB: 15 [DEBUG][time= 555] BTB: -[INFO ][time= 555] Rename: pc:0080000020 in v:1 in rdy:1 lsrc1:15 -> psrc1: 51 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 53 old_pdest: 47 out v:1 r:1 -[INFO ][time= 555] Rename: pc:0080000024 in v:1 in rdy:1 lsrc1:15 -> psrc1: 51 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 54 old_pdest: 48 out v:1 r:1 -[INFO ][time= 555] Rename: pc:0080000028 in v:1 in rdy:1 lsrc1:15 -> psrc1: 51 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 55 old_pdest: 49 out v:1 r:1 -[INFO ][time= 555] Rename: pc:008000002c in v:1 in rdy:1 lsrc1:15 -> psrc1: 51 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 56 old_pdest: 50 out v:1 r:1 -[INFO ][time= 555] Rename: pc:0080000030 in v:1 in rdy:1 lsrc1:14 -> psrc1: 52 lsrc2:15 -> psrc2: 51 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 555] Rename: pc:0080000034 in v:1 in rdy:1 lsrc1:14 -> psrc1: 52 lsrc2:13 -> psrc2: 53 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[DEBUG][time= 555] Dispatch1: v:0 r:1 pc 0x0080000070 of type 0011 is in 0-th slot -[DEBUG][time= 555] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 1-th slot -[DEBUG][time= 555] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 2-th slot -[DEBUG][time= 555] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 3-th slot -[DEBUG][time= 555] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 4-th slot -[DEBUG][time= 555] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 5-th slot -[DEBUG][time= 555] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 555] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 555] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 555] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 555] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 555] Dispatch2: regfile 0 from 0 -[DEBUG][time= 555] Dispatch2: regfile 1 from 0 -[DEBUG][time= 555] Dispatch2: regfile 2 from 1 -[DEBUG][time= 555] Dispatch2: regfile 3 from 1 -[DEBUG][time= 555] Dispatch2: regfile 4 from 2 -[DEBUG][time= 555] Dispatch2: regfile 5 from 2 -[DEBUG][time= 555] Dispatch2: regfile 6 from 3 -[DEBUG][time= 555] Dispatch2: regfile 7 from 3 -[DEBUG][time= 555] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 4: addr 45, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 6: addr 45, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 555] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 555] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 555] DecodeBuffer: in v:1 r:1 pc=0080000038 -[INFO ][time= 555] DecodeBuffer: in v:1 r:1 pc=008000003c -[INFO ][time= 555] DecodeBuffer: in v:1 r:1 pc=0080000040 -[INFO ][time= 555] DecodeBuffer: in v:1 r:1 pc=0080000044 -[INFO ][time= 555] DecodeBuffer: in v:1 r:1 pc=0080000048 -[INFO ][time= 555] DecodeBuffer: in v:1 r:1 pc=008000004c -[INFO ][time= 555] DecodeBuffer: out v:1 r:1 pc=0080000020 -[INFO ][time= 555] DecodeBuffer: out v:1 r:1 pc=0080000024 -[INFO ][time= 555] DecodeBuffer: out v:1 r:1 pc=0080000028 -[INFO ][time= 555] DecodeBuffer: out v:1 r:1 pc=008000002c -[INFO ][time= 555] DecodeBuffer: out v:1 r:1 pc=0080000030 -[INFO ][time= 555] DecodeBuffer: out v:1 r:1 pc=0080000034 -[ERROR][time= 555] Roq: CSR block should only happen in s_idle -[DEBUG][time= 555] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 555] Roq: writebacked 1 insts -[INFO ][time= 555] Roq: writebacked pc 0x0080000040 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[INFO ][time= 555] Roq: retired pc 008000003c wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 555] Roq: retired 1 insts -[DEBUG][time= 555] Roq: head 0:30 tail 0:25 -[DEBUG][time= 555] Roq: -------------------------wvwww-- -[DEBUG][time= 555] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 555] Roq: 0080000068 - 008000006c - 0080000070 - 0080000074 - -[DEBUG][time= 555] Roq: 0080000078 - 008000007c - 0080000080 - 0080000084 - -[DEBUG][time= 555] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 555] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 555] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 555] Roq: 0080000038 - 008000003c w 0080000040 v 0080000044 w -[DEBUG][time= 555] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 555] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ed0 size 3 data 0000000000000004 mask 00ff cmd 0 -[DEBUG][time= 555] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000eb0 data 0000000000000000 func 0b wmask 000000011111111 -[DEBUG][time= 555] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 555] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 555] LsExeUnit: sbuffer id 3 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 3 ptr 3 valid 1 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 4 ptr 1 valid 1 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 555] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 555] LsExeUnit: LSU fire: pc 0080000040 addr 0000000080000ed0 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000003 fwdres 0000000000000000 -[DEBUG][time= 555] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 555] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 0 stqCommited 3 emptySlot 4 -[DEBUG][time= 555] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483744------> s1 fire!!! -[DEBUG][time= 555] FakeCache: [Stage1_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 555] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 555] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 555] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 555] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 555] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 555] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000080 || if1_pcUpdate:1 if1_pc:0x0080000060 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 555] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000060 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 555] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 555] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 555] IFU: [IF3]if3_valid:0 || if3_pc:0x0080000040 if3_npc:0x0080000060 || if4_ready:1 -[DEBUG][time= 555] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 555] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 555] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 555] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 555] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 555] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 555] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 555] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 555] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 555] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 555] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 555] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 555] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 555] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 555] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 555] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 555] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 555] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 555] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 555] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 555] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 555] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 555] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 555] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 555] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 555] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 555] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 555] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 555] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 555] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 555] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 555] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 555] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 555] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 555] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 555] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 555] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 555] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 555] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 555] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 555] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 555] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 555] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 555] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 555] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 555] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 555] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 555] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 555] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 555] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 555] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 555] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 555] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 555] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 555] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 555] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 555] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 555] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 555] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 555] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 555] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 555] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 555] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 555] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 555] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 555] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 555] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 555] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 555] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 555] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 555] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 555] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 555] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 555] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 555] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 555] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 555] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 555] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 555] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 555] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 555] IssueQueue_7: Deq:(1 1) [ 44|0000000080000eb0][ 50|0000000000000004][ 0|0000000000000000] pdest: 32 pc:0080000040 roqIdx:1a -[DEBUG][time= 555] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(1 1) -[INFO ][time= 555] IssueQueue_7: FireStage:Out(1 1) src1( 44|0000000080000eb0) src2( 50|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:26 -[DEBUG][time= 555] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 555] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 555] IssueQueue_7: 0 |0|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 <- -[DEBUG][time= 555] IssueQueue_7: 3 |0|1| 44|1|0000000080000eb0| 50|1|0000000000000004| 0|0|0000000000000000| 4 |0080000040|1a -[DEBUG][time= 555] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 555] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 555] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 555] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 555] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 555] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 555] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 555] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 555] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 555] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 555] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 555] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 555] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 555] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 555] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 555] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 555] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 555] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 555] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 555] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 22 -[DEBUG][time= 555] FreeList_1: req:1 canAlloc:1 pdest: 53 headNext:0: 22 -[DEBUG][time= 555] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 23 -[DEBUG][time= 555] FreeList_1: req:1 canAlloc:1 pdest: 54 headNext:0: 23 -[DEBUG][time= 555] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 24 -[DEBUG][time= 555] FreeList_1: req:1 canAlloc:1 pdest: 55 headNext:0: 24 -[DEBUG][time= 555] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 25 -[DEBUG][time= 555] FreeList_1: req:1 canAlloc:1 pdest: 56 headNext:0: 25 -[DEBUG][time= 555] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 25 -[DEBUG][time= 555] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 555] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 25 -[DEBUG][time= 555] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 555] FreeList_1: head:0: 21 tail:1: 19 -[DEBUG][time= 555] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 555] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 5 -[DEBUG][time= 555] Brq: ---------------- -[DEBUG][time= 555] Brq: enq v:0 rdy:1 pc:0080000038 brTag:f:0 v: 5 -[DEBUG][time= 555] Brq: enq v:0 rdy:1 pc:008000003c brTag:f:0 v: 5 -[DEBUG][time= 555] Brq: enq v:0 rdy:1 pc:0080000040 brTag:f:0 v: 5 -[DEBUG][time= 555] Brq: enq v:0 rdy:1 pc:0080000044 brTag:f:0 v: 5 -[DEBUG][time= 555] Brq: enq v:0 rdy:1 pc:0080000048 brTag:f:0 v: 5 -[DEBUG][time= 555] Brq: enq v:1 rdy:1 pc:008000004c brTag:f:0 v: 5 -[DEBUG][time= 556] Tage: req: pc=0x008000009c, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 556] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 556] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 556] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000eb0| 0 1) tlb (1 1 0080000eb0| 0 1) -[DEBUG][time= 556] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 556] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 556] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 556] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 556] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 556] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 556] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 556] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 556] BusyTable_1: Allocate 57 -[DEBUG][time= 556] BusyTable_1: Allocate 58 -[DEBUG][time= 556] BusyTable_1: 53 is busy -[DEBUG][time= 556] BusyTable_1: 54 is busy -[DEBUG][time= 556] BusyTable_1: 55 is busy -[DEBUG][time= 556] BusyTable_1: 56 is busy -[DEBUG][time= 556] BPUStage2: in:(1 1) pc=0080000080 out:(1 1) pc=0080000060 -[DEBUG][time= 556] BPUStage2: validLatch=1 pc=0080000060 -[DEBUG][time= 556] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 556] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 556] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 556] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 556] BPUStage1: in:(1 1) pc=008000009c ghr=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 556] BPUStage1: outPred:(1) pc=0x0080000080, redirect=1 instrValid=00000111 tgt=008000009c -[DEBUG][time= 556] BPUStage3: [RAS]:pc=0x0080000060, rasWritePosition= 1, rasWriteAddr=0x0080000060 -[DEBUG][time= 556] BPUStage3: in:(1 1) pc=0080000060 -[DEBUG][time= 556] BPUStage3: flushS3=0 -[DEBUG][time= 556] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 556] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 556] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 556] JBTAC: read: pc=0x008000009c, histXORAddr=0x0080000066, bank=3, row= 6, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 556] JBTAC: read_resp: pc=0x0080000080, bank=6, row= 15, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 556] Frontend: inst:00000513 pc:0080000050 -[INFO ][time= 556] Frontend: inst:00008067 pc:0080000054 -[INFO ][time= 556] Frontend: inst:0540006f pc:0080000058 -[INFO ][time= 556] Frontend: inst:ff010113 pc:008000005c -[DEBUG][time= 556] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 556] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000054 instr:00008067 -[DEBUG][time= 556] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000058 instr:0540006f -[DEBUG][time= 556] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000005c instr:ff010113 -[DEBUG][time= 556] Ibuffer: Deque: -[DEBUG][time= 556] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=1 -[DEBUG][time= 556] Ibuffer: 00000000000000001000000001100111 PC=0080000054 v=1 r=1 -[DEBUG][time= 556] Ibuffer: 00000101010000000000000001101111 PC=0080000058 v=1 r=1 -[DEBUG][time= 556] Ibuffer: 11111111000000010000000100010011 PC=008000005c v=1 r=1 -[DEBUG][time= 556] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=0 r=1 -[DEBUG][time= 556] Ibuffer: 00000000000000001000000001100111 PC=0080000054 v=0 r=1 -[DEBUG][time= 556] BTB: read: pc=0x008000009c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 556] BTB: read_resp: pc=0x0080000080, readIdx= 64------------------------------- -[DEBUG][time= 556] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400000, target=0x008000009c, type=1, ctr=2 -[DEBUG][time= 556] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=10][r= 4]: valid=1, tag=0x00400000, target=0x0080000010, type=1, ctr=2 -[DEBUG][time= 556] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=12][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 556] BTB: bankIdxInOrder:[DEBUG][time= 556] BTB: 0 [DEBUG][time= 556] BTB: 1 [DEBUG][time= 556] BTB: 2 [DEBUG][time= 556] BTB: 3 [DEBUG][time= 556] BTB: 4 [DEBUG][time= 556] BTB: 5 [DEBUG][time= 556] BTB: 6 [DEBUG][time= 556] BTB: 7 [DEBUG][time= 556] BTB: 8 [DEBUG][time= 556] BTB: 9 [DEBUG][time= 556] BTB: 10 [DEBUG][time= 556] BTB: 11 [DEBUG][time= 556] BTB: 12 [DEBUG][time= 556] BTB: 13 [DEBUG][time= 556] BTB: 14 [DEBUG][time= 556] BTB: 15 [DEBUG][time= 556] BTB: -[INFO ][time= 556] Rename: pc:0080000038 in v:1 in rdy:1 lsrc1:14 -> psrc1: 52 lsrc2:10 -> psrc2: 54 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 556] Rename: pc:008000003c in v:1 in rdy:1 lsrc1:14 -> psrc1: 52 lsrc2:11 -> psrc2: 55 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 556] Rename: pc:0080000040 in v:1 in rdy:1 lsrc1:14 -> psrc1: 52 lsrc2:12 -> psrc2: 56 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 556] Rename: pc:0080000044 in v:1 in rdy:1 lsrc1:13 -> psrc1: 53 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 57 old_pdest: 51 out v:1 r:1 -[INFO ][time= 556] Rename: pc:0080000048 in v:1 in rdy:1 lsrc1:14 -> psrc1: 52 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 58 old_pdest: 52 out v:1 r:1 -[INFO ][time= 556] Rename: pc:008000004c in v:1 in rdy:1 lsrc1:13 -> psrc1: 53 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 556] Rename: int rat arch: ldest:15 pdest: 51 -[INFO ][time= 556] Rename: int rat arch: ldest:14 pdest: 52 -[INFO ][time= 556] Dispatch1: pc 0x0080000020 accepted by queue 1 0 0 -[INFO ][time= 556] Dispatch1: pc 0x0080000024 accepted by queue 1 0 0 -[INFO ][time= 556] Dispatch1: pc 0x0080000028 accepted by queue 1 0 0 -[INFO ][time= 556] Dispatch1: pc 0x008000002c accepted by queue 1 0 0 -[INFO ][time= 556] Dispatch1: pc 0x0080000030 accepted by queue 0 0 1 -[INFO ][time= 556] Dispatch1: pc 0x0080000034 accepted by queue 0 0 1 -[DEBUG][time= 556] Dispatch1: pc 0x0080000020 receives nroq 30 -[DEBUG][time= 556] Dispatch1: v:1 r:1 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 556] Dispatch1: pc 0x0080000024 receives nroq 31 -[DEBUG][time= 556] Dispatch1: v:1 r:1 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 556] Dispatch1: pc 0x0080000028 receives nroq 32 -[DEBUG][time= 556] Dispatch1: v:1 r:1 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 556] Dispatch1: pc 0x008000002c receives nroq 33 -[DEBUG][time= 556] Dispatch1: v:1 r:1 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 556] Dispatch1: pc 0x0080000030 receives nroq 34 -[DEBUG][time= 556] Dispatch1: v:1 r:1 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 556] Dispatch1: pc 0x0080000034 receives nroq 35 -[DEBUG][time= 556] Dispatch1: v:1 r:1 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 556] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 556] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 556] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 556] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 556] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 556] Dispatch2: regfile 0 from 0 -[DEBUG][time= 556] Dispatch2: regfile 1 from 0 -[DEBUG][time= 556] Dispatch2: regfile 2 from 1 -[DEBUG][time= 556] Dispatch2: regfile 3 from 1 -[DEBUG][time= 556] Dispatch2: regfile 4 from 2 -[DEBUG][time= 556] Dispatch2: regfile 5 from 2 -[DEBUG][time= 556] Dispatch2: regfile 6 from 3 -[DEBUG][time= 556] Dispatch2: regfile 7 from 3 -[DEBUG][time= 556] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 4: addr 45, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 6: addr 45, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 556] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 556] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 556] DecodeBuffer: in v:1 r:1 pc=0080000050 -[INFO ][time= 556] DecodeBuffer: in v:1 r:1 pc=0080000054 -[INFO ][time= 556] DecodeBuffer: in v:1 r:1 pc=0080000058 -[INFO ][time= 556] DecodeBuffer: in v:1 r:1 pc=008000005c -[INFO ][time= 556] DecodeBuffer: in v:0 r:1 pc=0080000050 -[INFO ][time= 556] DecodeBuffer: in v:0 r:1 pc=0080000054 -[INFO ][time= 556] DecodeBuffer: out v:1 r:1 pc=0080000038 -[INFO ][time= 556] DecodeBuffer: out v:1 r:1 pc=008000003c -[INFO ][time= 556] DecodeBuffer: out v:1 r:1 pc=0080000040 -[INFO ][time= 556] DecodeBuffer: out v:1 r:1 pc=0080000044 -[INFO ][time= 556] DecodeBuffer: out v:1 r:1 pc=0080000048 -[INFO ][time= 556] DecodeBuffer: out v:1 r:1 pc=008000004c -[ERROR][time= 556] Roq: CSR block should only happen in s_idle -[DEBUG][time= 556] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 556] Roq: dispatched 6 insts -[INFO ][time= 556] Roq: retired pc 0080000040 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 556] Roq: retired pc 0080000044 wen 1 ldst 15 data 0000000000000001 -[INFO ][time= 556] Roq: retired pc 0080000048 wen 1 ldst 14 data 0000000080000ed8 -[INFO ][time= 556] Roq: retired pc 008000004c wen 0 ldst 0 data 0000000000000020 -[INFO ][time= 556] Roq: retired 4 insts -[DEBUG][time= 556] Roq: head 0:30 tail 0:26 -[DEBUG][time= 556] Roq: --------------------------wwww-- -[DEBUG][time= 556] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 556] Roq: 0080000068 - 008000006c - 0080000070 - 0080000074 - -[DEBUG][time= 556] Roq: 0080000078 - 008000007c - 0080000080 - 0080000084 - -[DEBUG][time= 556] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 556] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 556] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 556] Roq: 0080000038 - 008000003c - 0080000040 w 0080000044 w -[DEBUG][time= 556] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 556] DispatchQueue_2: LsDpQ: num_enq = 2, tail = (10 -> 12) -[DEBUG][time= 556] DispatchQueue: IntDpQ: num_enq = 4, tail = ( 6 -> 10) -[DEBUG][time= 556] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000eb0 size 3 data 0000000000000000 mask 00ff cmd 1 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 0 data 00 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 556] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 556] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000eb0 data 0000000000000000 func 0b wmask 000000011111111 -[DEBUG][time= 556] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 556] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 556] LsExeUnit: sbuffer id 3 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 556] LsExeUnit: sbuffer id 4 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 3 ptr 3 valid 1 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 4 ptr 4 valid 1 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 556] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 556] LsExeUnit: state: 0 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 556] LsExeUnit: stqinfo: stqValid.asUInt 00011111 stqHead 5 stqTail 0 stqCommited 4 emptySlot 5 -[DEBUG][time= 556] LsExeUnit: retiringStore now... -[INFO ][time= 556] LsExeUnit: [DMEM STORE REQ] addr 0x80000eb0 wdata 0x0000000000000000 size 3 -[DEBUG][time= 556] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483776------> s1 fire!!! -[DEBUG][time= 556] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 556] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 556] FakeCache: [Stage2_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 556] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 556] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 556] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 556] IFU: [IF1]if1_valid:1 || if1_npc:0x008000009c || if1_pcUpdate:1 if1_pc:0x0080000080 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 556] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000080 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 556] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 556] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00000111 || if2_btb_target:0x008000009c -[DEBUG][time= 556] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000060 if3_npc:0x0080000080 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 556] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 556] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 556] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 556] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 556] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 556] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 556] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 556] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 556] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 556] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 556] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 556] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 556] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 556] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 556] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 556] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 556] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 556] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 556] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 556] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 556] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 556] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 556] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 556] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 556] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 556] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 556] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 556] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 556] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 556] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 556] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 556] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 556] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 556] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 556] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 556] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 556] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 556] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 556] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 556] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 556] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 556] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 556] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 556] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 556] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 556] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 556] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 556] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 556] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 556] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 556] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 556] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 556] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 556] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 556] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 556] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 556] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 556] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 556] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 556] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 556] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 556] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 556] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 556] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 556] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 556] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 556] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 556] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 556] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 556] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 556] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 556] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 556] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 556] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 556] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 556] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 556] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 556] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 556] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 556] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 556] IssueQueue_7: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(0 1) Out(0 0) -[DEBUG][time= 556] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 556] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 556] IssueQueue_7: 0 |0|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 <- -[DEBUG][time= 556] IssueQueue_7: 3 |0|1| 44|1|0000000080000eb0| 50|1|0000000000000004| 0|0|0000000000000000| 4 |0080000040|1a -[DEBUG][time= 556] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 556] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 556] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 556] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 556] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 556] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 556] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 556] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 556] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 556] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 556] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 556] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 556] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 556] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 556] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 556] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 556] FreeList: do checkPt at BrqIdx= 5 headPtr:0: 0 -[DEBUG][time= 556] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 556] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 556] FreeList_1: dealloc preg: 45 -[DEBUG][time= 556] FreeList_1: dealloc preg: 44 -[DEBUG][time= 556] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 25 -[DEBUG][time= 556] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 556] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 25 -[DEBUG][time= 556] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 556] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 25 -[DEBUG][time= 556] FreeList_1: req:0 canAlloc:1 pdest: 57 headNext:0: 25 -[DEBUG][time= 556] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 26 -[DEBUG][time= 556] FreeList_1: req:1 canAlloc:1 pdest: 57 headNext:0: 26 -[DEBUG][time= 556] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 27 -[DEBUG][time= 556] FreeList_1: req:1 canAlloc:1 pdest: 58 headNext:0: 27 -[DEBUG][time= 556] FreeList_1: do checkPt at BrqIdx= 5 headPtr:0: 27 -[DEBUG][time= 556] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 556] FreeList_1: head:0: 25 tail:1: 19 -[DEBUG][time= 556] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 556] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 6 -[DEBUG][time= 556] Brq: ---------------- -[DEBUG][time= 556] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 6 -[DEBUG][time= 556] Brq: enq v:1 rdy:1 pc:0080000054 brTag:f:0 v: 6 -[DEBUG][time= 556] Brq: enq v:1 rdy:1 pc:0080000058 brTag:f:0 v: 7 -[DEBUG][time= 556] Brq: enq v:0 rdy:1 pc:008000005c brTag:f:0 v: 8 -[DEBUG][time= 556] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 8 -[DEBUG][time= 556] Brq: enq v:0 rdy:1 pc:0080000054 brTag:f:0 v: 8 -[DEBUG][time= 557] Tage: req: pc=0x00800000bc, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 557] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 557] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 557] XSCore: c-mem(0 0 00000000| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000eb0| 0 1) -[DEBUG][time= 557] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 557] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 557] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 557] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 557] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 557] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 557] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 557] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 557] BusyTable_1: Allocate 59 -[DEBUG][time= 557] BusyTable_1: Allocate 60 -[DEBUG][time= 557] BusyTable_1: 53 is busy -[DEBUG][time= 557] BusyTable_1: 54 is busy -[DEBUG][time= 557] BusyTable_1: 55 is busy -[DEBUG][time= 557] BusyTable_1: 56 is busy -[DEBUG][time= 557] BusyTable_1: 57 is busy -[DEBUG][time= 557] BusyTable_1: 58 is busy -[DEBUG][time= 557] BPUStage2: in:(1 1) pc=008000009c out:(1 1) pc=0080000080 -[DEBUG][time= 557] BPUStage2: validLatch=1 pc=0080000080 -[DEBUG][time= 557] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 557] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 557] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 557] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 557] BPUStage1: in:(1 1) pc=00800000bc ghr=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 557] BPUStage1: outPred:(1) pc=0x008000009c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 557] BPUStage3: [RAS]:pc=0x0080000080, rasWritePosition= 1, rasWriteAddr=0x0080000080 -[DEBUG][time= 557] BPUStage3: in:(1 1) pc=0080000080 -[DEBUG][time= 557] BPUStage3: out:1 pc=0080000060 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000080 -[DEBUG][time= 557] BPUStage3: flushS3=0 -[DEBUG][time= 557] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 557] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 557] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 557] JBTAC: read: pc=0x00800000bc, histXORAddr=0x0080000046, bank=3, row= 4, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 557] JBTAC: read_resp: pc=0x008000009c, bank=3, row= 6, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 557] Ibuffer: Enque: -[DEBUG][time= 557] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 557] Ibuffer: 00000000000001010000010110010011 -[DEBUG][time= 557] Ibuffer: 00000000000001010000010100010011 -[DEBUG][time= 557] Ibuffer: 00000000000001010000000001101011 -[DEBUG][time= 557] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 557] Ibuffer: 11000101000001010000010100010011 -[DEBUG][time= 557] Ibuffer: 00111101010100000000000011101111 -[DEBUG][time= 557] Ibuffer: 00000000000000000000000001101111 -[DEBUG][time= 557] Ibuffer: last_head_ptr= 32 last_tail_ptr= 32 -[DEBUG][time= 557] BTB: read: pc=0x00800000bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 557] BTB: read_resp: pc=0x008000009c, readIdx= 78------------------------------- -[DEBUG][time= 557] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 557] BTB: bankIdxInOrder:[DEBUG][time= 557] BTB: 14 [DEBUG][time= 557] BTB: 15 [DEBUG][time= 557] BTB: 0 [DEBUG][time= 557] BTB: 1 [DEBUG][time= 557] BTB: 2 [DEBUG][time= 557] BTB: 3 [DEBUG][time= 557] BTB: 4 [DEBUG][time= 557] BTB: 5 [DEBUG][time= 557] BTB: 6 [DEBUG][time= 557] BTB: 7 [DEBUG][time= 557] BTB: 8 [DEBUG][time= 557] BTB: 9 [DEBUG][time= 557] BTB: 10 [DEBUG][time= 557] BTB: 11 [DEBUG][time= 557] BTB: 12 [DEBUG][time= 557] BTB: 13 [DEBUG][time= 557] BTB: -[INFO ][time= 557] Rename: pc:0080000050 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 59 old_pdest: 54 out v:1 r:1 -[INFO ][time= 557] Rename: pc:0080000054 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 557] Rename: pc:0080000058 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 557] Rename: pc:008000005c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 60 old_pdest: 36 out v:1 r:1 -[INFO ][time= 557] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 58 out v:0 r:1 -[INFO ][time= 557] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 53 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 557] Dispatch1: pc 0x0080000038 accepted by queue 0 0 1 -[INFO ][time= 557] Dispatch1: pc 0x008000003c accepted by queue 0 0 1 -[INFO ][time= 557] Dispatch1: pc 0x0080000040 accepted by queue 0 0 1 -[INFO ][time= 557] Dispatch1: pc 0x0080000044 accepted by queue 1 0 0 -[INFO ][time= 557] Dispatch1: pc 0x0080000048 accepted by queue 1 0 0 -[INFO ][time= 557] Dispatch1: pc 0x008000004c accepted by queue 1 0 0 -[DEBUG][time= 557] Dispatch1: pc 0x0080000038 receives nroq 36 -[DEBUG][time= 557] Dispatch1: v:1 r:1 pc 0x0080000038 of type 1101 is in 0-th slot -[DEBUG][time= 557] Dispatch1: pc 0x008000003c receives nroq 37 -[DEBUG][time= 557] Dispatch1: v:1 r:1 pc 0x008000003c of type 1101 is in 1-th slot -[DEBUG][time= 557] Dispatch1: pc 0x0080000040 receives nroq 38 -[DEBUG][time= 557] Dispatch1: v:1 r:1 pc 0x0080000040 of type 1101 is in 2-th slot -[DEBUG][time= 557] Dispatch1: pc 0x0080000044 receives nroq 39 -[DEBUG][time= 557] Dispatch1: v:1 r:1 pc 0x0080000044 of type 0011 is in 3-th slot -[DEBUG][time= 557] Dispatch1: pc 0x0080000048 receives nroq 40 -[DEBUG][time= 557] Dispatch1: v:1 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 557] Dispatch1: pc 0x008000004c receives nroq 41 -[DEBUG][time= 557] Dispatch1: v:1 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 557] Dispatch2: int dp queue 0: 0080000020 type 0011 -[DEBUG][time= 557] Dispatch2: int dp queue 1: 0080000024 type 0011 -[DEBUG][time= 557] Dispatch2: int dp queue 2: 0080000028 type 0011 -[DEBUG][time= 557] Dispatch2: int dp queue 3: 008000002c type 0011 -[DEBUG][time= 557] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 557] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 557] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 557] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 557] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 557] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 557] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 557] Dispatch2: regfile 0 from 0 -[DEBUG][time= 557] Dispatch2: regfile 1 from 0 -[DEBUG][time= 557] Dispatch2: regfile 2 from 1 -[DEBUG][time= 557] Dispatch2: regfile 3 from 1 -[DEBUG][time= 557] Dispatch2: regfile 4 from 2 -[DEBUG][time= 557] Dispatch2: regfile 5 from 2 -[DEBUG][time= 557] Dispatch2: regfile 6 from 3 -[DEBUG][time= 557] Dispatch2: regfile 7 from 3 -[DEBUG][time= 557] Dispatch2: int regfile 0: addr 51, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 2: addr 51, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 4: addr 51, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 6: addr 51, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 8: addr 52, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 9: addr 51, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 12: addr 51, state 1 -[DEBUG][time= 557] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 557] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 557] Dispatch2: pc 0x0080000020 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 557] Dispatch2: pc 0x0080000024 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 557] Dispatch2: pc 0x0080000028 with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 557] Dispatch2: pc 0x008000002c with type 0011 srcState(1 1 0) enters reservation station 4 from 3 -[INFO ][time= 557] Dispatch2: pc 0x0080000030 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 557] Dispatch2: pc 0x0080000020 leaves Int dispatch queue with nroq 30 -[INFO ][time= 557] Dispatch2: pc 0x0080000024 leaves Int dispatch queue with nroq 31 -[INFO ][time= 557] Dispatch2: pc 0x0080000028 leaves Int dispatch queue with nroq 32 -[INFO ][time= 557] Dispatch2: pc 0x008000002c leaves Int dispatch queue with nroq 33 -[INFO ][time= 557] Dispatch2: pc 0x0080000030 leaves Ls dispatch queue with nroq 34 -[DEBUG][time= 557] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[INFO ][time= 557] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 557] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 557] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 557] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 557] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 557] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 557] DecodeBuffer: out v:1 r:1 pc=0080000050 -[INFO ][time= 557] DecodeBuffer: out v:1 r:1 pc=0080000054 -[INFO ][time= 557] DecodeBuffer: out v:1 r:1 pc=0080000058 -[INFO ][time= 557] DecodeBuffer: out v:1 r:1 pc=008000005c -[INFO ][time= 557] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 557] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 557] Roq: CSR block should only happen in s_idle -[DEBUG][time= 557] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 557] Roq: dispatched 6 insts -[DEBUG][time= 557] Roq: head 1: 4 tail 0:30 -[DEBUG][time= 557] Roq: vvvv--------------------------vv -[DEBUG][time= 557] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 557] Roq: 0080000068 - 008000006c - 0080000070 - 0080000074 - -[DEBUG][time= 557] Roq: 0080000078 - 008000007c - 0080000080 - 0080000084 - -[DEBUG][time= 557] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 557] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 557] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 557] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 557] Roq: 0080000048 - 008000004c - 0080000020 v 0080000024 v -[DEBUG][time= 557] DispatchQueue_2: LsDpQ: num_deq = 1, head = (10 -> 11) -[DEBUG][time= 557] DispatchQueue_2: LsDpQ: num_enq = 3, tail = (12 -> 15) -[DEBUG][time= 557] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 10), tail = (1, 12), -[DEBUG][time= 557] DispatchQueue: IntDpQ: num_deq = 4, head = ( 6 -> 10) -[DEBUG][time= 557] DispatchQueue: IntDpQ: num_enq = 3, tail = (10 -> 13) -[DEBUG][time= 557] DispatchQueue: IntDpQ: valid_entries = 4, head = (0, 6), tail = (0, 10), -[DEBUG][time= 557] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000eb0 size 3 data 0000000000000000 mask 00ff cmd 1 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 0 data 00 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 557] LsExeUnit: forwarding data from stq, addr 0000000080000eb0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 557] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000eb0 data 0000000000000000 func 0b wmask 000000011111111 -[DEBUG][time= 557] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 557] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 557] LsExeUnit: sbuffer id 3 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 557] LsExeUnit: sbuffer id 4 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 3 ptr 3 valid 1 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 4 ptr 4 valid 1 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 557] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 557] LsExeUnit: state: 2 (valid, ready): in (0,0) out (0,1) -[DEBUG][time= 557] LsExeUnit: stqinfo: stqValid.asUInt 00011111 stqHead 5 stqTail 0 stqCommited 5 emptySlot 5 -[DEBUG][time= 557] LsExeUnit: retiringStore now... -[DEBUG][time= 557] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483804------> s1 fire!!! -[DEBUG][time= 557] FakeCache: [Stage1_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 557] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 557] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 557] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 557] FakeCache: [Stage3_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 557] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 557] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000bc || if1_pcUpdate:1 if1_pc:0x008000009c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 557] IFU: [IF2]if2_valid:1 || if2_pc:0x008000009c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 557] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 557] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 557] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000080 if3_npc:0x008000009c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 557] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000060 if4_npc:0x0080000080 -[DEBUG][time= 557] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000080 -[DEBUG][time= 557] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] starPC:0x0080000060 GroupPC:0x0080000060n -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000064 -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction 00050593 pnpc:0x0080000068 -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction 00050513 pnpc:0x008000006c -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction 0005006b pnpc:0x0080000070 -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000074 -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction c5050513 pnpc:0x0080000078 -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction 3d5000ef pnpc:0x008000007c -[DEBUG][time= 557] IFU: [IFU-Out-FetchPacket] instruction 0000006f pnpc:0x0080000080 -[DEBUG][time= 557] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 557] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 557] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 557] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 557] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 557] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 557] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 557] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 557] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 557] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 557] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 557] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 51:1 0:1 0:0) Dest: 53 oldDest: 47 pc:0080000020 roqIdx:1e -[DEBUG][time= 557] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 557] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 557] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 557] IssueQueue_1: 1 |0|1| 47|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 4 |0080000044|1b <- -[DEBUG][time= 557] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 -[DEBUG][time= 557] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 557] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 557] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 557] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 557] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 557] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 557] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 51:1 0:1 0:0) Dest: 54 oldDest: 48 pc:0080000024 roqIdx:1f -[DEBUG][time= 557] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 557] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 557] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 557] IssueQueue_2: 0 |0|1| 43|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 4 |0080000014|0f <- -[DEBUG][time= 557] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e -[DEBUG][time= 557] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 557] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 557] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 557] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 557] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 557] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 557] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 51:1 0:1 0:0) Dest: 55 oldDest: 49 pc:0080000028 roqIdx:20 -[DEBUG][time= 557] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 557] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 557] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 557] IssueQueue_3: 2 |0|1| 44|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 4 |0080000048|1c <- -[DEBUG][time= 557] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 -[DEBUG][time= 557] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 557] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 557] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 557] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 557] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 557] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 557] IssueQueue_4: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 51:1 0:1 0:0) Dest: 56 oldDest: 50 pc:008000002c roqIdx:21 -[DEBUG][time= 557] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 557] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 557] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 557] IssueQueue_4: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 4 |008000002c|15 <- -[DEBUG][time= 557] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d -[DEBUG][time= 557] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 557] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 557] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 557] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 557] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 557] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 557] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 557] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 557] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 557] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 557] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 557] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 557] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 557] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 557] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 557] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 557] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 557] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 557] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 557] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 557] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 557] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 557] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 557] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 557] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 557] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 557] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 557] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 557] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 52:1 51:1 0:0) Dest: 32 oldDest: 0 pc:0080000030 roqIdx:22 -[DEBUG][time= 557] IssueQueue_7: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:01 popDot:00000000 moveDot:00000000 In(1 1) Out(0 0) -[DEBUG][time= 557] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 557] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 557] IssueQueue_7: 0 |0|1| 44|1|0000000080000eb0| 47|1|0000000000000001| 0|0|0000000000000000| 4 |0080000034|17 <- -[DEBUG][time= 557] IssueQueue_7: 3 |0|1| 44|1|0000000080000eb0| 50|1|0000000000000004| 0|0|0000000000000000| 4 |0080000040|1a -[DEBUG][time= 557] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 557] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 557] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 557] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 557] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 557] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 557] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 557] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 557] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 557] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 557] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 557] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 557] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 557] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 557] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 557] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 557] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 557] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 28 -[DEBUG][time= 557] FreeList_1: req:1 canAlloc:1 pdest: 59 headNext:0: 28 -[DEBUG][time= 557] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 28 -[DEBUG][time= 557] FreeList_1: req:0 canAlloc:1 pdest: 60 headNext:0: 28 -[DEBUG][time= 557] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 28 -[DEBUG][time= 557] FreeList_1: req:0 canAlloc:1 pdest: 60 headNext:0: 28 -[DEBUG][time= 557] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 29 -[DEBUG][time= 557] FreeList_1: req:1 canAlloc:1 pdest: 60 headNext:0: 29 -[DEBUG][time= 557] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 557] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 557] FreeList_1: head:0: 27 tail:1: 21 -[DEBUG][time= 557] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 557] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 8 -[DEBUG][time= 557] Brq: ---------------- -[DEBUG][time= 557] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 557] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 557] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 557] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 557] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 557] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 558] Tage: req: pc=0x00800000dc, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 558] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 558] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 558] XSCore: c-mem(0 0 00000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 558] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 558] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 558] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 558] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 558] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 558] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 558] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 558] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 558] BusyTable_1: writeback 53 -[DEBUG][time= 558] BusyTable_1: writeback 54 -[DEBUG][time= 558] BusyTable_1: writeback 55 -[DEBUG][time= 558] BusyTable_1: writeback 56 -[DEBUG][time= 558] BusyTable_1: 53 is busy -[DEBUG][time= 558] BusyTable_1: 54 is busy -[DEBUG][time= 558] BusyTable_1: 55 is busy -[DEBUG][time= 558] BusyTable_1: 56 is busy -[DEBUG][time= 558] BusyTable_1: 57 is busy -[DEBUG][time= 558] BusyTable_1: 58 is busy -[DEBUG][time= 558] BusyTable_1: 59 is busy -[DEBUG][time= 558] BusyTable_1: 60 is busy -[DEBUG][time= 558] BPUStage2: in:(1 1) pc=00800000bc out:(1 1) pc=008000009c -[DEBUG][time= 558] BPUStage2: validLatch=1 pc=008000009c -[DEBUG][time= 558] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 558] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 558] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 558] DispatchGen: priority: data(3) = 1, priority = 3 -[INFO ][time= 558] WriteBackArbMtoN: out(1) pc(0x0080000020) writebacks 0x0000000000000002 to pdest( 53) ldest(13) -[INFO ][time= 558] WriteBackArbMtoN: out(2) pc(0x0080000024) writebacks 0x0000000000000003 to pdest( 54) ldest(10) -[INFO ][time= 558] WriteBackArbMtoN: out(3) pc(0x0080000028) writebacks 0x0000000000000004 to pdest( 55) ldest(11) -[INFO ][time= 558] WriteBackArbMtoN: out(4) pc(0x008000002c) writebacks 0x0000000000000005 to pdest( 56) ldest(12) -[DEBUG][time= 558] BPUStage1: in:(1 1) pc=00800000dc ghr=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 558] BPUStage1: outPred:(1) pc=0x00800000bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 558] BPUStage3: [RAS]:pc=0x008000009c, rasWritePosition= 1, rasWriteAddr=0x008000008c -[DEBUG][time= 558] BPUStage3: in:(1 1) pc=008000009c -[DEBUG][time= 558] BPUStage3: out:1 pc=0080000080 redirect=0 predcdMask=11111111 instrValid=00000111 tgt=008000009c -[DEBUG][time= 558] BPUStage3: flushS3=0 -[DEBUG][time= 558] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 558] BPUStage3: jmpIdx=2, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=2 retIdx=7 -[DEBUG][time= 558] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=1 -[DEBUG][time= 558] JBTAC: read: pc=0x00800000dc, histXORAddr=0x0080000026, bank=3, row= 2, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 558] JBTAC: read_resp: pc=0x00800000bc, bank=3, row= 4, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 558] Frontend: inst:00113423 pc:0080000060 -[INFO ][time= 558] Frontend: inst:00050593 pc:0080000064 -[INFO ][time= 558] Frontend: inst:00050513 pc:0080000068 -[INFO ][time= 558] Frontend: inst:0005006b pc:008000006c -[INFO ][time= 558] Frontend: inst:00001517 pc:0080000070 -[INFO ][time= 558] Frontend: inst:c5050513 pc:0080000074 -[DEBUG][time= 558] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 558] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000064 instr:00050593 -[DEBUG][time= 558] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000068 instr:00050513 -[DEBUG][time= 558] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000006c instr:0005006b -[DEBUG][time= 558] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 558] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 558] Ibuffer: Enque: -[DEBUG][time= 558] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 558] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 558] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 558] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 558] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 558] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 558] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 558] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 558] Ibuffer: Deque: -[DEBUG][time= 558] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=1 -[DEBUG][time= 558] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=1 r=1 -[DEBUG][time= 558] Ibuffer: 00000000000001010000010100010011 PC=0080000068 v=1 r=1 -[DEBUG][time= 558] Ibuffer: 00000000000001010000000001101011 PC=008000006c v=1 r=1 -[DEBUG][time= 558] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=1 -[DEBUG][time= 558] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=1 -[DEBUG][time= 558] Ibuffer: last_head_ptr= 32 last_tail_ptr= 48 -[DEBUG][time= 558] BTB: read: pc=0x00800000dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 558] BTB: read_resp: pc=0x00800000bc, readIdx= 94------------------------------- -[DEBUG][time= 558] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 558] BTB: bankIdxInOrder:[DEBUG][time= 558] BTB: 14 [DEBUG][time= 558] BTB: 15 [DEBUG][time= 558] BTB: 0 [DEBUG][time= 558] BTB: 1 [DEBUG][time= 558] BTB: 2 [DEBUG][time= 558] BTB: 3 [DEBUG][time= 558] BTB: 4 [DEBUG][time= 558] BTB: 5 [DEBUG][time= 558] BTB: 6 [DEBUG][time= 558] BTB: 7 [DEBUG][time= 558] BTB: 8 [DEBUG][time= 558] BTB: 9 [DEBUG][time= 558] BTB: 10 [DEBUG][time= 558] BTB: 11 [DEBUG][time= 558] BTB: 12 [DEBUG][time= 558] BTB: 13 [DEBUG][time= 558] BTB: -[DEBUG][time= 558] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 5 -[DEBUG][time= 558] AluExeUnit: src1:0000000000000001 src2:0000000000000001 offset:0000000000000001 func:0000000 pc:0000000080000020 -[DEBUG][time= 558] AluExeUnit: res:0000000000000002 aluRes:00000000000000002 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000002 taken:1 -[DEBUG][time= 558] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 5 -[DEBUG][time= 558] AluExeUnit_1: src1:0000000000000001 src2:0000000000000002 offset:0000000000000002 func:0000000 pc:0000000080000024 -[DEBUG][time= 558] AluExeUnit_1: res:0000000000000003 aluRes:00000000000000003 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000003 taken:0 -[DEBUG][time= 558] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 5 -[DEBUG][time= 558] AluExeUnit_2: src1:0000000000000001 src2:0000000000000003 offset:0000000000000003 func:0000000 pc:0000000080000028 -[DEBUG][time= 558] AluExeUnit_2: res:0000000000000004 aluRes:00000000000000004 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000004 taken:0 -[DEBUG][time= 558] AluExeUnit_3: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 5 -[DEBUG][time= 558] AluExeUnit_3: src1:0000000000000001 src2:0000000000000004 offset:0000000000000004 func:0000000 pc:000000008000002c -[DEBUG][time= 558] AluExeUnit_3: res:0000000000000005 aluRes:00000000000000005 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000005 taken:0 -[INFO ][time= 558] Rename: pc:0080000050 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 59 out v:0 r:1 -[INFO ][time= 558] Rename: pc:0080000054 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 558] Rename: pc:0080000058 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 558] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[INFO ][time= 558] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 58 out v:0 r:1 -[INFO ][time= 558] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 53 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 558] Dispatch1: pc 0x0080000050 accepted by queue 1 0 0 -[INFO ][time= 558] Dispatch1: pc 0x0080000054 accepted by queue 1 0 0 -[INFO ][time= 558] Dispatch1: pc 0x0080000058 accepted by queue 1 0 0 -[INFO ][time= 558] Dispatch1: pc 0x008000005c accepted by queue 1 0 0 -[DEBUG][time= 558] Dispatch1: pc 0x0080000050 receives nroq 42 -[DEBUG][time= 558] Dispatch1: v:1 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 558] Dispatch1: pc 0x0080000054 receives nroq 43 -[DEBUG][time= 558] Dispatch1: v:1 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 558] Dispatch1: pc 0x0080000058 receives nroq 44 -[DEBUG][time= 558] Dispatch1: v:1 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 558] Dispatch1: pc 0x008000005c receives nroq 45 -[DEBUG][time= 558] Dispatch1: v:1 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 558] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 558] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 558] Dispatch2: int dp queue 0: 0080000044 type 0011 -[DEBUG][time= 558] Dispatch2: int dp queue 1: 0080000048 type 0011 -[DEBUG][time= 558] Dispatch2: int dp queue 2: 008000004c type 0011 -[DEBUG][time= 558] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 558] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 558] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 558] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 558] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 558] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 558] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 558] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 558] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 558] Dispatch2: regfile 0 from 0 -[DEBUG][time= 558] Dispatch2: regfile 1 from 0 -[DEBUG][time= 558] Dispatch2: regfile 2 from 1 -[DEBUG][time= 558] Dispatch2: regfile 3 from 1 -[DEBUG][time= 558] Dispatch2: regfile 4 from 2 -[DEBUG][time= 558] Dispatch2: regfile 5 from 2 -[DEBUG][time= 558] Dispatch2: regfile 6 from 3 -[DEBUG][time= 558] Dispatch2: regfile 7 from 3 -[DEBUG][time= 558] Dispatch2: int regfile 0: addr 53, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 2: addr 52, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 4: addr 53, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 6: addr 44, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 8: addr 52, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 9: addr 53, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 12: addr 53, state 1 -[DEBUG][time= 558] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 558] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 558] Dispatch2: pc 0x0080000044 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 558] Dispatch2: pc 0x0080000048 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 558] Dispatch2: pc 0x008000004c with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 558] Dispatch2: pc 0x0080000034 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 558] Dispatch2: pc 0x0080000044 leaves Int dispatch queue with nroq 39 -[INFO ][time= 558] Dispatch2: pc 0x0080000048 leaves Int dispatch queue with nroq 40 -[INFO ][time= 558] Dispatch2: pc 0x008000004c leaves Int dispatch queue with nroq 41 -[INFO ][time= 558] Dispatch2: pc 0x0080000034 leaves Ls dispatch queue with nroq 35 -[DEBUG][time= 558] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 558] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 558] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[DEBUG][time= 558] Dispatch2: pc 0x0080000020 reads operands from ( 0, 51, 0000000000000001), ( 1, 0, 0000000000000001), ( 0, 0, 0000000000000000) -[DEBUG][time= 558] Dispatch2: pc 0x0080000024 reads operands from ( 2, 51, 0000000000000001), ( 3, 0, 0000000000000002), ( 0, 0, 0000000000000000) -[DEBUG][time= 558] Dispatch2: pc 0x0080000028 reads operands from ( 4, 51, 0000000000000001), ( 5, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[DEBUG][time= 558] Dispatch2: pc 0x008000002c reads operands from ( 6, 51, 0000000000000001), ( 7, 0, 0000000000000004), ( 0, 0, 0000000000000000) -[DEBUG][time= 558] Dispatch2: pc 0x0080000030 reads operands from ( 8, 52, 0000000080000ed8), ( 9, 51, 0000000000000001), ( 0, 0, 0000000000000000) -[INFO ][time= 558] DecodeBuffer: in v:1 r:1 pc=0080000060 -[INFO ][time= 558] DecodeBuffer: in v:1 r:1 pc=0080000064 -[INFO ][time= 558] DecodeBuffer: in v:1 r:1 pc=0080000068 -[INFO ][time= 558] DecodeBuffer: in v:1 r:1 pc=008000006c -[INFO ][time= 558] DecodeBuffer: in v:1 r:1 pc=0080000070 -[INFO ][time= 558] DecodeBuffer: in v:1 r:1 pc=0080000074 -[INFO ][time= 558] DecodeBuffer: out v:0 r:1 pc=0080000050 -[INFO ][time= 558] DecodeBuffer: out v:0 r:1 pc=0080000054 -[INFO ][time= 558] DecodeBuffer: out v:0 r:1 pc=0080000058 -[INFO ][time= 558] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 558] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 558] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 558] Roq: CSR block should only happen in s_idle -[DEBUG][time= 558] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 0) (1, 0) -[INFO ][time= 558] Roq: dispatched 4 insts -[INFO ][time= 558] Roq: writebacked 4 insts -[INFO ][time= 558] Roq: writebacked pc 0x0080000020 wen 1 data 0x0000000000000002 ldst 13 pdst 53 skip 0 -[INFO ][time= 558] Roq: writebacked pc 0x0080000024 wen 1 data 0x0000000000000003 ldst 10 pdst 54 skip 0 -[INFO ][time= 558] Roq: writebacked pc 0x0080000028 wen 1 data 0x0000000000000004 ldst 11 pdst 55 skip 0 -[INFO ][time= 558] Roq: writebacked pc 0x008000002c wen 1 data 0x0000000000000005 ldst 12 pdst 56 skip 0 -[DEBUG][time= 558] Roq: head 1:10 tail 0:30 -[DEBUG][time= 558] Roq: vvvvvvvvvv--------------------vv -[DEBUG][time= 558] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 558] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 558] Roq: 0080000048 v 008000004c v 0080000080 - 0080000084 - -[DEBUG][time= 558] Roq: 0080000090 - 0080000094 - 0080000010 - 0080000014 - -[DEBUG][time= 558] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 558] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 558] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 558] Roq: 0080000048 - 008000004c - 0080000020 v 0080000024 v -[DEBUG][time= 558] DispatchQueue_2: LsDpQ: num_deq = 1, head = (11 -> 12) -[DEBUG][time= 558] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 11), tail = (1, 15), -[DEBUG][time= 558] DispatchQueue: IntDpQ: num_deq = 3, head = (10 -> 13) -[DEBUG][time= 558] DispatchQueue: IntDpQ: num_enq = 4, tail = (13 -> 1) -[DEBUG][time= 558] DispatchQueue: IntDpQ: valid_entries = 3, head = (0, 10), tail = (0, 13), -[DEBUG][time= 558] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 558] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 558] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 558] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 558] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 558] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 558] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 558] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 558] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 558] LsExeUnit: retiringStore now... -[DEBUG][time= 558] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483836------> s1 fire!!! -[DEBUG][time= 558] FakeCache: [Stage1_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 558] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 558] FakeCache: [Stage2_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 558] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 558] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 558] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 558] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000dc || if1_pcUpdate:1 if1_pc:0x00800000bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 558] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 558] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 558] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 558] IFU: [IF3]if3_valid:1 || if3_pc:0x008000009c if3_npc:0x00800000bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 558] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000080 if4_npc:0x008000009c -[DEBUG][time= 558] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:00000111 || if4_tage_target:0x008000009c -[DEBUG][time= 558] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] starPC:0x0080000080 GroupPC:0x0080000080n -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instrmask 0000000000111111 -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000009c -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 558] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 558] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 558] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 558] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 558] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 558] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 558] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 558] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 558] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 558] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 558] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 558] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 558] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 53:1 0:1 0:0) Dest: 57 oldDest: 51 pc:0080000044 roqIdx:27 -[INFO ][time= 558] IssueQueue_1: EnqData: src1:0000000000000001 src2:0000000000000001 src3:0000000000000000 pc:0080000020 roqIdx:1e(for last cycle's Ctrl) -[INFO ][time= 558] IssueQueue_1: Deq:(1 1) [ 51|0000000000000001][ 0|0000000000000001][ 0|c63124ed8740c04f] pdest: 53 pc:0080000020 roqIdx:1e -[DEBUG][time= 558] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 558] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 53) -[DEBUG][time= 558] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 558] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 558] IssueQueue_1: 1 |1|1| 51|1|0000000000000001| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000020|1e -[DEBUG][time= 558] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |008000005c|21 <- -[DEBUG][time= 558] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 558] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 558] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 558] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 558] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 558] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 558] IssueQueue_2: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 52:1 0:1 0:0) Dest: 58 oldDest: 52 pc:0080000048 roqIdx:28 -[INFO ][time= 558] IssueQueue_2: EnqData: src1:0000000000000001 src2:0000000000000002 src3:0000000000000000 pc:0080000024 roqIdx:1f(for last cycle's Ctrl) -[INFO ][time= 558] IssueQueue_2: Deq:(1 1) [ 51|0000000000000001][ 0|0000000000000002][ 0|56e780095a4543a2] pdest: 54 pc:0080000024 roqIdx:1f -[DEBUG][time= 558] IssueQueue_2: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 558] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 54) -[DEBUG][time= 558] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 558] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 558] IssueQueue_2: 0 |1|1| 51|1|0000000080001010| 0|1|fffffffffffffea0| 0|0|0000000000000000| 5 |0080000024|1f -[DEBUG][time= 558] IssueQueue_2: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000050|1e <- -[DEBUG][time= 558] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 558] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 558] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 558] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 558] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 558] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 558] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 53:1 46:1 0:0) Dest: 32 oldDest: 0 pc:008000004c roqIdx:29 -[INFO ][time= 558] IssueQueue_3: EnqData: src1:0000000000000001 src2:0000000000000003 src3:0000000000000000 pc:0080000028 roqIdx:20(for last cycle's Ctrl) -[INFO ][time= 558] IssueQueue_3: Deq:(1 1) [ 51|0000000000000001][ 0|0000000000000003][ 0|04ea9d532908f0c8] pdest: 55 pc:0080000028 roqIdx:20 -[DEBUG][time= 558] IssueQueue_3: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 558] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 55) -[DEBUG][time= 558] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 558] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 558] IssueQueue_3: 2 |1|1| 51|1|0000000080000eb0| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000028|20 -[DEBUG][time= 558] IssueQueue_3: 1 |0|0| 53|0|d95ad4be237be8dc| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000064|23 <- -[DEBUG][time= 558] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 558] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 558] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 558] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 558] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 558] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 558] IssueQueue_4: EnqData: src1:0000000000000001 src2:0000000000000004 src3:0000000000000000 pc:008000002c roqIdx:21(for last cycle's Ctrl) -[INFO ][time= 558] IssueQueue_4: Deq:(1 1) [ 51|0000000000000001][ 0|0000000000000004][ 0|cc3111a43502079a] pdest: 56 pc:008000002c roqIdx:21 -[DEBUG][time= 558] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 558] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 56) -[DEBUG][time= 558] IssueQueue_4: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 558] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 558] IssueQueue_4: 1 |1|1| 51|1|0000000000000000| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 -[DEBUG][time= 558] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d <- -[DEBUG][time= 558] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 558] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 558] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 558] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 558] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 558] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 558] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 558] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 558] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 558] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 558] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 558] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 558] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 558] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 558] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 558] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 558] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 558] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 558] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 558] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 558] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 558] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 558] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 558] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 558] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 558] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 558] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 558] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 558] IssueQueue_7: EnqCtrl(1 1) enqSelIq:3 Psrc/Rdy( 52:1 53:1 0:0) Dest: 32 oldDest: 0 pc:0080000034 roqIdx:23 -[INFO ][time= 558] IssueQueue_7: EnqData: src1:0000000080000ed8 src2:0000000000000001 src3:0000000000000000 pc:0080000030 roqIdx:22(for last cycle's Ctrl) -[DEBUG][time= 558] IssueQueue_7: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000000 moveDot:00000010 In(1 1) Out(1 0) -[DEBUG][time= 558] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 558] IssueQueue_7: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:0 -[DEBUG][time= 558] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 558] IssueQueue_7: 0 |1|1| 52|1|0000000080000eb0| 51|1|0000000000000001| 0|0|0000000000000000| 5 |0080000030|22 -[DEBUG][time= 558] IssueQueue_7: 3 |0|1| 44|1|0000000080000eb0| 50|1|0000000000000004| 0|0|0000000000000000| 4 |0080000040|1a <- -[DEBUG][time= 558] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 558] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 558] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 558] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 558] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 558] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 558] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 558] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 558] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 558] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 558] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 558] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 558] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 558] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 558] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 558] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 558] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 558] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 558] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 558] FreeList_1: head:0: 29 tail:1: 21 -[DEBUG][time= 558] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 558] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 8 -[DEBUG][time= 558] Brq: ---------------- -[DEBUG][time= 558] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v: 8 -[DEBUG][time= 558] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v: 8 -[DEBUG][time= 558] Brq: enq v:0 rdy:1 pc:0080000068 brTag:f:0 v: 8 -[DEBUG][time= 558] Brq: enq v:0 rdy:1 pc:008000006c brTag:f:0 v: 8 -[DEBUG][time= 558] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 8 -[DEBUG][time= 558] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v: 8 -[DEBUG][time= 559] Tage: req: pc=0x00800000fc, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 559] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 559] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 559] XSCore: c-mem(1 1 80000eb0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 559] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 559] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 559] RegfileReadPortGen: int 1: want 0100, deqChoice: 1 -[DEBUG][time= 559] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 559] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 559] RegfileReadPortGen: dynamicExuSrc 0: 1 0100 -[DEBUG][time= 559] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 559] RegfileReadPortGen: dynamicExuSrc 2: 2 0010 -[DEBUG][time= 559] BusyTable_1: Allocate 61 -[DEBUG][time= 559] BusyTable_1: Allocate 62 -[DEBUG][time= 559] BusyTable_1: writeback 57 -[DEBUG][time= 559] BusyTable_1: writeback 58 -[DEBUG][time= 559] BusyTable_1: 57 is busy -[DEBUG][time= 559] BusyTable_1: 58 is busy -[DEBUG][time= 559] BusyTable_1: 59 is busy -[DEBUG][time= 559] BusyTable_1: 60 is busy -[DEBUG][time= 559] BPUStage2: in:(1 1) pc=00800000dc out:(1 1) pc=00800000bc -[DEBUG][time= 559] BPUStage2: validLatch=1 pc=00800000bc -[DEBUG][time= 559] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 559] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 559] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 559] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 559] WriteBackArbMtoN: out(1) pc(0x0080000044) writebacks 0x0000000000000002 to pdest( 57) ldest(15) -[INFO ][time= 559] WriteBackArbMtoN: out(2) pc(0x0080000048) writebacks 0x0000000080000f00 to pdest( 58) ldest(14) -[DEBUG][time= 559] BPUStage1: in:(1 1) pc=00800000fc ghr=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 559] BPUStage1: outPred:(1) pc=0x00800000dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 559] BPUStage3: [RAS]:pc=0x00800000bc, rasWritePosition= 2, rasWriteAddr=0x00800000bc -[DEBUG][time= 559] BPUStage3: in:(1 1) pc=00800000bc -[DEBUG][time= 559] BPUStage3: out:1 pc=008000009c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000bc -[DEBUG][time= 559] BPUStage3: flushS3=0 -[DEBUG][time= 559] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 559] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 559] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 559] JBTAC: read: pc=0x00800000fc, histXORAddr=0x0080000006, bank=3, row= 0, hist=0000000000000000000000000000000000000000000000000000000001111101 -[DEBUG][time= 559] JBTAC: read_resp: pc=0x00800000dc, bank=3, row= 2, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 559] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 559] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 559] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 559] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 559] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 559] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 559] Ibuffer: Enque: -[DEBUG][time= 559] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 559] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 559] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 559] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 559] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 559] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 559] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 559] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 559] Ibuffer: Deque: -[DEBUG][time= 559] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 559] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 559] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 559] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 559] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 559] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 559] Ibuffer: last_head_ptr= 44 last_tail_ptr= 54 -[DEBUG][time= 559] BTB: read: pc=0x00800000fc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 559] BTB: read_resp: pc=0x00800000dc, readIdx=110------------------------------- -[DEBUG][time= 559] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 559] BTB: bankIdxInOrder:[DEBUG][time= 559] BTB: 14 [DEBUG][time= 559] BTB: 15 [DEBUG][time= 559] BTB: 0 [DEBUG][time= 559] BTB: 1 [DEBUG][time= 559] BTB: 2 [DEBUG][time= 559] BTB: 3 [DEBUG][time= 559] BTB: 4 [DEBUG][time= 559] BTB: 5 [DEBUG][time= 559] BTB: 6 [DEBUG][time= 559] BTB: 7 [DEBUG][time= 559] BTB: 8 [DEBUG][time= 559] BTB: 9 [DEBUG][time= 559] BTB: 10 [DEBUG][time= 559] BTB: 11 [DEBUG][time= 559] BTB: 12 [DEBUG][time= 559] BTB: 13 [DEBUG][time= 559] BTB: -[DEBUG][time= 559] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 5 -[DEBUG][time= 559] AluExeUnit: src1:0000000000000002 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000044 -[DEBUG][time= 559] AluExeUnit: res:0000000000000002 aluRes:00000000000000002 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000002 taken:0 -[DEBUG][time= 559] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 5 -[DEBUG][time= 559] AluExeUnit_1: src1:0000000080000ed8 src2:0000000000000028 offset:0000000000000028 func:0000000 pc:0000000080000048 -[DEBUG][time= 559] AluExeUnit_1: res:0000000080000f00 aluRes:00000000080000f00 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000f00 taken:0 -[DEBUG][time= 559] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 5 -[DEBUG][time= 559] AluExeUnit_2: src1:0000000000000002 src2:0000000000000005 offset:ffffffffffffffd4 func:0010001 pc:000000008000004c -[DEBUG][time= 559] AluExeUnit_2: res:0000000000000040 aluRes:00000000000000040 isRVC:0 isBru:1 isBranch:1 isJump:0 target:0080000020 taken:1 -[INFO ][time= 559] Rename: pc:0080000060 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 60 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 559] Rename: pc:0080000064 in v:1 in rdy:1 lsrc1:10 -> psrc1: 59 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 61 old_pdest: 55 out v:1 r:1 -[INFO ][time= 559] Rename: pc:0080000068 in v:1 in rdy:1 lsrc1:10 -> psrc1: 59 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 62 old_pdest: 59 out v:1 r:1 -[INFO ][time= 559] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 62 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 559] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 62 out v:0 r:1 -[INFO ][time= 559] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 62 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 62 out v:0 r:1 -[INFO ][time= 559] Rename: int rat arch: ldest:13 pdest: 53 -[INFO ][time= 559] Rename: int rat arch: ldest:10 pdest: 54 -[INFO ][time= 559] Rename: int rat arch: ldest:11 pdest: 55 -[INFO ][time= 559] Rename: int rat arch: ldest:12 pdest: 56 -[DEBUG][time= 559] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 559] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 559] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 559] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 559] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 559] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 559] Dispatch2: int dp queue 0: 0080000050 type 0011 -[DEBUG][time= 559] Dispatch2: int dp queue 1: 0080000054 type 0000 -[DEBUG][time= 559] Dispatch2: int dp queue 2: 0080000058 type 0000 -[DEBUG][time= 559] Dispatch2: int dp queue 3: 008000005c type 0011 -[DEBUG][time= 559] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 559] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 559] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 559] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 559] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 559] Dispatch2: dispatch to iq index 4: 0 -[DEBUG][time= 559] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 559] Dispatch2: regfile 0 from 3 -[DEBUG][time= 559] Dispatch2: regfile 1 from 3 -[DEBUG][time= 559] Dispatch2: regfile 2 from 1 -[DEBUG][time= 559] Dispatch2: regfile 3 from 1 -[DEBUG][time= 559] Dispatch2: regfile 4 from 0 -[DEBUG][time= 559] Dispatch2: regfile 5 from 0 -[DEBUG][time= 559] Dispatch2: regfile 6 from 0 -[DEBUG][time= 559] Dispatch2: regfile 7 from 0 -[DEBUG][time= 559] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 2: addr 42, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 8: addr 52, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 9: addr 54, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 12: addr 54, state 1 -[DEBUG][time= 559] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 559] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 559] Dispatch2: pc 0x0080000054 with type 0000 srcState(1 1 0) enters reservation station 0 from 1 -[INFO ][time= 559] Dispatch2: pc 0x008000005c with type 0011 srcState(1 1 0) enters reservation station 1 from 3 -[INFO ][time= 559] Dispatch2: pc 0x0080000050 with type 0011 srcState(1 1 0) enters reservation station 4 from 0 -[INFO ][time= 559] Dispatch2: pc 0x0080000038 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 559] Dispatch2: pc 0x0080000050 leaves Int dispatch queue with nroq 42 -[INFO ][time= 559] Dispatch2: pc 0x0080000054 leaves Int dispatch queue with nroq 43 -[DEBUG][time= 559] Dispatch2: pc 0x0080000058 waits at Int dispatch queue with index 2 -[INFO ][time= 559] Dispatch2: pc 0x008000005c leaves Int dispatch queue with nroq 45 -[INFO ][time= 559] Dispatch2: pc 0x0080000038 leaves Ls dispatch queue with nroq 36 -[DEBUG][time= 559] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 559] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[DEBUG][time= 559] Dispatch2: pc 0x0080000044 reads operands from ( 0, 53, 0000000000000002), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 559] Dispatch2: pc 0x0080000048 reads operands from ( 2, 52, 0000000080000ed8), ( 3, 0, 0000000000000028), ( 0, 0, 0000000000000000) -[DEBUG][time= 559] Dispatch2: pc 0x008000004c reads operands from ( 4, 53, 0000000000000002), ( 5, 46, 0000000000000005), ( 0, 0, 0000000000000000) -[DEBUG][time= 559] Dispatch2: pc 0x0080000034 reads operands from ( 8, 52, 0000000080000ed8), ( 9, 53, 0000000000000002), ( 0, 0, 0000000000000000) -[INFO ][time= 559] DecodeBuffer: in v:1 r:0 pc=0080000078 -[INFO ][time= 559] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 559] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 559] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 559] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 559] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 559] DecodeBuffer: out v:1 r:1 pc=0080000060 -[INFO ][time= 559] DecodeBuffer: out v:1 r:1 pc=0080000064 -[INFO ][time= 559] DecodeBuffer: out v:1 r:1 pc=0080000068 -[INFO ][time= 559] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 559] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 559] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 559] Roq: CSR block should only happen in s_idle -[DEBUG][time= 559] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 559] Roq: writebacked 2 insts -[INFO ][time= 559] Roq: writebacked pc 0x0080000044 wen 1 data 0x0000000000000002 ldst 15 pdst 57 skip 0 -[INFO ][time= 559] Roq: writebacked pc 0x0080000048 wen 1 data 0x0000000080000f00 ldst 14 pdst 58 skip 0 -[INFO ][time= 559] Roq: retired pc 0080000020 wen 1 ldst 13 data 0000000000000002 -[INFO ][time= 559] Roq: retired pc 0080000024 wen 1 ldst 10 data 0000000000000003 -[INFO ][time= 559] Roq: retired pc 0080000028 wen 1 ldst 11 data 0000000000000004 -[INFO ][time= 559] Roq: retired pc 008000002c wen 1 ldst 12 data 0000000000000005 -[INFO ][time= 559] Roq: retired 4 insts -[DEBUG][time= 559] Roq: head 1:14 tail 0:30 -[DEBUG][time= 559] Roq: wwvvvvvvvvvvvv----------------ww -[DEBUG][time= 559] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 559] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 559] Roq: 0080000048 v 008000004c v 0080000050 v 0080000054 v -[DEBUG][time= 559] Roq: 0080000058 v 008000005c v 0080000010 - 0080000014 - -[DEBUG][time= 559] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 559] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 559] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 559] Roq: 0080000048 - 008000004c - 0080000020 w 0080000024 w -[DEBUG][time= 559] DispatchQueue_2: LsDpQ: num_deq = 1, head = (12 -> 13) -[DEBUG][time= 559] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 12), tail = (1, 15), -[DEBUG][time= 559] DispatchQueue: IntDpQ: num_deq = 2, head = (13 -> 15) -[DEBUG][time= 559] DispatchQueue: IntDpQ: valid_entries = 4, head = (0, 13), tail = (1, 1), -[DEBUG][time= 559] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 559] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 559] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 559] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 559] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 559] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 559] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 559] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 559] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 559] LsExeUnit: retiringStore now... -[DEBUG][time= 559] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483868------> s1 fire!!! -[DEBUG][time= 559] FakeCache: [Stage1_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 559] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 559] FakeCache: [Stage2_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 559] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 559] FakeCache: [Stage3_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 559] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 559] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000fc || if1_pcUpdate:1 if1_pc:0x00800000dc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 559] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000dc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 559] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 559] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 559] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000bc if3_npc:0x00800000dc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 559] IFU: [IF4]if4_valid:1 || if4_pc:0x008000009c if4_npc:0x00800000bc -[DEBUG][time= 559] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000bc -[DEBUG][time= 559] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] starPC:0x008000009c GroupPC:0x0080000080n -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 559] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[INFO ][time= 559] IssueQueue: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 42:1 0:1 0:0) Dest: 0 oldDest: 0 pc:0080000054 roqIdx:2b -[DEBUG][time= 559] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 559] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 559] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 559] IssueQueue: 1 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 4 |0080000098|0e <- -[DEBUG][time= 559] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f -[DEBUG][time= 559] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 559] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 559] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 559] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 559] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 559] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 559] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 36:1 0:1 0:0) Dest: 60 oldDest: 36 pc:008000005c roqIdx:2d -[INFO ][time= 559] IssueQueue_1: EnqData: src1:0000000000000002 src2:0000000000000000 src3:0000000000000000 pc:0080000044 roqIdx:27(for last cycle's Ctrl) -[INFO ][time= 559] IssueQueue_1: Deq:(1 1) [ 53|0000000000000002][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 57 pc:0080000044 roqIdx:27 -[DEBUG][time= 559] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 559] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 57) -[DEBUG][time= 559] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 559] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 559] IssueQueue_1: 0 |1|1| 53|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 5 |0080000044|27 -[DEBUG][time= 559] IssueQueue_1: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000001| 0|0|0000000000000000| 5 |0080000020|1e <- -[DEBUG][time= 559] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 559] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 559] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 559] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 559] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 559] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 559] IssueQueue_2: EnqData: src1:0000000080000ed8 src2:0000000000000028 src3:0000000000000000 pc:0080000048 roqIdx:28(for last cycle's Ctrl) -[INFO ][time= 559] IssueQueue_2: Deq:(1 1) [ 52|0000000080000ed8][ 0|0000000000000028][ 0|56e780095a4543a2] pdest: 58 pc:0080000048 roqIdx:28 -[DEBUG][time= 559] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 559] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 58) -[DEBUG][time= 559] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 559] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 559] IssueQueue_2: 2 |1|1| 52|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 559] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 559] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 559] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 559] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 559] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 559] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 559] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 559] IssueQueue_3: EnqData: src1:0000000000000002 src2:0000000000000005 src3:0000000000000000 pc:008000004c roqIdx:29(for last cycle's Ctrl) -[INFO ][time= 559] IssueQueue_3: Deq:(1 1) [ 53|0000000000000002][ 46|0000000000000005][ 0|04ea9d532908f0c8] pdest: 32 pc:008000004c roqIdx:29 -[DEBUG][time= 559] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 559] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 32) -[DEBUG][time= 559] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 559] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 559] IssueQueue_3: 1 |1|1| 53|1|d95ad4be237be8dc| 46|1|0000000000000000| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 559] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 559] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 559] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 559] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 559] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 559] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 559] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 559] IssueQueue_4: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 59 oldDest: 54 pc:0080000050 roqIdx:2a -[DEBUG][time= 559] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 559] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 559] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 559] IssueQueue_4: 0 |0|1| 47|1|0000000000000001| 46|1|0000000000000005| 0|0|0000000000000000| 4 |008000004c|1d <- -[DEBUG][time= 559] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 -[DEBUG][time= 559] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 559] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 559] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 559] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 559] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 559] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 559] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 559] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 559] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 559] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 559] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 559] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 559] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 559] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 559] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 559] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 559] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 559] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 559] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 559] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 559] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 559] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 559] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 559] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 559] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 559] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 559] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 559] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 559] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 52:1 54:1 0:0) Dest: 32 oldDest: 0 pc:0080000038 roqIdx:24 -[INFO ][time= 559] IssueQueue_7: EnqData: src1:0000000080000ed8 src2:0000000000000002 src3:0000000000000000 pc:0080000034 roqIdx:23(for last cycle's Ctrl) -[DEBUG][time= 559] IssueQueue_7: tailAll: 1 KID(010) tailDot:00000011 tailDot2:00000001 selDot:01 popDot:00000000 moveDot:00000010 In(1 1) Out(1 0) -[INFO ][time= 559] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 559] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 559] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 559] IssueQueue_7: 3 |1|1| 52|1|0000000080000eb0| 53|1|0000000000000004| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 559] IssueQueue_7: 0 |0|1| 52|1|0000000080000ed8| 51|1|0000000000000001| 0|0|0000000000000000| 5 |0080000030|22 <- -[DEBUG][time= 559] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 -[DEBUG][time= 559] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 559] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 559] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 559] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 559] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 559] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 559] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 559] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 559] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 559] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 559] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 559] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 559] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 559] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 559] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 559] FreeList_1: dealloc preg: 47 -[DEBUG][time= 559] FreeList_1: dealloc preg: 48 -[DEBUG][time= 559] FreeList_1: dealloc preg: 49 -[DEBUG][time= 559] FreeList_1: dealloc preg: 50 -[DEBUG][time= 559] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 29 -[DEBUG][time= 559] FreeList_1: req:0 canAlloc:1 pdest: 61 headNext:0: 29 -[DEBUG][time= 559] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 30 -[DEBUG][time= 559] FreeList_1: req:1 canAlloc:1 pdest: 61 headNext:0: 30 -[DEBUG][time= 559] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 31 -[DEBUG][time= 559] FreeList_1: req:1 canAlloc:1 pdest: 62 headNext:0: 31 -[DEBUG][time= 559] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 559] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 559] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 559] FreeList_1: head:0: 29 tail:1: 21 -[DEBUG][time= 559] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 559] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 8 -[DEBUG][time= 559] Brq: ---------------- -[INFO ][time= 559] Brq: exu write back: brTag:f:0 v: 5 pc=008000004c pnpc=0080000050 target=0080000020 -[DEBUG][time= 559] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 8 -[DEBUG][time= 559] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 8 -[DEBUG][time= 559] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 8 -[DEBUG][time= 559] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 8 -[DEBUG][time= 559] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 8 -[DEBUG][time= 559] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 8 -[DEBUG][time= 560] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 560] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 560] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 560] XSCore: c-mem(0 0 00000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 560] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 560] RegfileReadPortGen: int 0: want 0100, deqChoice: 1 -[DEBUG][time= 560] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 560] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 560] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 560] RegfileReadPortGen: dynamicExuSrc 0: 0 1000 -[DEBUG][time= 560] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 560] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 560] BusyTable_1: 59 is busy -[DEBUG][time= 560] BusyTable_1: 60 is busy -[DEBUG][time= 560] BusyTable_1: 61 is busy -[DEBUG][time= 560] BusyTable_1: 62 is busy -[DEBUG][time= 560] BPUStage2: in:(0 0) pc=00800000fc out:(0 0) pc=00800000dc -[DEBUG][time= 560] BPUStage2: validLatch=1 pc=00800000dc -[DEBUG][time= 560] BPUStage2: flush!!! -[DEBUG][time= 560] DispatchGen: priority: data(0) = 1, priority = 2 -[DEBUG][time= 560] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 560] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 560] DispatchGen: priority: data(3) = 1, priority = 3 -[DEBUG][time= 560] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 560] BPUStage1: outPred:(0) pc=0x00800000fc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 560] BPUStage1: flush from backend: pc=008000004c tgt=0080000020 brTgt=0080000020 btbType=00 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000000011111 fetchIdx=3 isExcpt=0 -[DEBUG][time= 560] BPUStage3: flushS3=0 -[DEBUG][time= 560] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 560] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 560] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 560] JBTAC: read: pc=0x0080000020, histXORAddr=0x008000005e, bank=7, row= 5, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 560] JBTAC: read_resp: pc=0x00800000fc, bank=3, row= 0, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 560] JBTAC: [JBTAC]update_req: fetchPC=0x0080000040, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000000011111, bank=7, row= 7, target=0x0080000020, offset= 6, type=0x0 -[INFO ][time= 560] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 560] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 560] BTB: read_resp: pc=0x00800000fc, readIdx=126------------------------------- -[DEBUG][time= 560] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 560] BTB: bankIdxInOrder:[DEBUG][time= 560] BTB: 14 [DEBUG][time= 560] BTB: 15 [DEBUG][time= 560] BTB: 0 [DEBUG][time= 560] BTB: 1 [DEBUG][time= 560] BTB: 2 [DEBUG][time= 560] BTB: 3 [DEBUG][time= 560] BTB: 4 [DEBUG][time= 560] BTB: 5 [DEBUG][time= 560] BTB: 6 [DEBUG][time= 560] BTB: 7 [DEBUG][time= 560] BTB: 8 [DEBUG][time= 560] BTB: 9 [DEBUG][time= 560] BTB: 10 [DEBUG][time= 560] BTB: 11 [DEBUG][time= 560] BTB: 12 [DEBUG][time= 560] BTB: 13 [DEBUG][time= 560] BTB: -[DEBUG][time= 560] BTB: update_req: pc=0x008000004c, hit=1, misPred=1, oldCtr=2, taken=1, target=0x0080000020, btbType=0 -[DEBUG][time= 560] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 6, row= 2, newCtr=3 -[DEBUG][time= 560] MulExeUnit: redirect: f:0 v: 5 -[DEBUG][time= 560] MulExeUnit_1: redirect: f:0 v: 5 -[INFO ][time= 560] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 60 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 560] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 62 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 61 out v:0 r:1 -[INFO ][time= 560] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 62 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 62 out v:0 r:1 -[INFO ][time= 560] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 62 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 560] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 62 out v:0 r:1 -[INFO ][time= 560] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 62 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 62 out v:0 r:1 -[DEBUG][time= 560] Dispatch1: pc=0080000060 brTag:f:0 v: 5 -[DEBUG][time= 560] Dispatch1: pc=0080000064 brTag:f:0 v: 5 -[DEBUG][time= 560] Dispatch1: pc=0080000068 brTag:f:0 v: 5 -[DEBUG][time= 560] Dispatch1: pc=008000005c brTag:f:0 v: 5 -[DEBUG][time= 560] Dispatch1: pc=0080000048 brTag:f:0 v: 5 -[DEBUG][time= 560] Dispatch1: pc=008000004c brTag:f:0 v: 5 -[INFO ][time= 560] Dispatch1: pc 0x0080000060 with brTag 5 cancelled -[INFO ][time= 560] Dispatch1: pc 0x0080000064 with brTag 5 cancelled -[INFO ][time= 560] Dispatch1: pc 0x0080000068 with brTag 5 cancelled -[INFO ][time= 560] Dispatch1: pc 0x008000005c with brTag 5 cancelled -[DEBUG][time= 560] Dispatch1: pc 0x0080000060 receives nroq 46 -[DEBUG][time= 560] Dispatch1: v:1 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 560] Dispatch1: pc 0x0080000064 receives nroq 47 -[DEBUG][time= 560] Dispatch1: v:1 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 560] Dispatch1: pc 0x0080000068 receives nroq 48 -[DEBUG][time= 560] Dispatch1: v:1 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 560] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 560] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 560] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 560] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 560] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 560] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 560] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 560] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 560] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 560] Dispatch2: regfile 0 from 0 -[DEBUG][time= 560] Dispatch2: regfile 1 from 0 -[DEBUG][time= 560] Dispatch2: regfile 2 from 1 -[DEBUG][time= 560] Dispatch2: regfile 3 from 1 -[DEBUG][time= 560] Dispatch2: regfile 4 from 3 -[DEBUG][time= 560] Dispatch2: regfile 5 from 3 -[DEBUG][time= 560] Dispatch2: regfile 6 from 0 -[DEBUG][time= 560] Dispatch2: regfile 7 from 0 -[DEBUG][time= 560] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 4: addr 36, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 8: addr 52, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 9: addr 55, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 12: addr 55, state 1 -[DEBUG][time= 560] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 560] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 560] Dispatch2: pc 0x008000003c with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 560] Dispatch2: pc 0x008000003c leaves Ls dispatch queue with nroq 37 -[DEBUG][time= 560] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 560] Dispatch2: pc 0x0080000054 reads operands from ( 2, 42, 0000000080000098), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 560] Dispatch2: pc 0x008000005c reads operands from ( 0, 36, 0000000080008ff0), ( 1, 0, fffffffffffffff0), ( 0, 0, 0000000000000000) -[DEBUG][time= 560] Dispatch2: pc 0x0080000050 reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 560] Dispatch2: pc 0x0080000038 reads operands from ( 8, 52, 0000000080000ed8), ( 9, 54, 0000000000000003), ( 0, 0, 0000000000000000) -[INFO ][time= 560] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 560] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 560] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 560] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 560] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 560] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 560] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 560] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 560] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 560] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 560] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 560] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 560] Roq: CSR block should only happen in s_idle -[DEBUG][time= 560] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 0) (1, 0) (1, 0) -[INFO ][time= 560] Roq: dispatched 3 insts -[INFO ][time= 560] Roq: writebacked 1 insts -[INFO ][time= 560] Roq: writebacked pc 0x008000004c wen 0 data 0x0000000000000040 ldst 0 pdst 32 skip 0 -[DEBUG][time= 560] Roq: head 1:14 tail 1: 2 -[DEBUG][time= 560] Roq: --vvvvvwwvvvvv------------------ -[DEBUG][time= 560] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 560] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 560] Roq: 0080000048 w 008000004c v 0080000050 v 0080000054 v -[DEBUG][time= 560] Roq: 0080000058 v 008000005c v 0080000010 - 0080000014 - -[DEBUG][time= 560] Roq: 0080000018 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 560] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 560] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 560] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[INFO ][time= 560] DispatchQueue_1: FpDpQ: valid entry(1)(pc = 1d50ecd830) cancelled with brTag 5 -[DEBUG][time= 560] DispatchQueue_2: LsDpQ: num_deq = 1, head = (13 -> 14) -[DEBUG][time= 560] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 13), tail = (1, 15), -[INFO ][time= 560] DispatchQueue: IntDpQ: valid entry(15)(pc = 0080000058) cancelled with brTag 5 -[DEBUG][time= 560] DispatchQueue: IntDpQ: valid_entries = 2, head = (0, 15), tail = (1, 1), -[DEBUG][time= 560] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 560] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 560] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 560] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 560] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 560] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 560] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 560] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 560] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 560] LsExeUnit: retiringStore now... -[DEBUG][time= 560] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483900------> s1 fire!!! -[DEBUG][time= 560] FakeCache: [Stage1_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 560] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 560] FakeCache: [Stage2_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 560] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 560] FakeCache: [Stage3_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 560] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 560] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x00800000fc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 560] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000fc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 560] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 560] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 560] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000dc if3_npc:0x00800000fc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 560] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000bc if4_npc:0x00800000dc -[DEBUG][time= 560] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 560] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 560] IFU: [IFU-REDIRECT] target:0x0080000020 -[INFO ][time= 560] IssueQueue: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:11111110 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 560] IssueQueue: EnqData: src1:0000000080000098 src2:0000000000000000 src3:0000000000000000 pc:0080000054 roqIdx:2b(for last cycle's Ctrl) -[DEBUG][time= 560] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 560] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 -[DEBUG][time= 560] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 560] IssueQueue: 1 |1|1| 42|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 560] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 560] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 560] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 560] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 560] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 560] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 560] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 560] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:00000010 redIdHitVec:00000001 enqHit:1 selIsRed:1 -[INFO ][time= 560] IssueQueue_1: EnqData: src1:0000000080008ff0 src2:fffffffffffffff0 src3:0000000000000000 pc:008000005c roqIdx:2d(for last cycle's Ctrl) -[DEBUG][time= 560] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 560] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 60) -[DEBUG][time= 560] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 560] IssueQueue_1: 1 |1|1| 36|1|0000000000000001| 0|1|0000000000000001| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 560] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 560] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 560] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 560] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 560] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 560] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 560] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 560] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:11111000 redIdHitVec:11111000 enqHit:1 selIsRed:0 -[DEBUG][time= 560] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 560] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 560] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 560] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 560] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 560] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 560] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 560] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 560] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 560] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 560] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 560] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:11111000 redIdHitVec:11111000 enqHit:1 selIsRed:0 -[DEBUG][time= 560] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 560] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 560] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 560] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 560] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 560] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 560] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 560] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 560] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 560] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 560] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 560] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:11111101 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 560] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000050 roqIdx:2a(for last cycle's Ctrl) -[DEBUG][time= 560] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 560] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 560] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 560] IssueQueue_4: 0 |1|1| 0|1|0000000000000001| 0|1|0000000000000005| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 560] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 560] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 560] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 560] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 560] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 560] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 560] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 560] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 560] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 560] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 560] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 560] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 560] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 560] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 560] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 560] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 560] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 560] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 560] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 560] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:0 -[DEBUG][time= 560] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 560] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 560] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 560] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 560] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 560] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 560] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 560] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 560] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 560] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 560] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 560] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 5 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[INFO ][time= 560] IssueQueue_7: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 52:1 55:1 0:0) Dest: 32 oldDest: 0 pc:008000003c roqIdx:25 -[INFO ][time= 560] IssueQueue_7: EnqData: src1:0000000080000ed8 src2:0000000000000003 src3:0000000000000000 pc:0080000038 roqIdx:24(for last cycle's Ctrl) -[DEBUG][time= 560] IssueQueue_7: tailAll: 2 KID(010) tailDot:00000111 tailDot2:00000011 selDot:01 popDot:00000000 moveDot:00000110 In(1 1) Out(1 0) -[INFO ][time= 560] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 560] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 560] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 560] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 560] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000001| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 560] IssueQueue_7: 2 |0|1| 44|1|0000000080000eb0| 49|1|0000000000000003| 0|0|0000000000000000| 4 |008000003c|19 <- -[DEBUG][time= 560] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 -[DEBUG][time= 560] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 560] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 560] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 560] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 560] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 560] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 560] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 560] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 560] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 560] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 560] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 560] FreeList: redirect: brqIdx= 5 -[DEBUG][time= 560] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 560] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 560] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 560] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 560] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 560] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 560] FreeList_1: head:0: 31 tail:1: 25 -[DEBUG][time= 560] FreeList_1: redirect: brqIdx= 5 -[DEBUG][time= 560] Brq: headIdx: 5 commitIdx: 5 -[DEBUG][time= 560] Brq: headPtr:f:0 v: 5 tailPtr:f:0 v: 8 -[DEBUG][time= 560] Brq: -----w---------- -[INFO ][time= 560] Brq: commit branch to roq, mispred:1 pc=008000004c -[INFO ][time= 560] Brq: brq redirect, target:0080000020 -[DEBUG][time= 561] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 561] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 561] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 561] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 561] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 561] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 561] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 561] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 561] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 561] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 561] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 561] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 561] BusyTable_1: writeback 62 -[DEBUG][time= 561] BusyTable_1: writeback 61 -[DEBUG][time= 561] BusyTable_1: writeback 60 -[DEBUG][time= 561] BusyTable_1: 59 is busy -[DEBUG][time= 561] BusyTable_1: 60 is busy -[DEBUG][time= 561] BusyTable_1: 61 is busy -[DEBUG][time= 561] BusyTable_1: 62 is busy -[DEBUG][time= 561] BPUStage2: in:(1 1) pc=0080000020 out:(0 1) pc=00800000dc -[DEBUG][time= 561] BPUStage2: validLatch=0 pc=00800000dc -[DEBUG][time= 561] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 561] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 561] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 561] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 561] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 561] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 561] BPUStage3: flushS3=1 -[DEBUG][time= 561] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 561] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 561] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 561] JBTAC: read: pc=0x0080000040, histXORAddr=0x008000003e, bank=7, row= 3, hist=0000000000000000000000000000000000000000000000000000000000111111 -[DEBUG][time= 561] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 5, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 561] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 561] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 561] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 561] BTB: bankIdxInOrder:[DEBUG][time= 561] BTB: 0 [DEBUG][time= 561] BTB: 1 [DEBUG][time= 561] BTB: 2 [DEBUG][time= 561] BTB: 3 [DEBUG][time= 561] BTB: 4 [DEBUG][time= 561] BTB: 5 [DEBUG][time= 561] BTB: 6 [DEBUG][time= 561] BTB: 7 [DEBUG][time= 561] BTB: 8 [DEBUG][time= 561] BTB: 9 [DEBUG][time= 561] BTB: 10 [DEBUG][time= 561] BTB: 11 [DEBUG][time= 561] BTB: 12 [DEBUG][time= 561] BTB: 13 [DEBUG][time= 561] BTB: 14 [DEBUG][time= 561] BTB: 15 [DEBUG][time= 561] BTB: -[INFO ][time= 561] Rename: int walk: pc:0080000068 ldst:10 old_pdest: 59 -[INFO ][time= 561] Rename: int walk: pc:0080000064 ldst:11 old_pdest: 55 -[INFO ][time= 561] Rename: int walk: pc:008000005c ldst: 2 old_pdest: 36 -[DEBUG][time= 561] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 561] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 561] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 561] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 561] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 561] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 561] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 561] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 561] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 561] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 561] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 561] Dispatch2: regfile 0 from 1 -[DEBUG][time= 561] Dispatch2: regfile 1 from 1 -[DEBUG][time= 561] Dispatch2: regfile 2 from 3 -[DEBUG][time= 561] Dispatch2: regfile 3 from 3 -[DEBUG][time= 561] Dispatch2: regfile 4 from 0 -[DEBUG][time= 561] Dispatch2: regfile 5 from 0 -[DEBUG][time= 561] Dispatch2: regfile 6 from 0 -[DEBUG][time= 561] Dispatch2: regfile 7 from 0 -[DEBUG][time= 561] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 8: addr 52, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 9: addr 56, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 12: addr 56, state 1 -[DEBUG][time= 561] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 561] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 561] Dispatch2: pc 0x0080000040 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 561] Dispatch2: pc 0x0080000040 leaves Ls dispatch queue with nroq 38 -[DEBUG][time= 561] Dispatch2: pc 0x008000003c reads operands from ( 8, 52, 0000000080000ed8), ( 9, 55, 0000000000000004), ( 0, 0, 0000000000000000) -[INFO ][time= 561] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 561] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 561] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 561] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 561] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 561] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 561] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 561] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 561] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 561] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 561] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 561] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 561] Roq: CSR block should only happen in s_idle -[DEBUG][time= 561] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 561] Roq: walked pc 0080000068 wen 1 ldst 10 data 0000000000000000 -[INFO ][time= 561] Roq: walked pc 0080000064 wen 1 ldst 11 data 0000000080000eb0 -[INFO ][time= 561] Roq: walked pc 0080000060 wen 0 ldst 0 data 0000000080001010 -[INFO ][time= 561] Roq: walked pc 008000005c wen 1 ldst 2 data 0000000080000098 -[INFO ][time= 561] Roq: walked pc 0080000058 wen 1 ldst 0 data 0000000080000cd5 -[INFO ][time= 561] Roq: walked pc 0080000054 wen 1 ldst 0 data 000000008000108c -[INFO ][time= 561] Roq: rolling back: head 10 tail 2 walk 1:16 -[DEBUG][time= 561] Roq: head 1:10 tail 1: 2 -[DEBUG][time= 561] Roq: --vvvvvwwwvvvvvvv--------------- -[DEBUG][time= 561] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 561] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 561] Roq: 0080000048 w 008000004c w 0080000050 v 0080000054 v -[DEBUG][time= 561] Roq: 0080000058 v 008000005c v 0080000060 v 0080000064 v -[DEBUG][time= 561] Roq: 0080000068 v 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 561] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 561] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 561] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 561] DispatchQueue_2: LsDpQ: num_deq = 1, head = (14 -> 15) -[DEBUG][time= 561] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 14), tail = (1, 15), -[DEBUG][time= 561] DispatchQueue: IntDpQ: num_deq = 2, head = (15 -> 1) -[DEBUG][time= 561] DispatchQueue: IntDpQ: valid_entries = 2, head = (0, 15), tail = (1, 1), -[DEBUG][time= 561] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 561] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 561] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 561] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 561] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 561] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 561] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 561] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 561] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 561] LsExeUnit: retiringStore now... -[DEBUG][time= 561] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 561] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 561] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 561] FakeCache: [Stage2_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 561] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 561] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 561] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 561] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 561] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 561] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 561] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 561] IFU: [IF3]if3_valid:0 || if3_pc:0x00800000fc if3_npc:0x0080000020 || if4_ready:1 -[DEBUG][time= 561] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 561] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 561] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 561] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 561] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 561] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 561] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 561] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 561] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 561] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 561] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 561] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 561] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 561] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 561] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 561] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 561] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 561] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 561] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 561] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 561] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 561] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 561] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 561] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 561] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 561] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 561] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 561] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 561] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 561] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 561] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 561] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 561] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 561] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 561] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 561] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 561] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 561] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 561] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 561] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 561] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 561] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 561] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 561] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 561] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 561] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 561] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 561] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 561] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 561] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 561] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 561] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 561] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 561] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 561] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 561] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 561] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 561] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 561] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 561] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 561] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 561] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 561] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 561] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 561] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 561] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 561] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 561] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 561] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 561] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 561] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 561] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 561] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 561] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 561] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 561] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 561] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 561] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 561] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 561] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 561] IssueQueue_7: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 52:1 56:1 0:0) Dest: 32 oldDest: 0 pc:0080000040 roqIdx:26 -[INFO ][time= 561] IssueQueue_7: EnqData: src1:0000000080000ed8 src2:0000000000000004 src3:0000000000000000 pc:008000003c roqIdx:25(for last cycle's Ctrl) -[DEBUG][time= 561] IssueQueue_7: tailAll: 3 KID(010) tailDot:00001111 tailDot2:00000111 selDot:01 popDot:00000000 moveDot:00001110 In(1 1) Out(1 0) -[INFO ][time= 561] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 561] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 561] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 561] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 561] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 561] IssueQueue_7: 2 |1|1| 52|1|0000000080000eb0| 55|1|0000000000000003| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 561] IssueQueue_7: 1 |0|1| 44|1|0000000080000eb0| 48|1|0000000000000002| 0|0|0000000000000000| 4 |0080000038|18 <- -[DEBUG][time= 561] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 561] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 561] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 561] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 561] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 561] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 561] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 561] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 561] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 561] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 561] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 561] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 561] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 561] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 561] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 561] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 561] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 561] FreeList_1: head:0: 27 tail:1: 25 -[DEBUG][time= 561] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 561] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 6 -[DEBUG][time= 561] Brq: ---------------- -[DEBUG][time= 561] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 561] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 561] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 561] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 561] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 561] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 562] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 562] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 562] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 562] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 562] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 562] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 562] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 562] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 562] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 562] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 562] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 562] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 562] BusyTable_1: writeback 59 -[DEBUG][time= 562] BusyTable_1: 59 is busy -[DEBUG][time= 562] BPUStage2: in:(1 1) pc=0080000040 out:(1 1) pc=0080000020 -[DEBUG][time= 562] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 562] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 562] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 562] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 562] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 562] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 562] BPUStage1: outPred:(1) pc=0x0080000040, redirect=1 instrValid=00001111 tgt=0080000020 -[DEBUG][time= 562] BPUStage3: [RAS]:pc=0x0080000020, rasWritePosition= 1, rasWriteAddr=0x00800000dc -[DEBUG][time= 562] BPUStage3: in:(1 1) pc=0080000020 -[DEBUG][time= 562] BPUStage3: flushS3=1 -[DEBUG][time= 562] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 562] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 562] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 562] JBTAC: read: pc=0x0080000020, histXORAddr=0x00800000de, bank=7, row= 13, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 562] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 3, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 562] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 562] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 562] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=3 -[DEBUG][time= 562] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 562] BTB: bankIdxInOrder:[DEBUG][time= 562] BTB: 0 [DEBUG][time= 562] BTB: 1 [DEBUG][time= 562] BTB: 2 [DEBUG][time= 562] BTB: 3 [DEBUG][time= 562] BTB: 4 [DEBUG][time= 562] BTB: 5 [DEBUG][time= 562] BTB: 6 [DEBUG][time= 562] BTB: 7 [DEBUG][time= 562] BTB: 8 [DEBUG][time= 562] BTB: 9 [DEBUG][time= 562] BTB: 10 [DEBUG][time= 562] BTB: 11 [DEBUG][time= 562] BTB: 12 [DEBUG][time= 562] BTB: 13 [DEBUG][time= 562] BTB: 14 [DEBUG][time= 562] BTB: 15 [DEBUG][time= 562] BTB: -[INFO ][time= 562] Rename: int walk: pc:0080000050 ldst:10 old_pdest: 54 -[DEBUG][time= 562] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 562] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 562] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 562] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 562] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 562] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 562] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 562] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 562] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 562] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 562] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 562] Dispatch2: regfile 0 from 1 -[DEBUG][time= 562] Dispatch2: regfile 1 from 1 -[DEBUG][time= 562] Dispatch2: regfile 2 from 2 -[DEBUG][time= 562] Dispatch2: regfile 3 from 2 -[DEBUG][time= 562] Dispatch2: regfile 4 from 3 -[DEBUG][time= 562] Dispatch2: regfile 5 from 3 -[DEBUG][time= 562] Dispatch2: regfile 6 from 0 -[DEBUG][time= 562] Dispatch2: regfile 7 from 0 -[DEBUG][time= 562] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 2: addr 53, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 4: addr 53, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 9: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 12: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 562] Dispatch2: pc 0x0080000040 reads operands from ( 8, 52, 0000000080000ed8), ( 9, 56, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 562] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 562] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 562] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 562] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 562] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 562] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 562] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 562] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 562] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 562] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 562] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 562] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 562] Roq: CSR block should only happen in s_idle -[DEBUG][time= 562] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 562] Roq: walked pc 0080000050 wen 1 ldst 10 data 00000000800000ac -[INFO ][time= 562] Roq: rolling back: head 10 tail 2 walk 1:10 -[DEBUG][time= 562] Roq: head 1:10 tail 1: 2 -[DEBUG][time= 562] Roq: --vvvvvwwwv--------------------- -[DEBUG][time= 562] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 562] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 562] Roq: 0080000048 w 008000004c w 0080000050 v 0080000054 - -[DEBUG][time= 562] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 562] Roq: 0080000068 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 562] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 562] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 562] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 562] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 562] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 562] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 562] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 562] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 562] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 562] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 562] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 562] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 562] LsExeUnit: retiringStore now... -[DEBUG][time= 562] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 562] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 562] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 562] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 562] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 562] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 562] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 562] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 562] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 562] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 562] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00001111 || if2_btb_target:0x0080000020 -[DEBUG][time= 562] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 562] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 562] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 562] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 562] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 562] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 562] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 562] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 562] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 562] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 562] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 562] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 562] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 562] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 562] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 562] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 562] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 562] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 562] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 562] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 562] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 562] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 562] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 562] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 562] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 562] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 562] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 562] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 562] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 562] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 562] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 562] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 562] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 562] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 562] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 562] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 562] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 562] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 562] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 562] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 562] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 562] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 562] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 562] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 562] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 562] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 562] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 562] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 562] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 562] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 562] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 562] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 562] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 562] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 562] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 562] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 562] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 562] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 562] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 562] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 562] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 562] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 562] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 562] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 562] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 562] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 562] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 562] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 562] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 562] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 562] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 562] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 562] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 562] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 562] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 562] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 562] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 562] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 562] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 562] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 562] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 562] IssueQueue_7: EnqData: src1:0000000080000ed8 src2:0000000000000005 src3:0000000000000000 pc:0080000040 roqIdx:26(for last cycle's Ctrl) -[DEBUG][time= 562] IssueQueue_7: tailAll: 4 KID(100) tailDot:00011111 tailDot2:00001111 selDot:01 popDot:00000000 moveDot:00011110 In(0 1) Out(1 0) -[INFO ][time= 562] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 562] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 562] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 562] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 562] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 562] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 562] IssueQueue_7: 1 |1|1| 52|1|0000000080000eb0| 56|1|0000000000000002| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 562] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 562] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 562] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 562] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 562] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 562] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 562] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 562] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 562] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 562] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 562] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 562] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 562] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 562] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 562] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 562] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 562] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 562] FreeList_1: head:0: 27 tail:1: 25 -[DEBUG][time= 562] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 562] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 6 -[DEBUG][time= 562] Brq: ---------------- -[DEBUG][time= 562] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 562] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 562] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 562] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 562] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 562] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 563] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 563] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 563] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 563] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 563] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 563] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 563] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 563] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 563] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 563] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 563] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 563] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 563] BPUStage2: in:(1 1) pc=0080000020 out:(1 1) pc=0080000040 -[DEBUG][time= 563] BPUStage2: validLatch=1 pc=0080000040 -[DEBUG][time= 563] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 563] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 563] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 563] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 563] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 563] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 563] BPUStage3: [RAS]:pc=0x0080000040, rasWritePosition= 1, rasWriteAddr=0x0080000040 -[DEBUG][time= 563] BPUStage3: in:(1 1) pc=0080000040 -[DEBUG][time= 563] BPUStage3: out:1 pc=0080000020 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000040 -[DEBUG][time= 563] BPUStage3: flushS3=0 -[DEBUG][time= 563] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 563] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 563] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 563] JBTAC: read: pc=0x0080000040, histXORAddr=0x00800000be, bank=7, row= 11, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 563] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 13, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 563] Ibuffer: Enque: -[DEBUG][time= 563] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 563] Ibuffer: 00000000001001111000010100010011 -[DEBUG][time= 563] Ibuffer: 00000000001101111000010110010011 -[DEBUG][time= 563] Ibuffer: 00000000010001111000011000010011 -[DEBUG][time= 563] Ibuffer: 00000000111101110011000000100011 -[DEBUG][time= 563] Ibuffer: 00000000110101110011010000100011 -[DEBUG][time= 563] Ibuffer: 00000000101001110011100000100011 -[DEBUG][time= 563] Ibuffer: 00000000101101110011110000100011 -[DEBUG][time= 563] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 563] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 563] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 563] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 563] BTB: bankIdxInOrder:[DEBUG][time= 563] BTB: 0 [DEBUG][time= 563] BTB: 1 [DEBUG][time= 563] BTB: 2 [DEBUG][time= 563] BTB: 3 [DEBUG][time= 563] BTB: 4 [DEBUG][time= 563] BTB: 5 [DEBUG][time= 563] BTB: 6 [DEBUG][time= 563] BTB: 7 [DEBUG][time= 563] BTB: 8 [DEBUG][time= 563] BTB: 9 [DEBUG][time= 563] BTB: 10 [DEBUG][time= 563] BTB: 11 [DEBUG][time= 563] BTB: 12 [DEBUG][time= 563] BTB: 13 [DEBUG][time= 563] BTB: 14 [DEBUG][time= 563] BTB: 15 [DEBUG][time= 563] BTB: -[INFO ][time= 563] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 563] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 55 out v:0 r:1 -[INFO ][time= 563] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[INFO ][time= 563] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 563] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[INFO ][time= 563] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[DEBUG][time= 563] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 563] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 563] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 563] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 563] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 563] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 563] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 563] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 563] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 563] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 563] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 563] Dispatch2: regfile 0 from 1 -[DEBUG][time= 563] Dispatch2: regfile 1 from 1 -[DEBUG][time= 563] Dispatch2: regfile 2 from 2 -[DEBUG][time= 563] Dispatch2: regfile 3 from 2 -[DEBUG][time= 563] Dispatch2: regfile 4 from 3 -[DEBUG][time= 563] Dispatch2: regfile 5 from 3 -[DEBUG][time= 563] Dispatch2: regfile 6 from 0 -[DEBUG][time= 563] Dispatch2: regfile 7 from 0 -[DEBUG][time= 563] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 2: addr 53, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 4: addr 53, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 9: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 12: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 563] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 563] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 563] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 563] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 563] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 563] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 563] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 563] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 563] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 563] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 563] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 563] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 563] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 563] Roq: CSR block should only happen in s_idle -[DEBUG][time= 563] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 563] Roq: head 1:10 tail 1: 2 -[DEBUG][time= 563] Roq: --vvvvvwww---------------------- -[DEBUG][time= 563] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 563] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 563] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 563] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 563] Roq: 0080000068 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 563] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 563] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 563] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 563] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 563] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 563] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 563] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 563] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 563] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 563] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 563] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 563] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 563] LsExeUnit: retiringStore now... -[DEBUG][time= 563] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 563] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 563] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 563] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 563] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 563] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 563] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 563] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 563] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 563] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 563] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 563] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000040 if3_npc:0x0080000020 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 563] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 563] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000040 -[DEBUG][time= 563] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] starPC:0x0080000020 GroupPC:0x0080000020n -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000024 -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00278513 pnpc:0x0080000028 -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00378593 pnpc:0x008000002c -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00478613 pnpc:0x0080000030 -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00f73023 pnpc:0x0080000034 -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00d73423 pnpc:0x0080000038 -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00a73823 pnpc:0x008000003c -[DEBUG][time= 563] IFU: [IFU-Out-FetchPacket] instruction 00b73c23 pnpc:0x0080000040 -[DEBUG][time= 563] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 563] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 563] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 563] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 563] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 563] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 563] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 563] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 563] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 563] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 563] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 563] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 563] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 563] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 563] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 563] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 563] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 563] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 563] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 563] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 563] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 563] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 563] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 563] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 563] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 563] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 563] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 563] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 563] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 563] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 563] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 563] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 563] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 563] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 563] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 563] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 563] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 563] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 563] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 563] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 563] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 563] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 563] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 563] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 563] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 563] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 563] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 563] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 563] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 563] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 563] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 563] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 563] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 563] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 563] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 563] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 563] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 563] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 563] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 563] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 563] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 563] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 563] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 563] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 563] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 563] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 563] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 563] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 563] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 563] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 563] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 563] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 563] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 563] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 563] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 563] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 563] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 563] IssueQueue_7: tailAll: 4 KID(100) tailDot:00011111 tailDot2:00001111 selDot:01 popDot:00000000 moveDot:00011110 In(0 1) Out(1 0) -[INFO ][time= 563] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 563] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 563] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 563] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 563] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 563] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 563] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 563] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 563] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 563] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 563] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 563] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 563] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 563] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 563] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 563] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 563] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 563] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 563] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 563] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 563] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 563] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 563] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 563] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 563] FreeList_1: head:0: 27 tail:1: 25 -[DEBUG][time= 563] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 563] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 6 -[DEBUG][time= 563] Brq: ---------------- -[DEBUG][time= 563] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 563] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 563] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 563] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 563] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 563] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 6 -[DEBUG][time= 564] Tage: req: pc=0x0080000060, hist=0000000000000000000000000000000000000000000000000000000001111110 -[DEBUG][time= 564] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 564] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 564] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 564] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 564] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 564] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 564] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 564] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 564] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 564] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 564] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 564] BPUStage2: in:(0 0) pc=0080000040 out:(0 1) pc=0080000020 -[DEBUG][time= 564] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 564] BPUStage2: flush!!! -[DEBUG][time= 564] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 564] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 564] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 564] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 564] BPUStage1: in:(1 1) pc=0080000060 ghr=0000000000000000000000000000000000000000000000000000000001111110 -[DEBUG][time= 564] BPUStage1: outPred:(0) pc=0x0080000040, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 564] BPUStage1: flush from Stage3: s3Taken=0 s3RollBackHist=0000000000000000000000000000000000000000000000000000000001111110 -[DEBUG][time= 564] BPUStage3: out:1 pc=0080000040 redirect=1 predcdMask=11111111 instrValid=11111111 tgt=0080000060 -[DEBUG][time= 564] BPUStage3: flushS3=0 -[DEBUG][time= 564] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 564] BPUStage3: jmpIdx=0, brs=00001000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=5 -[DEBUG][time= 564] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 564] JBTAC: read: pc=0x0080000060, histXORAddr=0x008000009c, bank=6, row= 9, hist=0000000000000000000000000000000000000000000000000000000001111110 -[DEBUG][time= 564] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 11, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 564] Frontend: inst:00178693 pc:0080000020 -[INFO ][time= 564] Frontend: inst:00278513 pc:0080000024 -[INFO ][time= 564] Frontend: inst:00378593 pc:0080000028 -[INFO ][time= 564] Frontend: inst:00478613 pc:008000002c -[INFO ][time= 564] Frontend: inst:00f73023 pc:0080000030 -[INFO ][time= 564] Frontend: inst:00d73423 pc:0080000034 -[DEBUG][time= 564] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000020 instr:00178693 -[DEBUG][time= 564] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000024 instr:00278513 -[DEBUG][time= 564] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000028 instr:00378593 -[DEBUG][time= 564] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000002c instr:00478613 -[DEBUG][time= 564] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000030 instr:00f73023 -[DEBUG][time= 564] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000034 instr:00d73423 -[DEBUG][time= 564] Ibuffer: Enque: -[DEBUG][time= 564] Ibuffer: 00000010110001110011000000100011 -[DEBUG][time= 564] Ibuffer: 00000000000001101000011110010011 -[DEBUG][time= 564] Ibuffer: 00000010100001110000011100010011 -[DEBUG][time= 564] Ibuffer: 11111101000001101001101011100011 -[DEBUG][time= 564] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 564] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 564] Ibuffer: 00000101010000000000000001101111 -[DEBUG][time= 564] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 564] Ibuffer: Deque: -[DEBUG][time= 564] Ibuffer: 00000000000101111000011010010011 PC=0080000020 v=1 r=1 -[DEBUG][time= 564] Ibuffer: 00000000001001111000010100010011 PC=0080000024 v=1 r=1 -[DEBUG][time= 564] Ibuffer: 00000000001101111000010110010011 PC=0080000028 v=1 r=1 -[DEBUG][time= 564] Ibuffer: 00000000010001111000011000010011 PC=008000002c v=1 r=1 -[DEBUG][time= 564] Ibuffer: 00000000111101110011000000100011 PC=0080000030 v=1 r=1 -[DEBUG][time= 564] Ibuffer: 00000000110101110011010000100011 PC=0080000034 v=1 r=1 -[DEBUG][time= 564] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 564] BTB: read: pc=0x0080000060, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 564] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 564] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=3 -[DEBUG][time= 564] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 564] BTB: bankIdxInOrder:[DEBUG][time= 564] BTB: 0 [DEBUG][time= 564] BTB: 1 [DEBUG][time= 564] BTB: 2 [DEBUG][time= 564] BTB: 3 [DEBUG][time= 564] BTB: 4 [DEBUG][time= 564] BTB: 5 [DEBUG][time= 564] BTB: 6 [DEBUG][time= 564] BTB: 7 [DEBUG][time= 564] BTB: 8 [DEBUG][time= 564] BTB: 9 [DEBUG][time= 564] BTB: 10 [DEBUG][time= 564] BTB: 11 [DEBUG][time= 564] BTB: 12 [DEBUG][time= 564] BTB: 13 [DEBUG][time= 564] BTB: 14 [DEBUG][time= 564] BTB: 15 [DEBUG][time= 564] BTB: -[INFO ][time= 564] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 564] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 55 out v:0 r:1 -[INFO ][time= 564] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[INFO ][time= 564] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 564] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[INFO ][time= 564] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 54 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 54 out v:0 r:1 -[DEBUG][time= 564] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 564] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 564] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 564] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 564] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 564] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 564] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 564] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 564] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 564] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 564] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 564] Dispatch2: regfile 0 from 1 -[DEBUG][time= 564] Dispatch2: regfile 1 from 1 -[DEBUG][time= 564] Dispatch2: regfile 2 from 2 -[DEBUG][time= 564] Dispatch2: regfile 3 from 2 -[DEBUG][time= 564] Dispatch2: regfile 4 from 3 -[DEBUG][time= 564] Dispatch2: regfile 5 from 3 -[DEBUG][time= 564] Dispatch2: regfile 6 from 0 -[DEBUG][time= 564] Dispatch2: regfile 7 from 0 -[DEBUG][time= 564] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 2: addr 53, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 4: addr 53, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 9: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 12: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 564] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 564] DecodeBuffer: in v:1 r:1 pc=0080000020 -[INFO ][time= 564] DecodeBuffer: in v:1 r:1 pc=0080000024 -[INFO ][time= 564] DecodeBuffer: in v:1 r:1 pc=0080000028 -[INFO ][time= 564] DecodeBuffer: in v:1 r:1 pc=008000002c -[INFO ][time= 564] DecodeBuffer: in v:1 r:1 pc=0080000030 -[INFO ][time= 564] DecodeBuffer: in v:1 r:1 pc=0080000034 -[INFO ][time= 564] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 564] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 564] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 564] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 564] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 564] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 564] Roq: CSR block should only happen in s_idle -[DEBUG][time= 564] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 564] Roq: head 1:10 tail 1: 2 -[DEBUG][time= 564] Roq: --vvvvvwww---------------------- -[DEBUG][time= 564] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 564] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 564] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 564] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 564] Roq: 0080000068 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 564] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 564] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 564] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 564] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 564] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 564] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 564] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 564] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 564] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 564] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 564] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 564] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 564] LsExeUnit: retiringStore now... -[DEBUG][time= 564] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 564] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 564] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 564] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 564] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 564] FakeCache: [Stage3_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 564] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 564] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000060 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 564] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 564] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 564] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 564] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 564] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000040 if4_npc:0x0080000020 -[DEBUG][time= 564] IFU: [IF4-TAGE-out]if4_tage_taken:1 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 564] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] starPC:0x0080000040 GroupPC:0x0080000040n -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction 02c73023 pnpc:0x0080000044 -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction 00068793 pnpc:0x0080000048 -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction 02870713 pnpc:0x008000004c -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction fd069ae3 pnpc:0x0080000050 -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000054 -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000058 -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction 0540006f pnpc:0x008000005c -[DEBUG][time= 564] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000060 -[DEBUG][time= 564] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 564] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 564] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 564] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 564] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 564] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 564] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 564] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 564] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 564] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 564] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 564] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 564] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 564] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 564] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 564] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 564] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 564] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 564] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 564] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 564] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 564] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 564] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 564] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 564] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 564] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 564] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 564] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 564] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 564] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 564] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 564] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 564] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 564] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 564] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 564] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 564] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 564] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 564] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 564] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 564] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 564] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 564] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 564] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 564] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 564] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 564] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 564] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 564] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 564] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 564] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 564] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 564] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 564] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 564] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 564] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 564] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 564] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 564] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 564] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 564] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 564] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 564] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 564] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 564] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 564] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 564] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 564] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 564] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 564] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 564] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 564] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 564] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 564] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 564] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 564] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 564] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 564] IssueQueue_7: tailAll: 4 KID(100) tailDot:00011111 tailDot2:00001111 selDot:01 popDot:00000000 moveDot:00011110 In(0 1) Out(1 0) -[INFO ][time= 564] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 564] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 564] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 564] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 564] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 564] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 564] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 564] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 564] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 564] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 564] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 564] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 564] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 564] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 564] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 564] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 564] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 564] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 564] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 564] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 564] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 564] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 564] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 564] FreeList_1: req:0 canAlloc:1 pdest: 59 headNext:0: 27 -[DEBUG][time= 564] FreeList_1: head:0: 27 tail:1: 25 -[DEBUG][time= 564] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 564] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 6 -[DEBUG][time= 564] Brq: ---------------- -[DEBUG][time= 564] Brq: enq v:0 rdy:1 pc:0080000020 brTag:f:0 v: 6 -[DEBUG][time= 564] Brq: enq v:0 rdy:1 pc:0080000024 brTag:f:0 v: 6 -[DEBUG][time= 564] Brq: enq v:0 rdy:1 pc:0080000028 brTag:f:0 v: 6 -[DEBUG][time= 564] Brq: enq v:0 rdy:1 pc:008000002c brTag:f:0 v: 6 -[DEBUG][time= 564] Brq: enq v:0 rdy:1 pc:0080000030 brTag:f:0 v: 6 -[DEBUG][time= 564] Brq: enq v:0 rdy:1 pc:0080000034 brTag:f:0 v: 6 -[DEBUG][time= 565] Tage: req: pc=0x0080000080, hist=0000000000000000000000000000000000000000000000000000000001111110 -[DEBUG][time= 565] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 565] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 565] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 565] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 565] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 565] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 565] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 565] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 565] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 565] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 565] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 565] BusyTable_1: Allocate 59 -[DEBUG][time= 565] BusyTable_1: Allocate 60 -[DEBUG][time= 565] BusyTable_1: Allocate 61 -[DEBUG][time= 565] BusyTable_1: Allocate 62 -[DEBUG][time= 565] BPUStage2: in:(1 1) pc=0080000060 out:(0 1) pc=0080000020 -[DEBUG][time= 565] BPUStage2: validLatch=0 pc=0080000020 -[DEBUG][time= 565] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 565] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 565] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 565] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 565] BPUStage1: in:(1 1) pc=0080000080 ghr=0000000000000000000000000000000000000000000000000000000001111110 -[DEBUG][time= 565] BPUStage1: outPred:(1) pc=0x0080000060, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 565] BPUStage3: flushS3=0 -[DEBUG][time= 565] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 565] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 565] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 565] JBTAC: read: pc=0x0080000080, histXORAddr=0x008000007c, bank=6, row= 7, hist=0000000000000000000000000000000000000000000000000000000001111110 -[DEBUG][time= 565] JBTAC: read_resp: pc=0x0080000060, bank=6, row= 9, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 565] Frontend: inst:00a73823 pc:0080000038 -[INFO ][time= 565] Frontend: inst:00b73c23 pc:008000003c -[INFO ][time= 565] Frontend: inst:02c73023 pc:0080000040 -[INFO ][time= 565] Frontend: inst:00068793 pc:0080000044 -[INFO ][time= 565] Frontend: inst:02870713 pc:0080000048 -[INFO ][time= 565] Frontend: inst:fd069ae3 pc:008000004c -[DEBUG][time= 565] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000038 instr:00a73823 -[DEBUG][time= 565] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000003c instr:00b73c23 -[DEBUG][time= 565] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000040 instr:02c73023 -[DEBUG][time= 565] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000044 instr:00068793 -[DEBUG][time= 565] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000048 instr:02870713 -[DEBUG][time= 565] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:008000004c instr:fd069ae3 -[DEBUG][time= 565] Ibuffer: Deque: -[DEBUG][time= 565] Ibuffer: 00000000101001110011100000100011 PC=0080000038 v=1 r=1 -[DEBUG][time= 565] Ibuffer: 00000000101101110011110000100011 PC=008000003c v=1 r=1 -[DEBUG][time= 565] Ibuffer: 00000010110001110011000000100011 PC=0080000040 v=1 r=1 -[DEBUG][time= 565] Ibuffer: 00000000000001101000011110010011 PC=0080000044 v=1 r=1 -[DEBUG][time= 565] Ibuffer: 00000010100001110000011100010011 PC=0080000048 v=1 r=1 -[DEBUG][time= 565] Ibuffer: 11111101000001101001101011100011 PC=008000004c v=1 r=1 -[DEBUG][time= 565] BTB: read: pc=0x0080000080, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 565] BTB: read_resp: pc=0x0080000060, readIdx= 48------------------------------- -[DEBUG][time= 565] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=12][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 565] BTB: bankIdxInOrder:[DEBUG][time= 565] BTB: 0 [DEBUG][time= 565] BTB: 1 [DEBUG][time= 565] BTB: 2 [DEBUG][time= 565] BTB: 3 [DEBUG][time= 565] BTB: 4 [DEBUG][time= 565] BTB: 5 [DEBUG][time= 565] BTB: 6 [DEBUG][time= 565] BTB: 7 [DEBUG][time= 565] BTB: 8 [DEBUG][time= 565] BTB: 9 [DEBUG][time= 565] BTB: 10 [DEBUG][time= 565] BTB: 11 [DEBUG][time= 565] BTB: 12 [DEBUG][time= 565] BTB: 13 [DEBUG][time= 565] BTB: 14 [DEBUG][time= 565] BTB: 15 [DEBUG][time= 565] BTB: -[INFO ][time= 565] Rename: pc:0080000020 in v:1 in rdy:1 lsrc1:15 -> psrc1: 57 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 59 old_pdest: 53 out v:1 r:1 -[INFO ][time= 565] Rename: pc:0080000024 in v:1 in rdy:1 lsrc1:15 -> psrc1: 57 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 60 old_pdest: 54 out v:1 r:1 -[INFO ][time= 565] Rename: pc:0080000028 in v:1 in rdy:1 lsrc1:15 -> psrc1: 57 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 61 old_pdest: 55 out v:1 r:1 -[INFO ][time= 565] Rename: pc:008000002c in v:1 in rdy:1 lsrc1:15 -> psrc1: 57 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 62 old_pdest: 56 out v:1 r:1 -[INFO ][time= 565] Rename: pc:0080000030 in v:1 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2:15 -> psrc2: 57 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 565] Rename: pc:0080000034 in v:1 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2:13 -> psrc2: 59 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[DEBUG][time= 565] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 565] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 565] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 565] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 565] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 565] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 565] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 565] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 565] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 565] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 565] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 565] Dispatch2: regfile 0 from 1 -[DEBUG][time= 565] Dispatch2: regfile 1 from 1 -[DEBUG][time= 565] Dispatch2: regfile 2 from 2 -[DEBUG][time= 565] Dispatch2: regfile 3 from 2 -[DEBUG][time= 565] Dispatch2: regfile 4 from 3 -[DEBUG][time= 565] Dispatch2: regfile 5 from 3 -[DEBUG][time= 565] Dispatch2: regfile 6 from 0 -[DEBUG][time= 565] Dispatch2: regfile 7 from 0 -[DEBUG][time= 565] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 2: addr 53, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 4: addr 53, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 9: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 12: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 565] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 565] DecodeBuffer: in v:1 r:1 pc=0080000038 -[INFO ][time= 565] DecodeBuffer: in v:1 r:1 pc=008000003c -[INFO ][time= 565] DecodeBuffer: in v:1 r:1 pc=0080000040 -[INFO ][time= 565] DecodeBuffer: in v:1 r:1 pc=0080000044 -[INFO ][time= 565] DecodeBuffer: in v:1 r:1 pc=0080000048 -[INFO ][time= 565] DecodeBuffer: in v:1 r:1 pc=008000004c -[INFO ][time= 565] DecodeBuffer: out v:1 r:1 pc=0080000020 -[INFO ][time= 565] DecodeBuffer: out v:1 r:1 pc=0080000024 -[INFO ][time= 565] DecodeBuffer: out v:1 r:1 pc=0080000028 -[INFO ][time= 565] DecodeBuffer: out v:1 r:1 pc=008000002c -[INFO ][time= 565] DecodeBuffer: out v:1 r:1 pc=0080000030 -[INFO ][time= 565] DecodeBuffer: out v:1 r:1 pc=0080000034 -[ERROR][time= 565] Roq: CSR block should only happen in s_idle -[DEBUG][time= 565] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 565] Roq: head 1:10 tail 1: 2 -[DEBUG][time= 565] Roq: --vvvvvwww---------------------- -[DEBUG][time= 565] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 565] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 565] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 565] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 565] Roq: 0080000068 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 565] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 565] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 565] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 565] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 565] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 565] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 565] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 565] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 565] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 565] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 565] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 565] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 565] LsExeUnit: retiringStore now... -[DEBUG][time= 565] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483744------> s1 fire!!! -[DEBUG][time= 565] FakeCache: [Stage1_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 565] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 565] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 565] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 565] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 565] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 565] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000080 || if1_pcUpdate:1 if1_pc:0x0080000060 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 565] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000060 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 565] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 565] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 565] IFU: [IF3]if3_valid:0 || if3_pc:0x0080000040 if3_npc:0x0080000060 || if4_ready:1 -[DEBUG][time= 565] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 565] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 565] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 565] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 565] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 565] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 565] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 565] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 565] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 565] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 565] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 565] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 565] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 565] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 565] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 565] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 565] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 565] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 565] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 565] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 565] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 565] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 565] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 565] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 565] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 565] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 565] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 565] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 565] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 565] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 565] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 565] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 565] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 565] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 565] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 565] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 565] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 565] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 565] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 565] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 565] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 565] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 565] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 565] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 565] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 565] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 565] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 565] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 565] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 565] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 565] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 565] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 565] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 565] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 565] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 565] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 565] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 565] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 565] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 565] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 565] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 565] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 565] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 565] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 565] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 565] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 565] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 565] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 565] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 565] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 565] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 565] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 565] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 565] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 565] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 565] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 565] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 565] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 565] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 565] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 565] IssueQueue_7: tailAll: 4 KID(100) tailDot:00011111 tailDot2:00001111 selDot:01 popDot:00000000 moveDot:00011110 In(0 1) Out(1 0) -[INFO ][time= 565] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 565] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 565] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 565] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 565] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 565] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 565] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 565] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 565] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 565] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 565] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 565] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 565] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 565] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 565] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 565] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 565] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 565] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 565] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 565] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 565] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 565] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 565] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 565] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 565] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 28 -[DEBUG][time= 565] FreeList_1: req:1 canAlloc:1 pdest: 59 headNext:0: 28 -[DEBUG][time= 565] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 29 -[DEBUG][time= 565] FreeList_1: req:1 canAlloc:1 pdest: 60 headNext:0: 29 -[DEBUG][time= 565] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 30 -[DEBUG][time= 565] FreeList_1: req:1 canAlloc:1 pdest: 61 headNext:0: 30 -[DEBUG][time= 565] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 31 -[DEBUG][time= 565] FreeList_1: req:1 canAlloc:1 pdest: 62 headNext:0: 31 -[DEBUG][time= 565] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 31 -[DEBUG][time= 565] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 565] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 31 -[DEBUG][time= 565] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 565] FreeList_1: head:0: 27 tail:1: 25 -[DEBUG][time= 565] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 565] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 6 -[DEBUG][time= 565] Brq: ---------------- -[DEBUG][time= 565] Brq: enq v:0 rdy:1 pc:0080000038 brTag:f:0 v: 6 -[DEBUG][time= 565] Brq: enq v:0 rdy:1 pc:008000003c brTag:f:0 v: 6 -[DEBUG][time= 565] Brq: enq v:0 rdy:1 pc:0080000040 brTag:f:0 v: 6 -[DEBUG][time= 565] Brq: enq v:0 rdy:1 pc:0080000044 brTag:f:0 v: 6 -[DEBUG][time= 565] Brq: enq v:0 rdy:1 pc:0080000048 brTag:f:0 v: 6 -[DEBUG][time= 565] Brq: enq v:1 rdy:1 pc:008000004c brTag:f:0 v: 6 -[DEBUG][time= 566] Tage: req: pc=0x008000009c, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 566] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 566] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 566] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 566] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 566] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 566] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 566] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 566] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 566] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 566] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 566] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 566] BusyTable_1: Allocate 63 -[DEBUG][time= 566] BusyTable_1: Allocate 64 -[DEBUG][time= 566] BusyTable_1: 59 is busy -[DEBUG][time= 566] BusyTable_1: 60 is busy -[DEBUG][time= 566] BusyTable_1: 61 is busy -[DEBUG][time= 566] BusyTable_1: 62 is busy -[DEBUG][time= 566] BPUStage2: in:(1 1) pc=0080000080 out:(1 1) pc=0080000060 -[DEBUG][time= 566] BPUStage2: validLatch=1 pc=0080000060 -[DEBUG][time= 566] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 566] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 566] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 566] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 566] BPUStage1: in:(1 1) pc=008000009c ghr=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 566] BPUStage1: outPred:(1) pc=0x0080000080, redirect=1 instrValid=00000111 tgt=008000009c -[DEBUG][time= 566] BPUStage3: [RAS]:pc=0x0080000060, rasWritePosition= 1, rasWriteAddr=0x0080000060 -[DEBUG][time= 566] BPUStage3: in:(1 1) pc=0080000060 -[DEBUG][time= 566] BPUStage3: flushS3=0 -[DEBUG][time= 566] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 566] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 566] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 566] JBTAC: read: pc=0x008000009c, histXORAddr=0x0080000166, bank=3, row= 22, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 566] JBTAC: read_resp: pc=0x0080000080, bank=6, row= 7, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 566] Frontend: inst:00000513 pc:0080000050 -[INFO ][time= 566] Frontend: inst:00008067 pc:0080000054 -[INFO ][time= 566] Frontend: inst:0540006f pc:0080000058 -[INFO ][time= 566] Frontend: inst:ff010113 pc:008000005c -[DEBUG][time= 566] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 566] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000054 instr:00008067 -[DEBUG][time= 566] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000058 instr:0540006f -[DEBUG][time= 566] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000005c instr:ff010113 -[DEBUG][time= 566] Ibuffer: Deque: -[DEBUG][time= 566] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=1 -[DEBUG][time= 566] Ibuffer: 00000000000000001000000001100111 PC=0080000054 v=1 r=1 -[DEBUG][time= 566] Ibuffer: 00000101010000000000000001101111 PC=0080000058 v=1 r=1 -[DEBUG][time= 566] Ibuffer: 11111111000000010000000100010011 PC=008000005c v=1 r=1 -[DEBUG][time= 566] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=0 r=1 -[DEBUG][time= 566] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=0 r=1 -[DEBUG][time= 566] BTB: read: pc=0x008000009c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 566] BTB: read_resp: pc=0x0080000080, readIdx= 64------------------------------- -[DEBUG][time= 566] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400000, target=0x008000009c, type=1, ctr=2 -[DEBUG][time= 566] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=10][r= 4]: valid=1, tag=0x00400000, target=0x0080000010, type=1, ctr=2 -[DEBUG][time= 566] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=12][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 566] BTB: bankIdxInOrder:[DEBUG][time= 566] BTB: 0 [DEBUG][time= 566] BTB: 1 [DEBUG][time= 566] BTB: 2 [DEBUG][time= 566] BTB: 3 [DEBUG][time= 566] BTB: 4 [DEBUG][time= 566] BTB: 5 [DEBUG][time= 566] BTB: 6 [DEBUG][time= 566] BTB: 7 [DEBUG][time= 566] BTB: 8 [DEBUG][time= 566] BTB: 9 [DEBUG][time= 566] BTB: 10 [DEBUG][time= 566] BTB: 11 [DEBUG][time= 566] BTB: 12 [DEBUG][time= 566] BTB: 13 [DEBUG][time= 566] BTB: 14 [DEBUG][time= 566] BTB: 15 [DEBUG][time= 566] BTB: -[INFO ][time= 566] Rename: pc:0080000038 in v:1 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2:10 -> psrc2: 60 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 566] Rename: pc:008000003c in v:1 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2:11 -> psrc2: 61 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 566] Rename: pc:0080000040 in v:1 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2:12 -> psrc2: 62 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 566] Rename: pc:0080000044 in v:1 in rdy:1 lsrc1:13 -> psrc1: 59 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 63 old_pdest: 57 out v:1 r:1 -[INFO ][time= 566] Rename: pc:0080000048 in v:1 in rdy:1 lsrc1:14 -> psrc1: 58 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 64 old_pdest: 58 out v:1 r:1 -[INFO ][time= 566] Rename: pc:008000004c in v:1 in rdy:1 lsrc1:13 -> psrc1: 59 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 566] Dispatch1: pc 0x0080000020 accepted by queue 1 0 0 -[INFO ][time= 566] Dispatch1: pc 0x0080000024 accepted by queue 1 0 0 -[INFO ][time= 566] Dispatch1: pc 0x0080000028 accepted by queue 1 0 0 -[INFO ][time= 566] Dispatch1: pc 0x008000002c accepted by queue 1 0 0 -[INFO ][time= 566] Dispatch1: pc 0x0080000030 accepted by queue 0 0 1 -[INFO ][time= 566] Dispatch1: pc 0x0080000034 accepted by queue 0 0 1 -[DEBUG][time= 566] Dispatch1: pc 0x0080000020 receives nroq 42 -[DEBUG][time= 566] Dispatch1: v:1 r:1 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 566] Dispatch1: pc 0x0080000024 receives nroq 43 -[DEBUG][time= 566] Dispatch1: v:1 r:1 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 566] Dispatch1: pc 0x0080000028 receives nroq 44 -[DEBUG][time= 566] Dispatch1: v:1 r:1 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 566] Dispatch1: pc 0x008000002c receives nroq 45 -[DEBUG][time= 566] Dispatch1: v:1 r:1 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 566] Dispatch1: pc 0x0080000030 receives nroq 46 -[DEBUG][time= 566] Dispatch1: v:1 r:1 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 566] Dispatch1: pc 0x0080000034 receives nroq 47 -[DEBUG][time= 566] Dispatch1: v:1 r:1 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 566] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 566] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 566] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 566] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 566] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 566] Dispatch2: regfile 0 from 1 -[DEBUG][time= 566] Dispatch2: regfile 1 from 1 -[DEBUG][time= 566] Dispatch2: regfile 2 from 2 -[DEBUG][time= 566] Dispatch2: regfile 3 from 2 -[DEBUG][time= 566] Dispatch2: regfile 4 from 3 -[DEBUG][time= 566] Dispatch2: regfile 5 from 3 -[DEBUG][time= 566] Dispatch2: regfile 6 from 0 -[DEBUG][time= 566] Dispatch2: regfile 7 from 0 -[DEBUG][time= 566] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 2: addr 53, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 4: addr 53, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 8: addr 40, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 9: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 12: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 566] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 566] DecodeBuffer: in v:1 r:1 pc=0080000050 -[INFO ][time= 566] DecodeBuffer: in v:1 r:1 pc=0080000054 -[INFO ][time= 566] DecodeBuffer: in v:1 r:1 pc=0080000058 -[INFO ][time= 566] DecodeBuffer: in v:1 r:1 pc=008000005c -[INFO ][time= 566] DecodeBuffer: in v:0 r:1 pc=0080000060 -[INFO ][time= 566] DecodeBuffer: in v:0 r:1 pc=0080000064 -[INFO ][time= 566] DecodeBuffer: out v:1 r:1 pc=0080000038 -[INFO ][time= 566] DecodeBuffer: out v:1 r:1 pc=008000003c -[INFO ][time= 566] DecodeBuffer: out v:1 r:1 pc=0080000040 -[INFO ][time= 566] DecodeBuffer: out v:1 r:1 pc=0080000044 -[INFO ][time= 566] DecodeBuffer: out v:1 r:1 pc=0080000048 -[INFO ][time= 566] DecodeBuffer: out v:1 r:1 pc=008000004c -[ERROR][time= 566] Roq: CSR block should only happen in s_idle -[DEBUG][time= 566] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 566] Roq: dispatched 6 insts -[DEBUG][time= 566] Roq: head 1:10 tail 1: 2 -[DEBUG][time= 566] Roq: --vvvvvwww---------------------- -[DEBUG][time= 566] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 566] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 566] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 566] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 566] Roq: 0080000068 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 566] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 566] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 566] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 566] DispatchQueue_2: LsDpQ: num_enq = 2, tail = (15 -> 1) -[DEBUG][time= 566] DispatchQueue: IntDpQ: num_enq = 4, tail = ( 1 -> 5) -[DEBUG][time= 566] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 566] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 566] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 566] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 566] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 566] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 566] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 566] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 566] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 566] LsExeUnit: retiringStore now... -[DEBUG][time= 566] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483776------> s1 fire!!! -[DEBUG][time= 566] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 566] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 566] FakeCache: [Stage2_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 566] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 566] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 566] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 566] IFU: [IF1]if1_valid:1 || if1_npc:0x008000009c || if1_pcUpdate:1 if1_pc:0x0080000080 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 566] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000080 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 566] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 566] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00000111 || if2_btb_target:0x008000009c -[DEBUG][time= 566] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000060 if3_npc:0x0080000080 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 566] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 566] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 566] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 566] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 566] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 566] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 566] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 566] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 566] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 566] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 566] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 566] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 566] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 566] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 566] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 566] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 566] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 566] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 566] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 566] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 566] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 566] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 566] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 566] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 566] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 566] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 566] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 566] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 566] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 566] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 566] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 566] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 566] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 566] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 566] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 566] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 566] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 566] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 566] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 566] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 566] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 566] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 566] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 566] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 566] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 566] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 566] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 566] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 566] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 566] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 566] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 566] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 566] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 566] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 566] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 566] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 566] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 566] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 566] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 566] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 566] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 566] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 566] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 566] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 566] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 566] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 566] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 566] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 566] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 566] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 566] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 566] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 566] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 566] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 566] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 566] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 566] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 566] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 566] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 566] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 566] IssueQueue_7: tailAll: 4 KID(100) tailDot:00011111 tailDot2:00001111 selDot:01 popDot:00000000 moveDot:00011110 In(0 1) Out(1 0) -[INFO ][time= 566] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 566] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 566] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 566] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 566] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 566] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 566] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 566] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 566] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 566] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 566] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 566] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 566] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 566] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 566] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 566] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 566] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 566] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 566] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 566] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 566] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 566] FreeList: do checkPt at BrqIdx= 6 headPtr:0: 0 -[DEBUG][time= 566] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 566] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 566] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 31 -[DEBUG][time= 566] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 566] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 31 -[DEBUG][time= 566] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 566] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 31 -[DEBUG][time= 566] FreeList_1: req:0 canAlloc:1 pdest: 63 headNext:0: 31 -[DEBUG][time= 566] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 32 -[DEBUG][time= 566] FreeList_1: req:1 canAlloc:1 pdest: 63 headNext:0: 32 -[DEBUG][time= 566] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 33 -[DEBUG][time= 566] FreeList_1: req:1 canAlloc:1 pdest: 64 headNext:0: 33 -[DEBUG][time= 566] FreeList_1: do checkPt at BrqIdx= 6 headPtr:0: 33 -[DEBUG][time= 566] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 566] FreeList_1: head:0: 31 tail:1: 25 -[DEBUG][time= 566] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 566] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 7 -[DEBUG][time= 566] Brq: ---------------- -[DEBUG][time= 566] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 7 -[DEBUG][time= 566] Brq: enq v:1 rdy:1 pc:0080000054 brTag:f:0 v: 7 -[DEBUG][time= 566] Brq: enq v:1 rdy:1 pc:0080000058 brTag:f:0 v: 8 -[DEBUG][time= 566] Brq: enq v:0 rdy:1 pc:008000005c brTag:f:0 v: 9 -[DEBUG][time= 566] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v: 9 -[DEBUG][time= 566] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v: 9 -[DEBUG][time= 567] Tage: req: pc=0x00800000bc, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 567] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 567] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 567] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 567] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 567] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 567] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 567] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 567] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 567] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 567] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 567] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 567] BusyTable_1: Allocate 65 -[DEBUG][time= 567] BusyTable_1: Allocate 66 -[DEBUG][time= 567] BusyTable_1: 59 is busy -[DEBUG][time= 567] BusyTable_1: 60 is busy -[DEBUG][time= 567] BusyTable_1: 61 is busy -[DEBUG][time= 567] BusyTable_1: 62 is busy -[DEBUG][time= 567] BusyTable_1: 63 is busy -[DEBUG][time= 567] BusyTable_1: 64 is busy -[DEBUG][time= 567] BPUStage2: in:(1 1) pc=008000009c out:(1 1) pc=0080000080 -[DEBUG][time= 567] BPUStage2: validLatch=1 pc=0080000080 -[DEBUG][time= 567] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 567] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 567] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 567] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 567] BPUStage1: in:(1 1) pc=00800000bc ghr=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 567] BPUStage1: outPred:(1) pc=0x008000009c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 567] BPUStage3: [RAS]:pc=0x0080000080, rasWritePosition= 1, rasWriteAddr=0x0080000080 -[DEBUG][time= 567] BPUStage3: in:(1 1) pc=0080000080 -[DEBUG][time= 567] BPUStage3: out:1 pc=0080000060 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000080 -[DEBUG][time= 567] BPUStage3: flushS3=0 -[DEBUG][time= 567] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 567] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 567] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 567] JBTAC: read: pc=0x00800000bc, histXORAddr=0x0080000146, bank=3, row= 20, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 567] JBTAC: read_resp: pc=0x008000009c, bank=3, row= 22, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 567] Ibuffer: Enque: -[DEBUG][time= 567] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 567] Ibuffer: 00000000000001010000010110010011 -[DEBUG][time= 567] Ibuffer: 00000000000001010000010100010011 -[DEBUG][time= 567] Ibuffer: 00000000000001010000000001101011 -[DEBUG][time= 567] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 567] Ibuffer: 11000101000001010000010100010011 -[DEBUG][time= 567] Ibuffer: 00111101010100000000000011101111 -[DEBUG][time= 567] Ibuffer: 00000000000000000000000001101111 -[DEBUG][time= 567] Ibuffer: last_head_ptr= 32 last_tail_ptr= 32 -[DEBUG][time= 567] BTB: read: pc=0x00800000bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 567] BTB: read_resp: pc=0x008000009c, readIdx= 78------------------------------- -[DEBUG][time= 567] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 567] BTB: bankIdxInOrder:[DEBUG][time= 567] BTB: 14 [DEBUG][time= 567] BTB: 15 [DEBUG][time= 567] BTB: 0 [DEBUG][time= 567] BTB: 1 [DEBUG][time= 567] BTB: 2 [DEBUG][time= 567] BTB: 3 [DEBUG][time= 567] BTB: 4 [DEBUG][time= 567] BTB: 5 [DEBUG][time= 567] BTB: 6 [DEBUG][time= 567] BTB: 7 [DEBUG][time= 567] BTB: 8 [DEBUG][time= 567] BTB: 9 [DEBUG][time= 567] BTB: 10 [DEBUG][time= 567] BTB: 11 [DEBUG][time= 567] BTB: 12 [DEBUG][time= 567] BTB: 13 [DEBUG][time= 567] BTB: -[INFO ][time= 567] Rename: pc:0080000050 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 65 old_pdest: 60 out v:1 r:1 -[INFO ][time= 567] Rename: pc:0080000054 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 567] Rename: pc:0080000058 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 567] Rename: pc:008000005c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 66 old_pdest: 36 out v:1 r:1 -[INFO ][time= 567] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 64 out v:0 r:1 -[INFO ][time= 567] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 59 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 567] Dispatch1: pc 0x0080000038 accepted by queue 0 0 1 -[INFO ][time= 567] Dispatch1: pc 0x008000003c accepted by queue 0 0 1 -[INFO ][time= 567] Dispatch1: pc 0x0080000040 accepted by queue 0 0 1 -[INFO ][time= 567] Dispatch1: pc 0x0080000044 accepted by queue 1 0 0 -[INFO ][time= 567] Dispatch1: pc 0x0080000048 accepted by queue 1 0 0 -[INFO ][time= 567] Dispatch1: pc 0x008000004c accepted by queue 1 0 0 -[DEBUG][time= 567] Dispatch1: pc 0x0080000038 receives nroq 48 -[DEBUG][time= 567] Dispatch1: v:1 r:1 pc 0x0080000038 of type 1101 is in 0-th slot -[DEBUG][time= 567] Dispatch1: pc 0x008000003c receives nroq 49 -[DEBUG][time= 567] Dispatch1: v:1 r:1 pc 0x008000003c of type 1101 is in 1-th slot -[DEBUG][time= 567] Dispatch1: pc 0x0080000040 receives nroq 50 -[DEBUG][time= 567] Dispatch1: v:1 r:1 pc 0x0080000040 of type 1101 is in 2-th slot -[DEBUG][time= 567] Dispatch1: pc 0x0080000044 receives nroq 51 -[DEBUG][time= 567] Dispatch1: v:1 r:1 pc 0x0080000044 of type 0011 is in 3-th slot -[DEBUG][time= 567] Dispatch1: pc 0x0080000048 receives nroq 52 -[DEBUG][time= 567] Dispatch1: v:1 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 567] Dispatch1: pc 0x008000004c receives nroq 53 -[DEBUG][time= 567] Dispatch1: v:1 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 567] Dispatch2: int dp queue 0: 0080000020 type 0011 -[DEBUG][time= 567] Dispatch2: int dp queue 1: 0080000024 type 0011 -[DEBUG][time= 567] Dispatch2: int dp queue 2: 0080000028 type 0011 -[DEBUG][time= 567] Dispatch2: int dp queue 3: 008000002c type 0011 -[DEBUG][time= 567] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 567] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 567] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 567] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 567] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 567] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 567] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 567] Dispatch2: regfile 0 from 0 -[DEBUG][time= 567] Dispatch2: regfile 1 from 0 -[DEBUG][time= 567] Dispatch2: regfile 2 from 1 -[DEBUG][time= 567] Dispatch2: regfile 3 from 1 -[DEBUG][time= 567] Dispatch2: regfile 4 from 2 -[DEBUG][time= 567] Dispatch2: regfile 5 from 2 -[DEBUG][time= 567] Dispatch2: regfile 6 from 3 -[DEBUG][time= 567] Dispatch2: regfile 7 from 3 -[DEBUG][time= 567] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 2: addr 57, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 4: addr 57, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 6: addr 57, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 9: addr 57, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 12: addr 57, state 1 -[DEBUG][time= 567] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 567] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 567] Dispatch2: pc 0x0080000020 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 567] Dispatch2: pc 0x0080000024 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 567] Dispatch2: pc 0x0080000028 with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 567] Dispatch2: pc 0x008000002c with type 0011 srcState(1 1 0) enters reservation station 4 from 3 -[INFO ][time= 567] Dispatch2: pc 0x0080000030 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 567] Dispatch2: pc 0x0080000020 leaves Int dispatch queue with nroq 42 -[INFO ][time= 567] Dispatch2: pc 0x0080000024 leaves Int dispatch queue with nroq 43 -[INFO ][time= 567] Dispatch2: pc 0x0080000028 leaves Int dispatch queue with nroq 44 -[INFO ][time= 567] Dispatch2: pc 0x008000002c leaves Int dispatch queue with nroq 45 -[INFO ][time= 567] Dispatch2: pc 0x0080000030 leaves Ls dispatch queue with nroq 46 -[DEBUG][time= 567] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[INFO ][time= 567] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 567] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 567] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 567] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 567] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 567] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 567] DecodeBuffer: out v:1 r:1 pc=0080000050 -[INFO ][time= 567] DecodeBuffer: out v:1 r:1 pc=0080000054 -[INFO ][time= 567] DecodeBuffer: out v:1 r:1 pc=0080000058 -[INFO ][time= 567] DecodeBuffer: out v:1 r:1 pc=008000005c -[INFO ][time= 567] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 567] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 567] Roq: CSR block should only happen in s_idle -[DEBUG][time= 567] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 567] Roq: dispatched 6 insts -[DEBUG][time= 567] Roq: head 1:16 tail 1: 2 -[DEBUG][time= 567] Roq: --vvvvvwwwvvvvvv---------------- -[DEBUG][time= 567] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 567] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 567] Roq: 0080000048 w 008000004c w 0080000020 v 0080000024 v -[DEBUG][time= 567] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 567] Roq: 0080000068 - 008000001c - 0080000020 - 0080000024 - -[DEBUG][time= 567] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 - -[DEBUG][time= 567] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 567] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 567] DispatchQueue_2: LsDpQ: num_deq = 1, head = (15 -> 0) -[DEBUG][time= 567] DispatchQueue_2: LsDpQ: num_enq = 3, tail = ( 1 -> 4) -[DEBUG][time= 567] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 15), tail = (0, 1), -[DEBUG][time= 567] DispatchQueue: IntDpQ: num_deq = 4, head = ( 1 -> 5) -[DEBUG][time= 567] DispatchQueue: IntDpQ: num_enq = 3, tail = ( 5 -> 8) -[DEBUG][time= 567] DispatchQueue: IntDpQ: valid_entries = 4, head = (1, 1), tail = (1, 5), -[DEBUG][time= 567] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 567] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 567] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 567] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 567] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 567] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 567] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 567] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 567] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 567] LsExeUnit: retiringStore now... -[DEBUG][time= 567] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483804------> s1 fire!!! -[DEBUG][time= 567] FakeCache: [Stage1_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 567] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 567] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 567] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 567] FakeCache: [Stage3_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 567] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 567] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000bc || if1_pcUpdate:1 if1_pc:0x008000009c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 567] IFU: [IF2]if2_valid:1 || if2_pc:0x008000009c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 567] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 567] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 567] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000080 if3_npc:0x008000009c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 567] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000060 if4_npc:0x0080000080 -[DEBUG][time= 567] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000080 -[DEBUG][time= 567] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] starPC:0x0080000060 GroupPC:0x0080000060n -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000064 -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction 00050593 pnpc:0x0080000068 -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction 00050513 pnpc:0x008000006c -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction 0005006b pnpc:0x0080000070 -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000074 -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction c5050513 pnpc:0x0080000078 -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction 3d5000ef pnpc:0x008000007c -[DEBUG][time= 567] IFU: [IFU-Out-FetchPacket] instruction 0000006f pnpc:0x0080000080 -[DEBUG][time= 567] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 567] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 567] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 567] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 567] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 567] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 567] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 567] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 567] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 567] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 567] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 567] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 57:1 0:1 0:0) Dest: 59 oldDest: 53 pc:0080000020 roqIdx:2a -[DEBUG][time= 567] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 567] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 567] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 567] IssueQueue_1: 0 |0|1| 53|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000044|27 <- -[DEBUG][time= 567] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d -[DEBUG][time= 567] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 567] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 567] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 567] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 567] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 567] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 567] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 57:1 0:1 0:0) Dest: 60 oldDest: 54 pc:0080000024 roqIdx:2b -[DEBUG][time= 567] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 567] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 567] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 567] IssueQueue_2: 0 |0|1| 51|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 5 |0080000024|1f <- -[DEBUG][time= 567] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 -[DEBUG][time= 567] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 567] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 567] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 567] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 567] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 567] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 567] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 57:1 0:1 0:0) Dest: 61 oldDest: 55 pc:0080000028 roqIdx:2c -[DEBUG][time= 567] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 567] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 567] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 567] IssueQueue_3: 2 |0|1| 51|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 5 |0080000028|20 <- -[DEBUG][time= 567] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 -[DEBUG][time= 567] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 567] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 567] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 567] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 567] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 567] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 567] IssueQueue_4: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 57:1 0:1 0:0) Dest: 62 oldDest: 56 pc:008000002c roqIdx:2d -[DEBUG][time= 567] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 567] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 567] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 567] IssueQueue_4: 1 |0|1| 51|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 5 |008000002c|21 <- -[DEBUG][time= 567] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a -[DEBUG][time= 567] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 567] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 567] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 567] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 567] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 567] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 567] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 567] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 567] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 567] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 567] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 567] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 567] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 567] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 567] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 567] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 567] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 567] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 567] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 567] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 567] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 567] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 567] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 567] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 567] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 567] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 567] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 567] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 567] IssueQueue_7: EnqCtrl(1 1) enqSelIq:4 Psrc/Rdy( 58:1 57:1 0:0) Dest: 32 oldDest: 0 pc:0080000030 roqIdx:2e -[DEBUG][time= 567] IssueQueue_7: tailAll: 4 KID(010) tailDot:00011111 tailDot2:00001111 selDot:01 popDot:00000000 moveDot:00011110 In(1 1) Out(1 0) -[INFO ][time= 567] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 567] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 567] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 567] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 567] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 567] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 567] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 567] IssueQueue_7: 4 |0|0| 52|1|b358a85c2d5a3617| 92|0|e246accde856f62e| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 567] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 567] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 567] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 567] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 567] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 567] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 567] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 567] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 567] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 567] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 567] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 567] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 567] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 567] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 567] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 34 -[DEBUG][time= 567] FreeList_1: req:1 canAlloc:1 pdest: 65 headNext:0: 34 -[DEBUG][time= 567] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 34 -[DEBUG][time= 567] FreeList_1: req:0 canAlloc:1 pdest: 66 headNext:0: 34 -[DEBUG][time= 567] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 34 -[DEBUG][time= 567] FreeList_1: req:0 canAlloc:1 pdest: 66 headNext:0: 34 -[DEBUG][time= 567] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 35 -[DEBUG][time= 567] FreeList_1: req:1 canAlloc:1 pdest: 66 headNext:0: 35 -[DEBUG][time= 567] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 567] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 567] FreeList_1: head:0: 33 tail:1: 25 -[DEBUG][time= 567] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 567] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 9 -[DEBUG][time= 567] Brq: ---------------- -[DEBUG][time= 567] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 9 -[DEBUG][time= 567] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 9 -[DEBUG][time= 567] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 9 -[DEBUG][time= 567] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 9 -[DEBUG][time= 567] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 9 -[DEBUG][time= 567] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 9 -[DEBUG][time= 568] Tage: req: pc=0x00800000dc, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 568] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 568] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 568] XSCore: c-mem(0 0 00000e80| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000eb8| 0 1) tlb (1 0 0080000eb8| 0 1) -[DEBUG][time= 568] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 568] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 568] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 568] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 568] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 568] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 568] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 568] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 568] BusyTable_1: writeback 59 -[DEBUG][time= 568] BusyTable_1: writeback 60 -[DEBUG][time= 568] BusyTable_1: writeback 61 -[DEBUG][time= 568] BusyTable_1: writeback 62 -[DEBUG][time= 568] BusyTable_1: 59 is busy -[DEBUG][time= 568] BusyTable_1: 60 is busy -[DEBUG][time= 568] BusyTable_1: 61 is busy -[DEBUG][time= 568] BusyTable_1: 62 is busy -[DEBUG][time= 568] BusyTable_1: 63 is busy -[DEBUG][time= 568] BusyTable_1: 64 is busy -[DEBUG][time= 568] BusyTable_1: 65 is busy -[DEBUG][time= 568] BusyTable_1: 66 is busy -[DEBUG][time= 568] BPUStage2: in:(1 1) pc=00800000bc out:(1 1) pc=008000009c -[DEBUG][time= 568] BPUStage2: validLatch=1 pc=008000009c -[DEBUG][time= 568] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 568] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 568] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 568] DispatchGen: priority: data(3) = 1, priority = 3 -[INFO ][time= 568] WriteBackArbMtoN: out(1) pc(0x0080000020) writebacks 0x0000000000000003 to pdest( 59) ldest(13) -[INFO ][time= 568] WriteBackArbMtoN: out(2) pc(0x0080000024) writebacks 0x0000000000000004 to pdest( 60) ldest(10) -[INFO ][time= 568] WriteBackArbMtoN: out(3) pc(0x0080000028) writebacks 0x0000000000000005 to pdest( 61) ldest(11) -[INFO ][time= 568] WriteBackArbMtoN: out(4) pc(0x008000002c) writebacks 0x0000000000000006 to pdest( 62) ldest(12) -[DEBUG][time= 568] BPUStage1: in:(1 1) pc=00800000dc ghr=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 568] BPUStage1: outPred:(1) pc=0x00800000bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 568] BPUStage3: [RAS]:pc=0x008000009c, rasWritePosition= 1, rasWriteAddr=0x008000008c -[DEBUG][time= 568] BPUStage3: in:(1 1) pc=008000009c -[DEBUG][time= 568] BPUStage3: out:1 pc=0080000080 redirect=0 predcdMask=11111111 instrValid=00000111 tgt=008000009c -[DEBUG][time= 568] BPUStage3: flushS3=0 -[DEBUG][time= 568] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 568] BPUStage3: jmpIdx=2, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=2 retIdx=7 -[DEBUG][time= 568] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=1 -[DEBUG][time= 568] JBTAC: read: pc=0x00800000dc, histXORAddr=0x0080000126, bank=3, row= 18, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 568] JBTAC: read_resp: pc=0x00800000bc, bank=3, row= 20, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 568] Frontend: inst:00113423 pc:0080000060 -[INFO ][time= 568] Frontend: inst:00050593 pc:0080000064 -[INFO ][time= 568] Frontend: inst:00050513 pc:0080000068 -[INFO ][time= 568] Frontend: inst:0005006b pc:008000006c -[INFO ][time= 568] Frontend: inst:00001517 pc:0080000070 -[INFO ][time= 568] Frontend: inst:c5050513 pc:0080000074 -[DEBUG][time= 568] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 568] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000064 instr:00050593 -[DEBUG][time= 568] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000068 instr:00050513 -[DEBUG][time= 568] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000006c instr:0005006b -[DEBUG][time= 568] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 568] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 568] Ibuffer: Enque: -[DEBUG][time= 568] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 568] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 568] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 568] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 568] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 568] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 568] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 568] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 568] Ibuffer: Deque: -[DEBUG][time= 568] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=1 -[DEBUG][time= 568] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=1 r=1 -[DEBUG][time= 568] Ibuffer: 00000000000001010000010100010011 PC=0080000068 v=1 r=1 -[DEBUG][time= 568] Ibuffer: 00000000000001010000000001101011 PC=008000006c v=1 r=1 -[DEBUG][time= 568] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=1 -[DEBUG][time= 568] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=1 -[DEBUG][time= 568] Ibuffer: last_head_ptr= 32 last_tail_ptr= 48 -[DEBUG][time= 568] BTB: read: pc=0x00800000dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 568] BTB: read_resp: pc=0x00800000bc, readIdx= 94------------------------------- -[DEBUG][time= 568] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 568] BTB: bankIdxInOrder:[DEBUG][time= 568] BTB: 14 [DEBUG][time= 568] BTB: 15 [DEBUG][time= 568] BTB: 0 [DEBUG][time= 568] BTB: 1 [DEBUG][time= 568] BTB: 2 [DEBUG][time= 568] BTB: 3 [DEBUG][time= 568] BTB: 4 [DEBUG][time= 568] BTB: 5 [DEBUG][time= 568] BTB: 6 [DEBUG][time= 568] BTB: 7 [DEBUG][time= 568] BTB: 8 [DEBUG][time= 568] BTB: 9 [DEBUG][time= 568] BTB: 10 [DEBUG][time= 568] BTB: 11 [DEBUG][time= 568] BTB: 12 [DEBUG][time= 568] BTB: 13 [DEBUG][time= 568] BTB: -[DEBUG][time= 568] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 2 -[DEBUG][time= 568] AluExeUnit: src1:0000000000000002 src2:0000000000000001 offset:0000000000000001 func:0000000 pc:0000000080000020 -[DEBUG][time= 568] AluExeUnit: res:0000000000000003 aluRes:00000000000000003 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000003 taken:0 -[DEBUG][time= 568] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 2 -[DEBUG][time= 568] AluExeUnit_1: src1:0000000000000002 src2:0000000000000002 offset:0000000000000002 func:0000000 pc:0000000080000024 -[DEBUG][time= 568] AluExeUnit_1: res:0000000000000004 aluRes:00000000000000004 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000004 taken:1 -[DEBUG][time= 568] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 2 -[DEBUG][time= 568] AluExeUnit_2: src1:0000000000000002 src2:0000000000000003 offset:0000000000000003 func:0000000 pc:0000000080000028 -[DEBUG][time= 568] AluExeUnit_2: res:0000000000000005 aluRes:00000000000000005 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000005 taken:0 -[DEBUG][time= 568] AluExeUnit_3: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 2 -[DEBUG][time= 568] AluExeUnit_3: src1:0000000000000002 src2:0000000000000004 offset:0000000000000004 func:0000000 pc:000000008000002c -[DEBUG][time= 568] AluExeUnit_3: res:0000000000000006 aluRes:00000000000000006 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000006 taken:0 -[INFO ][time= 568] Rename: pc:0080000050 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 65 out v:0 r:1 -[INFO ][time= 568] Rename: pc:0080000054 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 568] Rename: pc:0080000058 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 568] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 66 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 66 out v:0 r:1 -[INFO ][time= 568] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 64 out v:0 r:1 -[INFO ][time= 568] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 59 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 568] Dispatch1: pc 0x0080000050 accepted by queue 1 0 0 -[INFO ][time= 568] Dispatch1: pc 0x0080000054 accepted by queue 1 0 0 -[INFO ][time= 568] Dispatch1: pc 0x0080000058 accepted by queue 1 0 0 -[INFO ][time= 568] Dispatch1: pc 0x008000005c accepted by queue 1 0 0 -[DEBUG][time= 568] Dispatch1: pc 0x0080000050 receives nroq 54 -[DEBUG][time= 568] Dispatch1: v:1 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 568] Dispatch1: pc 0x0080000054 receives nroq 55 -[DEBUG][time= 568] Dispatch1: v:1 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 568] Dispatch1: pc 0x0080000058 receives nroq 56 -[DEBUG][time= 568] Dispatch1: v:1 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 568] Dispatch1: pc 0x008000005c receives nroq 57 -[DEBUG][time= 568] Dispatch1: v:1 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 568] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 568] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 568] Dispatch2: int dp queue 0: 0080000044 type 0011 -[DEBUG][time= 568] Dispatch2: int dp queue 1: 0080000048 type 0011 -[DEBUG][time= 568] Dispatch2: int dp queue 2: 008000004c type 0011 -[DEBUG][time= 568] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 568] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 568] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 568] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 568] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 568] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 568] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 568] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 568] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 568] Dispatch2: regfile 0 from 0 -[DEBUG][time= 568] Dispatch2: regfile 1 from 0 -[DEBUG][time= 568] Dispatch2: regfile 2 from 1 -[DEBUG][time= 568] Dispatch2: regfile 3 from 1 -[DEBUG][time= 568] Dispatch2: regfile 4 from 2 -[DEBUG][time= 568] Dispatch2: regfile 5 from 2 -[DEBUG][time= 568] Dispatch2: regfile 6 from 3 -[DEBUG][time= 568] Dispatch2: regfile 7 from 3 -[DEBUG][time= 568] Dispatch2: int regfile 0: addr 59, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 2: addr 58, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 4: addr 59, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 6: addr 51, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 9: addr 59, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 568] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 568] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 568] Dispatch2: pc 0x0080000044 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 568] Dispatch2: pc 0x0080000048 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 568] Dispatch2: pc 0x008000004c with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 568] Dispatch2: pc 0x0080000034 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 568] Dispatch2: pc 0x0080000044 leaves Int dispatch queue with nroq 51 -[INFO ][time= 568] Dispatch2: pc 0x0080000048 leaves Int dispatch queue with nroq 52 -[INFO ][time= 568] Dispatch2: pc 0x008000004c leaves Int dispatch queue with nroq 53 -[INFO ][time= 568] Dispatch2: pc 0x0080000034 leaves Ls dispatch queue with nroq 47 -[DEBUG][time= 568] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 568] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 568] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[DEBUG][time= 568] Dispatch2: pc 0x0080000020 reads operands from ( 0, 57, 0000000000000002), ( 1, 0, 0000000000000001), ( 0, 0, 0000000000000000) -[DEBUG][time= 568] Dispatch2: pc 0x0080000024 reads operands from ( 2, 57, 0000000000000002), ( 3, 0, 0000000000000002), ( 0, 0, 0000000000000000) -[DEBUG][time= 568] Dispatch2: pc 0x0080000028 reads operands from ( 4, 57, 0000000000000002), ( 5, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[DEBUG][time= 568] Dispatch2: pc 0x008000002c reads operands from ( 6, 57, 0000000000000002), ( 7, 0, 0000000000000004), ( 0, 0, 0000000000000000) -[DEBUG][time= 568] Dispatch2: pc 0x0080000030 reads operands from ( 8, 58, 0000000080000f00), ( 9, 57, 0000000000000002), ( 0, 0, 0000000000000000) -[INFO ][time= 568] DecodeBuffer: in v:1 r:1 pc=0080000060 -[INFO ][time= 568] DecodeBuffer: in v:1 r:1 pc=0080000064 -[INFO ][time= 568] DecodeBuffer: in v:1 r:1 pc=0080000068 -[INFO ][time= 568] DecodeBuffer: in v:1 r:1 pc=008000006c -[INFO ][time= 568] DecodeBuffer: in v:1 r:1 pc=0080000070 -[INFO ][time= 568] DecodeBuffer: in v:1 r:1 pc=0080000074 -[INFO ][time= 568] DecodeBuffer: out v:0 r:1 pc=0080000050 -[INFO ][time= 568] DecodeBuffer: out v:0 r:1 pc=0080000054 -[INFO ][time= 568] DecodeBuffer: out v:0 r:1 pc=0080000058 -[INFO ][time= 568] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 568] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 568] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 568] Roq: CSR block should only happen in s_idle -[DEBUG][time= 568] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 0) (1, 0) -[INFO ][time= 568] Roq: dispatched 4 insts -[INFO ][time= 568] Roq: writebacked 4 insts -[INFO ][time= 568] Roq: writebacked pc 0x0080000020 wen 1 data 0x0000000000000003 ldst 13 pdst 59 skip 0 -[INFO ][time= 568] Roq: writebacked pc 0x0080000024 wen 1 data 0x0000000000000004 ldst 10 pdst 60 skip 0 -[INFO ][time= 568] Roq: writebacked pc 0x0080000028 wen 1 data 0x0000000000000005 ldst 11 pdst 61 skip 0 -[INFO ][time= 568] Roq: writebacked pc 0x008000002c wen 1 data 0x0000000000000006 ldst 12 pdst 62 skip 0 -[DEBUG][time= 568] Roq: head 1:22 tail 1: 2 -[DEBUG][time= 568] Roq: --vvvvvwwwvvvvvvvvvvvv---------- -[DEBUG][time= 568] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 568] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 568] Roq: 0080000048 w 008000004c w 0080000020 v 0080000024 v -[DEBUG][time= 568] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 568] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 568] Roq: 0080000048 v 008000004c v 0080000030 - 0080000034 - -[DEBUG][time= 568] Roq: 0080000038 - 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 568] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 568] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 0 -> 1) -[DEBUG][time= 568] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 0), tail = (0, 4), -[DEBUG][time= 568] DispatchQueue: IntDpQ: num_deq = 3, head = ( 5 -> 8) -[DEBUG][time= 568] DispatchQueue: IntDpQ: num_enq = 4, tail = ( 8 -> 12) -[DEBUG][time= 568] DispatchQueue: IntDpQ: valid_entries = 3, head = (1, 5), tail = (1, 8), -[DEBUG][time= 568] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 568] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 568] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 568] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 568] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 568] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 568] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 568] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 568] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 568] LsExeUnit: retiringStore now... -[DEBUG][time= 568] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483836------> s1 fire!!! -[DEBUG][time= 568] FakeCache: [Stage1_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 568] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 568] FakeCache: [Stage2_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 568] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 568] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 568] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 568] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000dc || if1_pcUpdate:1 if1_pc:0x00800000bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 568] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 568] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 568] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 568] IFU: [IF3]if3_valid:1 || if3_pc:0x008000009c if3_npc:0x00800000bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 568] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000080 if4_npc:0x008000009c -[DEBUG][time= 568] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:00000111 || if4_tage_target:0x008000009c -[DEBUG][time= 568] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] starPC:0x0080000080 GroupPC:0x0080000080n -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instrmask 0000000000111111 -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000009c -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 568] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 568] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 568] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 568] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 568] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 568] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 568] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 568] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 568] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 568] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 568] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 568] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 568] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 59:1 0:1 0:0) Dest: 63 oldDest: 57 pc:0080000044 roqIdx:33 -[INFO ][time= 568] IssueQueue_1: EnqData: src1:0000000000000002 src2:0000000000000001 src3:0000000000000000 pc:0080000020 roqIdx:2a(for last cycle's Ctrl) -[INFO ][time= 568] IssueQueue_1: Deq:(1 1) [ 57|0000000000000002][ 0|0000000000000001][ 0|c63124ed8740c04f] pdest: 59 pc:0080000020 roqIdx:2a -[DEBUG][time= 568] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 568] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 568] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 568] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 568] IssueQueue_1: 0 |1|1| 57|1|0000000000000002| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000020|2a -[DEBUG][time= 568] IssueQueue_1: 1 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 8 |008000005c|2d <- -[DEBUG][time= 568] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 568] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 568] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 568] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 568] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 568] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 568] IssueQueue_2: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 58:1 0:1 0:0) Dest: 64 oldDest: 58 pc:0080000048 roqIdx:34 -[INFO ][time= 568] IssueQueue_2: EnqData: src1:0000000000000002 src2:0000000000000002 src3:0000000000000000 pc:0080000024 roqIdx:2b(for last cycle's Ctrl) -[INFO ][time= 568] IssueQueue_2: Deq:(1 1) [ 57|0000000000000002][ 0|0000000000000002][ 0|56e780095a4543a2] pdest: 60 pc:0080000024 roqIdx:2b -[DEBUG][time= 568] IssueQueue_2: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 568] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 60) -[DEBUG][time= 568] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 568] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 568] IssueQueue_2: 0 |1|1| 57|1|0000000000000001| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b -[DEBUG][time= 568] IssueQueue_2: 2 |0|1| 52|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 5 |0080000048|28 <- -[DEBUG][time= 568] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 568] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 568] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 568] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 568] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 568] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 568] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 59:1 46:1 0:0) Dest: 32 oldDest: 0 pc:008000004c roqIdx:35 -[INFO ][time= 568] IssueQueue_3: EnqData: src1:0000000000000002 src2:0000000000000003 src3:0000000000000000 pc:0080000028 roqIdx:2c(for last cycle's Ctrl) -[INFO ][time= 568] IssueQueue_3: Deq:(1 1) [ 57|0000000000000002][ 0|0000000000000003][ 0|04ea9d532908f0c8] pdest: 61 pc:0080000028 roqIdx:2c -[DEBUG][time= 568] IssueQueue_3: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 568] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 61) -[DEBUG][time= 568] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 568] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 568] IssueQueue_3: 2 |1|1| 57|1|0000000000000001| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c -[DEBUG][time= 568] IssueQueue_3: 1 |0|1| 53|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 5 |008000004c|29 <- -[DEBUG][time= 568] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 568] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 568] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 568] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 568] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 568] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 568] IssueQueue_4: EnqData: src1:0000000000000002 src2:0000000000000004 src3:0000000000000000 pc:008000002c roqIdx:2d(for last cycle's Ctrl) -[INFO ][time= 568] IssueQueue_4: Deq:(1 1) [ 57|0000000000000002][ 0|0000000000000004][ 0|cc3111a43502079a] pdest: 62 pc:008000002c roqIdx:2d -[DEBUG][time= 568] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 568] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 62) -[DEBUG][time= 568] IssueQueue_4: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 568] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 568] IssueQueue_4: 1 |1|1| 57|1|0000000000000001| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d -[DEBUG][time= 568] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a <- -[DEBUG][time= 568] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 568] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 568] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 568] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 568] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 568] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 568] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 568] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 568] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 568] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 568] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 568] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 568] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 568] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 568] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 568] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 568] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 568] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 568] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 568] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 568] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 568] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 568] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 568] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 568] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 568] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 568] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 568] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 568] IssueQueue_7: EnqCtrl(1 1) enqSelIq:5 Psrc/Rdy( 58:1 59:1 0:0) Dest: 32 oldDest: 0 pc:0080000034 roqIdx:2f -[INFO ][time= 568] IssueQueue_7: EnqData: src1:0000000080000f00 src2:0000000000000002 src3:0000000000000000 pc:0080000030 roqIdx:2e(for last cycle's Ctrl) -[DEBUG][time= 568] IssueQueue_7: tailAll: 5 KID(010) tailDot:00111111 tailDot2:00011111 selDot:01 popDot:00000000 moveDot:00111110 In(1 1) Out(1 0) -[INFO ][time= 568] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 568] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 568] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 568] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 568] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 568] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 568] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 568] IssueQueue_7: 4 |1|1| 58|1|b358a85c2d5a3617| 57|1|e246accde856f62e| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 568] IssueQueue_7: 5 |0|1| 52|1|67f9b9a83967b3c8| 92|1|14aebf80d4026f54| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 568] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 568] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 568] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 568] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 568] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 568] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 568] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 568] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 568] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 568] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 568] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 568] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 568] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 568] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 568] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 568] FreeList_1: head:0: 35 tail:1: 25 -[DEBUG][time= 568] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 568] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 9 -[DEBUG][time= 568] Brq: ---------------- -[DEBUG][time= 568] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v: 9 -[DEBUG][time= 568] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v: 9 -[DEBUG][time= 568] Brq: enq v:0 rdy:1 pc:0080000068 brTag:f:0 v: 9 -[DEBUG][time= 568] Brq: enq v:0 rdy:1 pc:008000006c brTag:f:0 v: 9 -[DEBUG][time= 568] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v: 9 -[DEBUG][time= 568] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v: 9 -[DEBUG][time= 569] Tage: req: pc=0x00800000fc, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 569] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 569] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 569] XSCore: c-mem(0 0 00000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000eb8| 1 1) tlb (1 0 0080000eb8| 1 1) -[DEBUG][time= 569] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 569] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 569] RegfileReadPortGen: int 1: want 0100, deqChoice: 1 -[DEBUG][time= 569] RegfileReadPortGen: int 2: want 0000, deqChoice: 3 -[DEBUG][time= 569] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 569] RegfileReadPortGen: dynamicExuSrc 0: 1 0100 -[DEBUG][time= 569] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 569] RegfileReadPortGen: dynamicExuSrc 2: 2 0010 -[DEBUG][time= 569] BusyTable_1: Allocate 67 -[DEBUG][time= 569] BusyTable_1: Allocate 68 -[DEBUG][time= 569] BusyTable_1: writeback 63 -[DEBUG][time= 569] BusyTable_1: writeback 64 -[DEBUG][time= 569] BusyTable_1: 63 is busy -[DEBUG][time= 569] BusyTable_1: 64 is busy -[DEBUG][time= 569] BusyTable_1: 65 is busy -[DEBUG][time= 569] BusyTable_1: 66 is busy -[DEBUG][time= 569] BPUStage2: in:(1 1) pc=00800000dc out:(1 1) pc=00800000bc -[DEBUG][time= 569] BPUStage2: validLatch=1 pc=00800000bc -[DEBUG][time= 569] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 569] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 569] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 569] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 569] WriteBackArbMtoN: out(1) pc(0x0080000044) writebacks 0x0000000000000003 to pdest( 63) ldest(15) -[INFO ][time= 569] WriteBackArbMtoN: out(2) pc(0x0080000048) writebacks 0x0000000080000f28 to pdest( 64) ldest(14) -[DEBUG][time= 569] BPUStage1: in:(1 1) pc=00800000fc ghr=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 569] BPUStage1: outPred:(1) pc=0x00800000dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 569] BPUStage3: [RAS]:pc=0x00800000bc, rasWritePosition= 2, rasWriteAddr=0x00800000bc -[DEBUG][time= 569] BPUStage3: in:(1 1) pc=00800000bc -[DEBUG][time= 569] BPUStage3: out:1 pc=008000009c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000bc -[DEBUG][time= 569] BPUStage3: flushS3=0 -[DEBUG][time= 569] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 569] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 569] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 569] JBTAC: read: pc=0x00800000fc, histXORAddr=0x0080000106, bank=3, row= 16, hist=0000000000000000000000000000000000000000000000000000000011111101 -[DEBUG][time= 569] JBTAC: read_resp: pc=0x00800000dc, bank=3, row= 18, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 569] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 569] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 569] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 569] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 569] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 569] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 569] Ibuffer: Enque: -[DEBUG][time= 569] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 569] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 569] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 569] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 569] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 569] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 569] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 569] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 569] Ibuffer: Deque: -[DEBUG][time= 569] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 569] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 569] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 569] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 569] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 569] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=0 -[DEBUG][time= 569] Ibuffer: last_head_ptr= 44 last_tail_ptr= 54 -[DEBUG][time= 569] BTB: read: pc=0x00800000fc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 569] BTB: read_resp: pc=0x00800000dc, readIdx=110------------------------------- -[DEBUG][time= 569] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 569] BTB: bankIdxInOrder:[DEBUG][time= 569] BTB: 14 [DEBUG][time= 569] BTB: 15 [DEBUG][time= 569] BTB: 0 [DEBUG][time= 569] BTB: 1 [DEBUG][time= 569] BTB: 2 [DEBUG][time= 569] BTB: 3 [DEBUG][time= 569] BTB: 4 [DEBUG][time= 569] BTB: 5 [DEBUG][time= 569] BTB: 6 [DEBUG][time= 569] BTB: 7 [DEBUG][time= 569] BTB: 8 [DEBUG][time= 569] BTB: 9 [DEBUG][time= 569] BTB: 10 [DEBUG][time= 569] BTB: 11 [DEBUG][time= 569] BTB: 12 [DEBUG][time= 569] BTB: 13 [DEBUG][time= 569] BTB: -[DEBUG][time= 569] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 2 -[DEBUG][time= 569] AluExeUnit: src1:0000000000000003 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000044 -[DEBUG][time= 569] AluExeUnit: res:0000000000000003 aluRes:00000000000000003 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000003 taken:0 -[DEBUG][time= 569] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 2 -[DEBUG][time= 569] AluExeUnit_1: src1:0000000080000f00 src2:0000000000000028 offset:0000000000000028 func:0000000 pc:0000000080000048 -[DEBUG][time= 569] AluExeUnit_1: res:0000000080000f28 aluRes:00000000080000f28 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000f28 taken:0 -[DEBUG][time= 569] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 2 -[DEBUG][time= 569] AluExeUnit_2: src1:0000000000000003 src2:0000000000000005 offset:ffffffffffffffd4 func:0010001 pc:000000008000004c -[DEBUG][time= 569] AluExeUnit_2: res:0000000000000060 aluRes:00000000000000060 isRVC:0 isBru:1 isBranch:1 isJump:0 target:0080000020 taken:1 -[INFO ][time= 569] Rename: pc:0080000060 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 66 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 569] Rename: pc:0080000064 in v:1 in rdy:1 lsrc1:10 -> psrc1: 65 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 67 old_pdest: 61 out v:1 r:1 -[INFO ][time= 569] Rename: pc:0080000068 in v:1 in rdy:1 lsrc1:10 -> psrc1: 65 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 68 old_pdest: 65 out v:1 r:1 -[INFO ][time= 569] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 68 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 569] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 68 out v:0 r:1 -[INFO ][time= 569] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 68 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 68 out v:0 r:1 -[DEBUG][time= 569] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 569] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 569] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 569] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 569] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 569] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 569] Dispatch2: int dp queue 0: 0080000050 type 0011 -[DEBUG][time= 569] Dispatch2: int dp queue 1: 0080000054 type 0000 -[DEBUG][time= 569] Dispatch2: int dp queue 2: 0080000058 type 0000 -[DEBUG][time= 569] Dispatch2: int dp queue 3: 008000005c type 0011 -[DEBUG][time= 569] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 569] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 569] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 569] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 569] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 569] Dispatch2: dispatch to iq index 4: 0 -[DEBUG][time= 569] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 569] Dispatch2: regfile 0 from 3 -[DEBUG][time= 569] Dispatch2: regfile 1 from 3 -[DEBUG][time= 569] Dispatch2: regfile 2 from 1 -[DEBUG][time= 569] Dispatch2: regfile 3 from 1 -[DEBUG][time= 569] Dispatch2: regfile 4 from 0 -[DEBUG][time= 569] Dispatch2: regfile 5 from 0 -[DEBUG][time= 569] Dispatch2: regfile 6 from 0 -[DEBUG][time= 569] Dispatch2: regfile 7 from 0 -[DEBUG][time= 569] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 2: addr 42, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 9: addr 60, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 12: addr 60, state 1 -[DEBUG][time= 569] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 569] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 569] Dispatch2: pc 0x0080000054 with type 0000 srcState(1 1 0) enters reservation station 0 from 1 -[INFO ][time= 569] Dispatch2: pc 0x008000005c with type 0011 srcState(1 1 0) enters reservation station 1 from 3 -[INFO ][time= 569] Dispatch2: pc 0x0080000050 with type 0011 srcState(1 1 0) enters reservation station 4 from 0 -[INFO ][time= 569] Dispatch2: pc 0x0080000038 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 569] Dispatch2: pc 0x0080000050 leaves Int dispatch queue with nroq 54 -[INFO ][time= 569] Dispatch2: pc 0x0080000054 leaves Int dispatch queue with nroq 55 -[DEBUG][time= 569] Dispatch2: pc 0x0080000058 waits at Int dispatch queue with index 2 -[INFO ][time= 569] Dispatch2: pc 0x008000005c leaves Int dispatch queue with nroq 57 -[INFO ][time= 569] Dispatch2: pc 0x0080000038 leaves Ls dispatch queue with nroq 48 -[DEBUG][time= 569] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 569] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[DEBUG][time= 569] Dispatch2: pc 0x0080000044 reads operands from ( 0, 59, 0000000000000003), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 569] Dispatch2: pc 0x0080000048 reads operands from ( 2, 58, 0000000080000f00), ( 3, 0, 0000000000000028), ( 0, 0, 0000000000000000) -[DEBUG][time= 569] Dispatch2: pc 0x008000004c reads operands from ( 4, 59, 0000000000000003), ( 5, 46, 0000000000000005), ( 0, 0, 0000000000000000) -[DEBUG][time= 569] Dispatch2: pc 0x0080000034 reads operands from ( 8, 58, 0000000080000f00), ( 9, 59, 0000000000000003), ( 0, 0, 0000000000000000) -[INFO ][time= 569] DecodeBuffer: in v:1 r:0 pc=0080000078 -[INFO ][time= 569] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 569] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 569] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 569] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 569] DecodeBuffer: in v:0 r:0 pc=0080000078 -[INFO ][time= 569] DecodeBuffer: out v:1 r:1 pc=0080000060 -[INFO ][time= 569] DecodeBuffer: out v:1 r:1 pc=0080000064 -[INFO ][time= 569] DecodeBuffer: out v:1 r:1 pc=0080000068 -[INFO ][time= 569] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 569] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 569] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 569] Roq: CSR block should only happen in s_idle -[DEBUG][time= 569] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 569] Roq: writebacked 2 insts -[INFO ][time= 569] Roq: writebacked pc 0x0080000044 wen 1 data 0x0000000000000003 ldst 15 pdst 63 skip 0 -[INFO ][time= 569] Roq: writebacked pc 0x0080000048 wen 1 data 0x0000000080000f28 ldst 14 pdst 64 skip 0 -[DEBUG][time= 569] Roq: head 1:26 tail 1: 2 -[DEBUG][time= 569] Roq: --vvvvvwwwwwwwvvvvvvvvvvvv------ -[DEBUG][time= 569] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 569] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 569] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 569] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 569] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 569] Roq: 0080000048 v 008000004c v 0080000050 v 0080000054 v -[DEBUG][time= 569] Roq: 0080000058 v 008000005c v 0080000040 - 0080000044 - -[DEBUG][time= 569] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 569] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 1 -> 2) -[DEBUG][time= 569] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 1), tail = (0, 4), -[DEBUG][time= 569] DispatchQueue: IntDpQ: num_deq = 2, head = ( 8 -> 10) -[DEBUG][time= 569] DispatchQueue: IntDpQ: valid_entries = 4, head = (1, 8), tail = (1, 12), -[DEBUG][time= 569] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 569] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 569] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 569] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 569] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 569] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 569] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 569] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 569] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 569] LsExeUnit: retiringStore now... -[INFO ][time= 569] LsExeUnit: [DMEM RESP] data 0000000000000001 -[DEBUG][time= 569] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483868------> s1 fire!!! -[DEBUG][time= 569] FakeCache: [Stage1_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 569] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 569] FakeCache: [Stage2_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 569] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 569] FakeCache: [Stage3_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 569] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 569] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000fc || if1_pcUpdate:1 if1_pc:0x00800000dc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 569] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000dc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 569] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 569] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 569] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000bc if3_npc:0x00800000dc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 569] IFU: [IF4]if4_valid:1 || if4_pc:0x008000009c if4_npc:0x00800000bc -[DEBUG][time= 569] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000bc -[DEBUG][time= 569] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] starPC:0x008000009c GroupPC:0x0080000080n -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 569] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[INFO ][time= 569] IssueQueue: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 42:1 0:1 0:0) Dest: 0 oldDest: 0 pc:0080000054 roqIdx:37 -[DEBUG][time= 569] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 569] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 569] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 569] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 5 |0080000054|1f <- -[DEBUG][time= 569] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b -[DEBUG][time= 569] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 569] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 569] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 569] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 569] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 569] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 569] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 36:1 0:1 0:0) Dest: 66 oldDest: 36 pc:008000005c roqIdx:39 -[INFO ][time= 569] IssueQueue_1: EnqData: src1:0000000000000003 src2:0000000000000000 src3:0000000000000000 pc:0080000044 roqIdx:33(for last cycle's Ctrl) -[INFO ][time= 569] IssueQueue_1: Deq:(1 1) [ 59|0000000000000003][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 63 pc:0080000044 roqIdx:33 -[DEBUG][time= 569] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 569] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 63) -[DEBUG][time= 569] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 569] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 569] IssueQueue_1: 1 |1|1| 59|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 6 |0080000044|33 -[DEBUG][time= 569] IssueQueue_1: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000001| 0|0|0000000000000000| 6 |0080000020|2a <- -[DEBUG][time= 569] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 569] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 569] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 569] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 569] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 569] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 569] IssueQueue_2: EnqData: src1:0000000080000f00 src2:0000000000000028 src3:0000000000000000 pc:0080000048 roqIdx:34(for last cycle's Ctrl) -[INFO ][time= 569] IssueQueue_2: Deq:(1 1) [ 58|0000000080000f00][ 0|0000000000000028][ 0|56e780095a4543a2] pdest: 64 pc:0080000048 roqIdx:34 -[DEBUG][time= 569] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 569] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 64) -[DEBUG][time= 569] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 569] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 569] IssueQueue_2: 2 |1|1| 58|1|0000000080000ed8| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 569] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 569] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 569] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 569] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 569] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 569] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 569] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 569] IssueQueue_3: EnqData: src1:0000000000000003 src2:0000000000000005 src3:0000000000000000 pc:008000004c roqIdx:35(for last cycle's Ctrl) -[INFO ][time= 569] IssueQueue_3: Deq:(1 1) [ 59|0000000000000003][ 46|0000000000000005][ 0|04ea9d532908f0c8] pdest: 32 pc:008000004c roqIdx:35 -[DEBUG][time= 569] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 569] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 32) -[DEBUG][time= 569] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 569] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 569] IssueQueue_3: 1 |1|1| 59|1|0000000000000002| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 569] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 569] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 569] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 569] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 569] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 569] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 569] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 569] IssueQueue_4: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 65 oldDest: 60 pc:0080000050 roqIdx:36 -[DEBUG][time= 569] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 569] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 569] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 569] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000050|2a <- -[DEBUG][time= 569] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d -[DEBUG][time= 569] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 569] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 569] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 569] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 569] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 569] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 569] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 569] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 569] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 569] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 569] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 569] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 569] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 569] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 569] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 569] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 569] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 569] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 569] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 569] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 569] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 569] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 569] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 569] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 569] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 569] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 569] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 569] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 569] IssueQueue_7: EnqCtrl(1 1) enqSelIq:6 Psrc/Rdy( 58:1 60:1 0:0) Dest: 32 oldDest: 0 pc:0080000038 roqIdx:30 -[INFO ][time= 569] IssueQueue_7: EnqData: src1:0000000080000f00 src2:0000000000000003 src3:0000000000000000 pc:0080000034 roqIdx:2f(for last cycle's Ctrl) -[DEBUG][time= 569] IssueQueue_7: tailAll: 6 KID(010) tailDot:01111111 tailDot2:00111111 selDot:01 popDot:00000000 moveDot:01111110 In(1 1) Out(1 0) -[INFO ][time= 569] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 569] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 569] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 569] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 569] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 569] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 569] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 569] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 569] IssueQueue_7: 5 |1|1| 58|1|67f9b9a83967b3c8| 59|1|14aebf80d4026f54| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 569] IssueQueue_7: 6 |0|0| 52|0|14aebf80d4026f54| 92|0|0000002473b437ff| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 569] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 -[DEBUG][time= 569] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 569] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 569] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 569] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 569] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 569] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 569] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 569] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 569] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 569] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 569] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 35 -[DEBUG][time= 569] FreeList_1: req:0 canAlloc:1 pdest: 67 headNext:0: 35 -[DEBUG][time= 569] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 36 -[DEBUG][time= 569] FreeList_1: req:1 canAlloc:1 pdest: 67 headNext:0: 36 -[DEBUG][time= 569] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 37 -[DEBUG][time= 569] FreeList_1: req:1 canAlloc:1 pdest: 68 headNext:0: 37 -[DEBUG][time= 569] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 569] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 569] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 569] FreeList_1: head:0: 35 tail:1: 25 -[DEBUG][time= 569] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 569] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 9 -[DEBUG][time= 569] Brq: ---------------- -[INFO ][time= 569] Brq: exu write back: brTag:f:0 v: 6 pc=008000004c pnpc=0080000050 target=0080000020 -[DEBUG][time= 569] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 9 -[DEBUG][time= 569] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 9 -[DEBUG][time= 569] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 9 -[DEBUG][time= 569] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 9 -[DEBUG][time= 569] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 9 -[DEBUG][time= 569] Brq: enq v:0 rdy:1 pc:0080000078 brTag:f:0 v: 9 -[DEBUG][time= 570] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 570] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 570] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 570] XSCore: c-mem(0 0 00000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ed8| 0 1) -[DEBUG][time= 570] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 570] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 570] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 570] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 570] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 570] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 570] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 570] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 570] BusyTable_1: 65 is busy -[DEBUG][time= 570] BusyTable_1: 66 is busy -[DEBUG][time= 570] BusyTable_1: 67 is busy -[DEBUG][time= 570] BusyTable_1: 68 is busy -[DEBUG][time= 570] BPUStage2: in:(0 0) pc=00800000fc out:(0 0) pc=00800000dc -[DEBUG][time= 570] BPUStage2: validLatch=1 pc=00800000dc -[DEBUG][time= 570] BPUStage2: flush!!! -[DEBUG][time= 570] DispatchGen: priority: data(0) = 1, priority = 2 -[DEBUG][time= 570] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 570] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 570] DispatchGen: priority: data(3) = 1, priority = 3 -[DEBUG][time= 570] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 570] BPUStage1: outPred:(0) pc=0x00800000fc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 570] BPUStage1: flush from backend: pc=008000004c tgt=0080000020 brTgt=0080000020 btbType=00 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000000111111 fetchIdx=3 isExcpt=0 -[DEBUG][time= 570] BPUStage3: flushS3=0 -[DEBUG][time= 570] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 570] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 570] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 570] JBTAC: read: pc=0x0080000020, histXORAddr=0x00800000de, bank=7, row= 13, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 570] JBTAC: read_resp: pc=0x00800000fc, bank=3, row= 16, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 570] JBTAC: [JBTAC]update_req: fetchPC=0x0080000040, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000000111111, bank=7, row= 3, target=0x0080000020, offset= 6, type=0x0 -[INFO ][time= 570] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 570] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 570] BTB: read_resp: pc=0x00800000fc, readIdx=126------------------------------- -[DEBUG][time= 570] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 570] BTB: bankIdxInOrder:[DEBUG][time= 570] BTB: 14 [DEBUG][time= 570] BTB: 15 [DEBUG][time= 570] BTB: 0 [DEBUG][time= 570] BTB: 1 [DEBUG][time= 570] BTB: 2 [DEBUG][time= 570] BTB: 3 [DEBUG][time= 570] BTB: 4 [DEBUG][time= 570] BTB: 5 [DEBUG][time= 570] BTB: 6 [DEBUG][time= 570] BTB: 7 [DEBUG][time= 570] BTB: 8 [DEBUG][time= 570] BTB: 9 [DEBUG][time= 570] BTB: 10 [DEBUG][time= 570] BTB: 11 [DEBUG][time= 570] BTB: 12 [DEBUG][time= 570] BTB: 13 [DEBUG][time= 570] BTB: -[DEBUG][time= 570] BTB: update_req: pc=0x008000004c, hit=1, misPred=1, oldCtr=3, taken=1, target=0x0080000020, btbType=0 -[DEBUG][time= 570] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 6, row= 2, newCtr=3 -[DEBUG][time= 570] MulExeUnit: redirect: f:0 v: 6 -[DEBUG][time= 570] MulExeUnit_1: redirect: f:0 v: 6 -[INFO ][time= 570] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 66 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 570] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 68 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 67 out v:0 r:1 -[INFO ][time= 570] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 68 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 68 out v:0 r:1 -[INFO ][time= 570] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 68 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 570] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 68 out v:0 r:1 -[INFO ][time= 570] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 68 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 68 out v:0 r:1 -[DEBUG][time= 570] Dispatch1: pc=0080000060 brTag:f:0 v: 6 -[DEBUG][time= 570] Dispatch1: pc=0080000064 brTag:f:0 v: 6 -[DEBUG][time= 570] Dispatch1: pc=0080000068 brTag:f:0 v: 6 -[DEBUG][time= 570] Dispatch1: pc=008000005c brTag:f:0 v: 6 -[DEBUG][time= 570] Dispatch1: pc=0080000048 brTag:f:0 v: 6 -[DEBUG][time= 570] Dispatch1: pc=008000004c brTag:f:0 v: 6 -[INFO ][time= 570] Dispatch1: pc 0x0080000060 with brTag 6 cancelled -[INFO ][time= 570] Dispatch1: pc 0x0080000064 with brTag 6 cancelled -[INFO ][time= 570] Dispatch1: pc 0x0080000068 with brTag 6 cancelled -[INFO ][time= 570] Dispatch1: pc 0x008000005c with brTag 6 cancelled -[DEBUG][time= 570] Dispatch1: pc 0x0080000060 receives nroq 58 -[DEBUG][time= 570] Dispatch1: v:1 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 570] Dispatch1: pc 0x0080000064 receives nroq 59 -[DEBUG][time= 570] Dispatch1: v:1 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 570] Dispatch1: pc 0x0080000068 receives nroq 60 -[DEBUG][time= 570] Dispatch1: v:1 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 570] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 570] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 570] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 570] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 570] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 570] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 570] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 570] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 570] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 570] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 570] Dispatch2: regfile 0 from 3 -[DEBUG][time= 570] Dispatch2: regfile 1 from 3 -[DEBUG][time= 570] Dispatch2: regfile 2 from 1 -[DEBUG][time= 570] Dispatch2: regfile 3 from 1 -[DEBUG][time= 570] Dispatch2: regfile 4 from 2 -[DEBUG][time= 570] Dispatch2: regfile 5 from 2 -[DEBUG][time= 570] Dispatch2: regfile 6 from 0 -[DEBUG][time= 570] Dispatch2: regfile 7 from 0 -[DEBUG][time= 570] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 4: addr 53, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 9: addr 61, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 12: addr 61, state 1 -[DEBUG][time= 570] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 570] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 570] Dispatch2: pc 0x008000003c with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 570] Dispatch2: pc 0x008000003c leaves Ls dispatch queue with nroq 49 -[DEBUG][time= 570] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 570] Dispatch2: pc 0x0080000054 reads operands from ( 2, 42, 0000000080000098), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 570] Dispatch2: pc 0x008000005c reads operands from ( 0, 36, 0000000080008ff0), ( 1, 0, fffffffffffffff0), ( 0, 0, 0000000000000000) -[DEBUG][time= 570] Dispatch2: pc 0x0080000050 reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 570] Dispatch2: pc 0x0080000038 reads operands from ( 8, 58, 0000000080000f00), ( 9, 60, 0000000000000004), ( 0, 0, 0000000000000000) -[INFO ][time= 570] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 570] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 570] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 570] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 570] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 570] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 570] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 570] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 570] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 570] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 570] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 570] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 570] Roq: CSR block should only happen in s_idle -[DEBUG][time= 570] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 0) (1, 0) (1, 0) -[INFO ][time= 570] Roq: dispatched 3 insts -[INFO ][time= 570] Roq: writebacked 1 insts -[INFO ][time= 570] Roq: writebacked pc 0x008000004c wen 0 data 0x0000000000000060 ldst 0 pdst 32 skip 0 -[DEBUG][time= 570] Roq: head 1:26 tail 1: 2 -[DEBUG][time= 570] Roq: --vvvvvwwwwwwwvvvvvwwvvvvv------ -[DEBUG][time= 570] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 570] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 570] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 570] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 570] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 570] Roq: 0080000048 w 008000004c v 0080000050 v 0080000054 v -[DEBUG][time= 570] Roq: 0080000058 v 008000005c v 0080000040 - 0080000044 - -[DEBUG][time= 570] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 570] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 2 -> 3) -[DEBUG][time= 570] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 2), tail = (0, 4), -[INFO ][time= 570] DispatchQueue: IntDpQ: valid entry(10)(pc = 0080000058) cancelled with brTag 6 -[DEBUG][time= 570] DispatchQueue: IntDpQ: valid_entries = 2, head = (1, 10), tail = (1, 12), -[DEBUG][time= 570] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ed8 size 3 data 0000000000000001 mask 00ff cmd 0 -[DEBUG][time= 570] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 570] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 570] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 570] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 570] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 570] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 570] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 570] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483900------> s1 fire!!! -[DEBUG][time= 570] FakeCache: [Stage1_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 570] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 570] FakeCache: [Stage2_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 570] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 570] FakeCache: [Stage3_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 570] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 570] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x00800000fc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 570] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000fc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 570] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 570] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 570] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000dc if3_npc:0x00800000fc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 570] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000bc if4_npc:0x00800000dc -[DEBUG][time= 570] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 570] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 570] IFU: [IFU-REDIRECT] target:0x0080000020 -[INFO ][time= 570] IssueQueue: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:11111101 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 570] IssueQueue: EnqData: src1:0000000080000098 src2:0000000000000000 src3:0000000000000000 pc:0080000054 roqIdx:37(for last cycle's Ctrl) -[DEBUG][time= 570] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 570] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 -[DEBUG][time= 570] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 570] IssueQueue: 0 |1|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 570] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 570] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 570] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 570] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 570] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 570] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 570] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 570] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:00000001 redIdHitVec:00000001 enqHit:0 selIsRed:1 -[INFO ][time= 570] IssueQueue_1: EnqData: src1:0000000080008ff0 src2:fffffffffffffff0 src3:0000000000000000 pc:008000005c roqIdx:39(for last cycle's Ctrl) -[DEBUG][time= 570] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 570] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 66) -[DEBUG][time= 570] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 570] IssueQueue_1: 0 |1|1| 36|1|0000000000000002| 0|1|0000000000000001| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 570] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 570] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 570] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 570] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 570] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 570] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 570] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 570] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 570] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 570] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 570] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 570] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 570] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 570] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 570] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 570] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 570] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 570] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 570] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 570] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:11111000 redIdHitVec:11111000 enqHit:0 selIsRed:0 -[DEBUG][time= 570] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 570] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 570] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 570] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 570] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 570] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 570] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 570] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 570] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 570] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 570] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 570] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:11111101 redIdHitVec:11111101 enqHit:1 selIsRed:1 -[INFO ][time= 570] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000050 roqIdx:36(for last cycle's Ctrl) -[DEBUG][time= 570] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 570] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 65) -[DEBUG][time= 570] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 570] IssueQueue_4: 0 |1|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 570] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 570] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 570] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 570] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 570] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 570] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 570] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 570] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:00000000 redIdHitVec:00000000 enqHit:1 selIsRed:0 -[DEBUG][time= 570] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 570] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 570] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 570] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 570] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 570] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 570] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 570] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 570] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 570] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 570] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 570] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:11111111 redIdHitVec:11111111 enqHit:1 selIsRed:0 -[DEBUG][time= 570] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 570] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 570] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 570] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 570] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 570] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 570] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 570] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 570] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 570] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 570] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 570] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 6 redHitVec:10000000 redIdHitVec:10000000 enqHit:0 selIsRed:0 -[INFO ][time= 570] IssueQueue_7: EnqCtrl(1 1) enqSelIq:7 Psrc/Rdy( 58:1 61:1 0:0) Dest: 32 oldDest: 0 pc:008000003c roqIdx:31 -[INFO ][time= 570] IssueQueue_7: EnqData: src1:0000000080000f00 src2:0000000000000004 src3:0000000000000000 pc:0080000038 roqIdx:30(for last cycle's Ctrl) -[DEBUG][time= 570] IssueQueue_7: tailAll: 7 KID(010) tailDot:11111111 tailDot2:01111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 0) -[INFO ][time= 570] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 570] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 570] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 570] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 570] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 570] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 570] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 570] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 570] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 570] IssueQueue_7: 6 |1|1| 58|1|14aebf80d4026f54| 60|1|0000002473b437ff| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 570] IssueQueue_7: 7 |0|0| 52|1|14aebf80d4026f54| 92|0|0629971d2e90ebfe| 71|0|0000000000000000| 5 |2ebe672311|37 <- -[DEBUG][time= 570] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 570] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 570] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 570] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 570] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 570] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 570] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 570] FreeList: redirect: brqIdx= 6 -[DEBUG][time= 570] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 570] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 570] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 570] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 570] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 570] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 570] FreeList_1: head:0: 37 tail:1: 25 -[DEBUG][time= 570] FreeList_1: redirect: brqIdx= 6 -[DEBUG][time= 570] Brq: headIdx: 6 commitIdx: 6 -[DEBUG][time= 570] Brq: headPtr:f:0 v: 6 tailPtr:f:0 v: 9 -[DEBUG][time= 570] Brq: ------w--------- -[INFO ][time= 570] Brq: commit branch to roq, mispred:1 pc=008000004c -[INFO ][time= 570] Brq: brq redirect, target:0080000020 -[DEBUG][time= 571] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 571] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 571] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 571] XSCore: c-mem(0 0 00000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000eb8| 0 1) tlb (1 1 0080000eb8| 0 1) -[DEBUG][time= 571] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 571] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 571] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 571] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 571] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 571] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 571] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 571] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 571] BusyTable_1: writeback 68 -[DEBUG][time= 571] BusyTable_1: writeback 67 -[DEBUG][time= 571] BusyTable_1: writeback 66 -[DEBUG][time= 571] BusyTable_1: 65 is busy -[DEBUG][time= 571] BusyTable_1: 66 is busy -[DEBUG][time= 571] BusyTable_1: 67 is busy -[DEBUG][time= 571] BusyTable_1: 68 is busy -[DEBUG][time= 571] BPUStage2: in:(1 1) pc=0080000020 out:(0 1) pc=00800000dc -[DEBUG][time= 571] BPUStage2: validLatch=0 pc=00800000dc -[DEBUG][time= 571] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 571] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 571] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 571] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 571] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 571] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 571] BPUStage3: flushS3=1 -[DEBUG][time= 571] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 571] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 571] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 571] JBTAC: read: pc=0x0080000040, histXORAddr=0x00800000be, bank=7, row= 11, hist=0000000000000000000000000000000000000000000000000000000001111111 -[DEBUG][time= 571] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 13, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 571] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 571] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 571] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 571] BTB: bankIdxInOrder:[DEBUG][time= 571] BTB: 0 [DEBUG][time= 571] BTB: 1 [DEBUG][time= 571] BTB: 2 [DEBUG][time= 571] BTB: 3 [DEBUG][time= 571] BTB: 4 [DEBUG][time= 571] BTB: 5 [DEBUG][time= 571] BTB: 6 [DEBUG][time= 571] BTB: 7 [DEBUG][time= 571] BTB: 8 [DEBUG][time= 571] BTB: 9 [DEBUG][time= 571] BTB: 10 [DEBUG][time= 571] BTB: 11 [DEBUG][time= 571] BTB: 12 [DEBUG][time= 571] BTB: 13 [DEBUG][time= 571] BTB: 14 [DEBUG][time= 571] BTB: 15 [DEBUG][time= 571] BTB: -[INFO ][time= 571] Rename: int walk: pc:0080000068 ldst:10 old_pdest: 65 -[INFO ][time= 571] Rename: int walk: pc:0080000064 ldst:11 old_pdest: 61 -[INFO ][time= 571] Rename: int walk: pc:008000005c ldst: 2 old_pdest: 36 -[DEBUG][time= 571] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 571] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 571] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 571] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 571] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 571] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 571] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 571] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 571] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 571] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 571] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 571] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 571] Dispatch2: regfile 0 from 1 -[DEBUG][time= 571] Dispatch2: regfile 1 from 1 -[DEBUG][time= 571] Dispatch2: regfile 2 from 2 -[DEBUG][time= 571] Dispatch2: regfile 3 from 2 -[DEBUG][time= 571] Dispatch2: regfile 4 from 3 -[DEBUG][time= 571] Dispatch2: regfile 5 from 3 -[DEBUG][time= 571] Dispatch2: regfile 6 from 0 -[DEBUG][time= 571] Dispatch2: regfile 7 from 0 -[DEBUG][time= 571] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 2: addr 53, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 3: addr 46, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 9: addr 62, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 12: addr 62, state 1 -[DEBUG][time= 571] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 571] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[DEBUG][time= 571] Dispatch2: pc 0x008000003c reads operands from ( 8, 58, 0000000080000f00), ( 9, 61, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 571] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 571] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 571] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 571] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 571] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 571] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 571] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 571] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 571] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 571] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 571] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 571] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 571] Roq: CSR block should only happen in s_idle -[DEBUG][time= 571] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 571] Roq: walked pc 0080000068 wen 1 ldst 10 data 0000000080000ed8 -[INFO ][time= 571] Roq: walked pc 0080000064 wen 1 ldst 11 data 0000000000000001 -[INFO ][time= 571] Roq: walked pc 0080000060 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 571] Roq: walked pc 008000005c wen 1 ldst 2 data 0000000000000000 -[INFO ][time= 571] Roq: walked pc 0080000058 wen 1 ldst 0 data 0000000000000000 -[INFO ][time= 571] Roq: walked pc 0080000054 wen 1 ldst 0 data 0000000000000000 -[INFO ][time= 571] Roq: rolling back: head 22 tail 2 walk 1:28 -[DEBUG][time= 571] Roq: head 1:22 tail 1: 2 -[DEBUG][time= 571] Roq: --vvvvvwwwwwwwvvvvvwwwvvvvvvv--- -[DEBUG][time= 571] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 571] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 571] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 571] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 571] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 571] Roq: 0080000048 w 008000004c w 0080000050 v 0080000054 v -[DEBUG][time= 571] Roq: 0080000058 v 008000005c v 0080000060 v 0080000064 v -[DEBUG][time= 571] Roq: 0080000068 v 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 571] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 3), tail = (0, 4), -[DEBUG][time= 571] DispatchQueue: IntDpQ: num_deq = 2, head = (10 -> 12) -[DEBUG][time= 571] DispatchQueue: IntDpQ: valid_entries = 2, head = (1, 10), tail = (1, 12), -[DEBUG][time= 571] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 571] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 571] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 571] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 571] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 571] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 571] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 571] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 571] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 571] LsExeUnit: retiringStore now... -[INFO ][time= 571] LsExeUnit: [DMEM STORE REQ] addr 0x80000eb8 wdata 0x0000000000000001 size 3 -[DEBUG][time= 571] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 571] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 571] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 571] FakeCache: [Stage2_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 571] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 571] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 571] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 571] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 571] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 571] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 571] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 571] IFU: [IF3]if3_valid:0 || if3_pc:0x00800000fc if3_npc:0x0080000020 || if4_ready:1 -[DEBUG][time= 571] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 571] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 571] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 571] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 571] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 571] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 571] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 571] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 571] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 571] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 571] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 571] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 571] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 571] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 571] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 571] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 571] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 571] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 571] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 571] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 571] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 571] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 571] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 571] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 571] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 571] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 571] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 571] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 571] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 571] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 571] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 571] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 571] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 571] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 571] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 571] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 571] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 571] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 571] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 571] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 571] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 571] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 571] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 571] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 571] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 571] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 571] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 571] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 571] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 571] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 571] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 571] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 571] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 571] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 571] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 571] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 571] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 571] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 571] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 571] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 571] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 571] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 571] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 571] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 571] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 571] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 571] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 571] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 571] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 571] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 571] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 571] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 571] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 571] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 571] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 571] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 571] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 571] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 571] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 571] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 571] IssueQueue_7: EnqData: src1:0000000080000f00 src2:0000000000000005 src3:0000000000000000 pc:008000003c roqIdx:31(for last cycle's Ctrl) -[DEBUG][time= 571] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 571] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 571] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 571] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 571] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 571] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 571] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 571] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 571] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 571] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 571] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 571] IssueQueue_7: 7 |1|1| 58|1|14aebf80d4026f54| 61|1|0629971d2e90ebfe| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 571] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 571] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 571] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 571] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 571] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 571] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 571] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 571] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 571] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 571] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 571] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 571] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 571] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 571] FreeList_1: head:0: 33 tail:1: 25 -[DEBUG][time= 571] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 571] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v: 7 -[DEBUG][time= 571] Brq: ---------------- -[DEBUG][time= 571] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 571] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 571] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 571] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 571] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 571] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 572] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 572] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 572] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 572] XSCore: c-mem(0 0 00000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000eb8| 0 1) -[DEBUG][time= 572] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 572] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 572] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 572] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 572] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 572] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 572] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 572] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 572] BusyTable_1: writeback 65 -[DEBUG][time= 572] BusyTable_1: 65 is busy -[DEBUG][time= 572] BPUStage2: in:(1 1) pc=0080000040 out:(1 1) pc=0080000020 -[DEBUG][time= 572] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 572] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 572] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 572] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 572] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 572] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 572] BPUStage1: outPred:(1) pc=0x0080000040, redirect=1 instrValid=00001111 tgt=0080000020 -[DEBUG][time= 572] BPUStage3: [RAS]:pc=0x0080000020, rasWritePosition= 1, rasWriteAddr=0x00800000dc -[DEBUG][time= 572] BPUStage3: in:(1 1) pc=0080000020 -[DEBUG][time= 572] BPUStage3: flushS3=1 -[DEBUG][time= 572] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 572] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 572] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 572] JBTAC: read: pc=0x0080000020, histXORAddr=0x00800001de, bank=7, row= 29, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 572] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 11, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 572] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 572] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 572] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=3 -[DEBUG][time= 572] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 572] BTB: bankIdxInOrder:[DEBUG][time= 572] BTB: 0 [DEBUG][time= 572] BTB: 1 [DEBUG][time= 572] BTB: 2 [DEBUG][time= 572] BTB: 3 [DEBUG][time= 572] BTB: 4 [DEBUG][time= 572] BTB: 5 [DEBUG][time= 572] BTB: 6 [DEBUG][time= 572] BTB: 7 [DEBUG][time= 572] BTB: 8 [DEBUG][time= 572] BTB: 9 [DEBUG][time= 572] BTB: 10 [DEBUG][time= 572] BTB: 11 [DEBUG][time= 572] BTB: 12 [DEBUG][time= 572] BTB: 13 [DEBUG][time= 572] BTB: 14 [DEBUG][time= 572] BTB: 15 [DEBUG][time= 572] BTB: -[INFO ][time= 572] Rename: int walk: pc:0080000050 ldst:10 old_pdest: 60 -[DEBUG][time= 572] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 572] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 572] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 572] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 572] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 572] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 572] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 572] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 572] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 572] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 572] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 572] Dispatch2: regfile 0 from 0 -[DEBUG][time= 572] Dispatch2: regfile 1 from 0 -[DEBUG][time= 572] Dispatch2: regfile 2 from 1 -[DEBUG][time= 572] Dispatch2: regfile 3 from 1 -[DEBUG][time= 572] Dispatch2: regfile 4 from 2 -[DEBUG][time= 572] Dispatch2: regfile 5 from 2 -[DEBUG][time= 572] Dispatch2: regfile 6 from 0 -[DEBUG][time= 572] Dispatch2: regfile 7 from 0 -[DEBUG][time= 572] Dispatch2: int regfile 0: addr 53, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 6: addr 53, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 9: addr 62, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 12: addr 62, state 1 -[DEBUG][time= 572] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 572] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[INFO ][time= 572] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 572] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 572] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 572] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 572] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 572] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 572] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 572] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 572] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 572] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 572] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 572] DecodeBuffer: out v:0 r:0 pc=0080000074 -[ERROR][time= 572] Roq: CSR block should only happen in s_idle -[DEBUG][time= 572] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 572] Roq: walked pc 0080000050 wen 1 ldst 10 data 0000000000000000 -[INFO ][time= 572] Roq: rolling back: head 22 tail 2 walk 1:22 -[DEBUG][time= 572] Roq: head 1:22 tail 1: 2 -[DEBUG][time= 572] Roq: --vvvvvwwwwwwwvvvvvwwwv--------- -[DEBUG][time= 572] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 572] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 572] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 572] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 572] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 572] Roq: 0080000048 w 008000004c w 0080000050 v 0080000054 - -[DEBUG][time= 572] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 572] Roq: 0080000068 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 572] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 3), tail = (0, 4), -[DEBUG][time= 572] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000eb8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 572] LsExeUnit: forwarding data from stq, addr 0000000080000eb8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 572] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000eb8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 572] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 572] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 572] LsExeUnit: sbuffer id 3 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 3 ptr 4 valid 1 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 572] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 572] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 572] LsExeUnit: stqinfo: stqValid.asUInt 00011110 stqHead 4 stqTail 1 stqCommited 4 emptySlot 0 -[DEBUG][time= 572] LsExeUnit: retiringStore now... -[DEBUG][time= 572] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 572] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 572] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 572] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 572] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 572] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 572] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 572] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 572] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 572] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 572] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00001111 || if2_btb_target:0x0080000020 -[DEBUG][time= 572] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 572] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 572] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 572] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 572] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 572] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 572] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 572] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 572] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 572] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 572] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 572] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 572] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 572] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 572] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 572] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 572] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 572] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 572] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 572] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 572] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 572] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 572] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 572] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 572] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 572] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 572] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 572] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 572] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 572] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 572] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 572] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 572] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 572] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 572] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 572] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 572] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 572] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 572] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 572] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 572] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 572] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 572] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 572] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 572] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 572] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 572] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 572] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 572] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 572] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 572] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 572] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 572] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 572] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 572] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 572] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 572] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 572] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 572] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 572] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 572] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 572] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 572] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 572] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 572] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 572] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 572] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 572] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 572] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 572] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 572] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 572] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 572] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 572] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 572] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 572] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 572] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 572] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 572] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 572] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 572] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 572] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 572] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 572] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 572] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 572] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 572] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 572] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 572] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 572] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 572] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 572] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 572] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 572] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 572] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 572] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 572] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 572] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 572] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 572] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 572] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 572] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 572] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 572] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 572] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 572] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 572] FreeList_1: head:0: 33 tail:1: 25 -[DEBUG][time= 572] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 572] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v: 7 -[DEBUG][time= 572] Brq: ---------------- -[DEBUG][time= 572] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 572] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 572] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 572] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 572] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 572] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 573] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 573] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 573] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 573] XSCore: c-mem(0 0 80000e80| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec0| 1 1) tlb (1 0 0080000ec0| 1 1) -[DEBUG][time= 573] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 573] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 573] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 573] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 573] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 573] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 573] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 573] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 573] BPUStage2: in:(1 1) pc=0080000020 out:(1 1) pc=0080000040 -[DEBUG][time= 573] BPUStage2: validLatch=1 pc=0080000040 -[DEBUG][time= 573] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 573] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 573] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 573] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 573] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 573] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 573] BPUStage3: [RAS]:pc=0x0080000040, rasWritePosition= 1, rasWriteAddr=0x0080000040 -[DEBUG][time= 573] BPUStage3: in:(1 1) pc=0080000040 -[DEBUG][time= 573] BPUStage3: out:1 pc=0080000020 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000040 -[DEBUG][time= 573] BPUStage3: flushS3=0 -[DEBUG][time= 573] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 573] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 573] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 573] JBTAC: read: pc=0x0080000040, histXORAddr=0x00800001be, bank=7, row= 27, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 573] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 29, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 573] Ibuffer: Enque: -[DEBUG][time= 573] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 573] Ibuffer: 00000000001001111000010100010011 -[DEBUG][time= 573] Ibuffer: 00000000001101111000010110010011 -[DEBUG][time= 573] Ibuffer: 00000000010001111000011000010011 -[DEBUG][time= 573] Ibuffer: 00000000111101110011000000100011 -[DEBUG][time= 573] Ibuffer: 00000000110101110011010000100011 -[DEBUG][time= 573] Ibuffer: 00000000101001110011100000100011 -[DEBUG][time= 573] Ibuffer: 00000000101101110011110000100011 -[DEBUG][time= 573] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 573] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 573] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 573] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 573] BTB: bankIdxInOrder:[DEBUG][time= 573] BTB: 0 [DEBUG][time= 573] BTB: 1 [DEBUG][time= 573] BTB: 2 [DEBUG][time= 573] BTB: 3 [DEBUG][time= 573] BTB: 4 [DEBUG][time= 573] BTB: 5 [DEBUG][time= 573] BTB: 6 [DEBUG][time= 573] BTB: 7 [DEBUG][time= 573] BTB: 8 [DEBUG][time= 573] BTB: 9 [DEBUG][time= 573] BTB: 10 [DEBUG][time= 573] BTB: 11 [DEBUG][time= 573] BTB: 12 [DEBUG][time= 573] BTB: 13 [DEBUG][time= 573] BTB: 14 [DEBUG][time= 573] BTB: 15 [DEBUG][time= 573] BTB: -[INFO ][time= 573] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 573] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 61 out v:0 r:1 -[INFO ][time= 573] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[INFO ][time= 573] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 573] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[INFO ][time= 573] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[DEBUG][time= 573] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 573] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 573] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 573] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 573] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 573] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 573] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 573] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 573] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 573] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 573] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 573] Dispatch2: regfile 0 from 0 -[DEBUG][time= 573] Dispatch2: regfile 1 from 0 -[DEBUG][time= 573] Dispatch2: regfile 2 from 1 -[DEBUG][time= 573] Dispatch2: regfile 3 from 1 -[DEBUG][time= 573] Dispatch2: regfile 4 from 2 -[DEBUG][time= 573] Dispatch2: regfile 5 from 2 -[DEBUG][time= 573] Dispatch2: regfile 6 from 0 -[DEBUG][time= 573] Dispatch2: regfile 7 from 0 -[DEBUG][time= 573] Dispatch2: int regfile 0: addr 53, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 6: addr 53, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 9: addr 62, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 12: addr 62, state 1 -[DEBUG][time= 573] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 573] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[INFO ][time= 573] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 573] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 573] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 573] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 573] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 573] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 573] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 573] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 573] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 573] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 573] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 573] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 573] Roq: CSR block should only happen in s_idle -[DEBUG][time= 573] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 573] Roq: head 1:22 tail 1: 2 -[DEBUG][time= 573] Roq: --vvvvvwwwwwwwvvvvvwww---------- -[DEBUG][time= 573] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 573] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 573] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 573] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 573] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 573] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 573] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 573] Roq: 0080000068 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 573] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 3), tail = (0, 4), -[DEBUG][time= 573] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ec0 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 573] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 573] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 573] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 573] LsExeUnit: sbuffer id 2 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 2 ptr 4 valid 1 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 573] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 573] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 573] LsExeUnit: stqinfo: stqValid.asUInt 00011100 stqHead 3 stqTail 2 stqCommited 3 emptySlot 0 -[DEBUG][time= 573] LsExeUnit: retiringStore now... -[INFO ][time= 573] LsExeUnit: [DMEM RESP] data 0000000000000002 -[DEBUG][time= 573] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 573] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 573] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 573] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 573] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 573] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 573] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 573] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 573] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 573] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 573] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 573] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000040 if3_npc:0x0080000020 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 573] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 573] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000040 -[DEBUG][time= 573] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] starPC:0x0080000020 GroupPC:0x0080000020n -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000024 -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00278513 pnpc:0x0080000028 -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00378593 pnpc:0x008000002c -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00478613 pnpc:0x0080000030 -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00f73023 pnpc:0x0080000034 -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00d73423 pnpc:0x0080000038 -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00a73823 pnpc:0x008000003c -[DEBUG][time= 573] IFU: [IFU-Out-FetchPacket] instruction 00b73c23 pnpc:0x0080000040 -[DEBUG][time= 573] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 573] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 573] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 573] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 573] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 573] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 573] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 573] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 573] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 573] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 573] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 573] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 573] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 573] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 573] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 573] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 573] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 573] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 573] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 573] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 573] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 573] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 573] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 573] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 573] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 573] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 573] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 573] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 573] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 573] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 573] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 573] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 573] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 573] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 573] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 573] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 573] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 573] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 573] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 573] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 573] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 573] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 573] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 573] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 573] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 573] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 573] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 573] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 573] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 573] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 573] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 573] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 573] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 573] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 573] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 573] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 573] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 573] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 573] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 573] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 573] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 573] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 573] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 573] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 573] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 573] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 573] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 573] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 573] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 573] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 573] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 573] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 573] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 573] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 573] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 573] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 573] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 573] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 573] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 573] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 573] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 573] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 573] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 573] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 573] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 573] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 573] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 573] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 573] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 573] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 573] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 573] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 573] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 573] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 573] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 573] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 573] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 573] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 573] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 573] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 573] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 573] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 573] FreeList_1: head:0: 33 tail:1: 25 -[DEBUG][time= 573] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 573] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v: 7 -[DEBUG][time= 573] Brq: ---------------- -[DEBUG][time= 573] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 573] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 573] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 573] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 573] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 573] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 7 -[DEBUG][time= 574] Tage: req: pc=0x0080000060, hist=0000000000000000000000000000000000000000000000000000000011111110 -[DEBUG][time= 574] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 574] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 574] XSCore: c-mem(0 0 80000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ed8| 0 1) -[DEBUG][time= 574] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 574] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 574] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 574] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 574] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 574] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 574] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 574] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 574] BPUStage2: in:(0 0) pc=0080000040 out:(0 1) pc=0080000020 -[DEBUG][time= 574] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 574] BPUStage2: flush!!! -[DEBUG][time= 574] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 574] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 574] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 574] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 574] BPUStage1: in:(1 1) pc=0080000060 ghr=0000000000000000000000000000000000000000000000000000000011111110 -[DEBUG][time= 574] BPUStage1: outPred:(0) pc=0x0080000040, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 574] BPUStage1: flush from Stage3: s3Taken=0 s3RollBackHist=0000000000000000000000000000000000000000000000000000000011111110 -[DEBUG][time= 574] BPUStage3: out:1 pc=0080000040 redirect=1 predcdMask=11111111 instrValid=11111111 tgt=0080000060 -[DEBUG][time= 574] BPUStage3: flushS3=0 -[DEBUG][time= 574] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 574] BPUStage3: jmpIdx=0, brs=00001000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=5 -[DEBUG][time= 574] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 574] JBTAC: read: pc=0x0080000060, histXORAddr=0x008000019c, bank=6, row= 25, hist=0000000000000000000000000000000000000000000000000000000011111110 -[DEBUG][time= 574] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 27, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 574] Frontend: inst:00178693 pc:0080000020 -[INFO ][time= 574] Frontend: inst:00278513 pc:0080000024 -[INFO ][time= 574] Frontend: inst:00378593 pc:0080000028 -[INFO ][time= 574] Frontend: inst:00478613 pc:008000002c -[INFO ][time= 574] Frontend: inst:00f73023 pc:0080000030 -[INFO ][time= 574] Frontend: inst:00d73423 pc:0080000034 -[DEBUG][time= 574] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000020 instr:00178693 -[DEBUG][time= 574] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000024 instr:00278513 -[DEBUG][time= 574] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000028 instr:00378593 -[DEBUG][time= 574] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000002c instr:00478613 -[DEBUG][time= 574] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000030 instr:00f73023 -[DEBUG][time= 574] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000034 instr:00d73423 -[DEBUG][time= 574] Ibuffer: Enque: -[DEBUG][time= 574] Ibuffer: 00000010110001110011000000100011 -[DEBUG][time= 574] Ibuffer: 00000000000001101000011110010011 -[DEBUG][time= 574] Ibuffer: 00000010100001110000011100010011 -[DEBUG][time= 574] Ibuffer: 11111101000001101001101011100011 -[DEBUG][time= 574] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 574] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 574] Ibuffer: 00000101010000000000000001101111 -[DEBUG][time= 574] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 574] Ibuffer: Deque: -[DEBUG][time= 574] Ibuffer: 00000000000101111000011010010011 PC=0080000020 v=1 r=1 -[DEBUG][time= 574] Ibuffer: 00000000001001111000010100010011 PC=0080000024 v=1 r=1 -[DEBUG][time= 574] Ibuffer: 00000000001101111000010110010011 PC=0080000028 v=1 r=1 -[DEBUG][time= 574] Ibuffer: 00000000010001111000011000010011 PC=008000002c v=1 r=1 -[DEBUG][time= 574] Ibuffer: 00000000111101110011000000100011 PC=0080000030 v=1 r=1 -[DEBUG][time= 574] Ibuffer: 00000000110101110011010000100011 PC=0080000034 v=1 r=1 -[DEBUG][time= 574] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 574] BTB: read: pc=0x0080000060, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 574] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 574] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=3 -[DEBUG][time= 574] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 574] BTB: bankIdxInOrder:[DEBUG][time= 574] BTB: 0 [DEBUG][time= 574] BTB: 1 [DEBUG][time= 574] BTB: 2 [DEBUG][time= 574] BTB: 3 [DEBUG][time= 574] BTB: 4 [DEBUG][time= 574] BTB: 5 [DEBUG][time= 574] BTB: 6 [DEBUG][time= 574] BTB: 7 [DEBUG][time= 574] BTB: 8 [DEBUG][time= 574] BTB: 9 [DEBUG][time= 574] BTB: 10 [DEBUG][time= 574] BTB: 11 [DEBUG][time= 574] BTB: 12 [DEBUG][time= 574] BTB: 13 [DEBUG][time= 574] BTB: 14 [DEBUG][time= 574] BTB: 15 [DEBUG][time= 574] BTB: -[INFO ][time= 574] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 574] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 61 out v:0 r:1 -[INFO ][time= 574] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[INFO ][time= 574] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 574] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[INFO ][time= 574] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 60 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 60 out v:0 r:1 -[DEBUG][time= 574] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 574] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 574] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 574] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 574] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 574] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 574] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 574] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 574] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 574] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 574] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 574] Dispatch2: regfile 0 from 0 -[DEBUG][time= 574] Dispatch2: regfile 1 from 0 -[DEBUG][time= 574] Dispatch2: regfile 2 from 1 -[DEBUG][time= 574] Dispatch2: regfile 3 from 1 -[DEBUG][time= 574] Dispatch2: regfile 4 from 2 -[DEBUG][time= 574] Dispatch2: regfile 5 from 2 -[DEBUG][time= 574] Dispatch2: regfile 6 from 0 -[DEBUG][time= 574] Dispatch2: regfile 7 from 0 -[DEBUG][time= 574] Dispatch2: int regfile 0: addr 53, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 6: addr 53, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 9: addr 62, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 12: addr 62, state 1 -[DEBUG][time= 574] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 574] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 574] Dispatch2: pc 0x0080000040 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 574] Dispatch2: pc 0x0080000040 leaves Ls dispatch queue with nroq 50 -[INFO ][time= 574] DecodeBuffer: in v:1 r:1 pc=0080000020 -[INFO ][time= 574] DecodeBuffer: in v:1 r:1 pc=0080000024 -[INFO ][time= 574] DecodeBuffer: in v:1 r:1 pc=0080000028 -[INFO ][time= 574] DecodeBuffer: in v:1 r:1 pc=008000002c -[INFO ][time= 574] DecodeBuffer: in v:1 r:1 pc=0080000030 -[INFO ][time= 574] DecodeBuffer: in v:1 r:1 pc=0080000034 -[INFO ][time= 574] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 574] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 574] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 574] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 574] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 574] DecodeBuffer: out v:0 r:1 pc=0080000074 -[ERROR][time= 574] Roq: CSR block should only happen in s_idle -[DEBUG][time= 574] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 574] Roq: writebacked 1 insts -[INFO ][time= 574] Roq: writebacked pc 0x0080000030 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 574] Roq: head 1:22 tail 1: 2 -[DEBUG][time= 574] Roq: --vvvvvwwwwwwwvvvvvwww---------- -[DEBUG][time= 574] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 574] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 574] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 574] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 574] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 574] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 574] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 574] Roq: 0080000068 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 574] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 3 -> 4) -[DEBUG][time= 574] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 3), tail = (0, 4), -[DEBUG][time= 574] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ed8 size 3 data 0000000000000001 mask 00ff cmd 0 -[DEBUG][time= 574] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 574] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 574] LsExeUnit: sbuffer id 2 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 2 ptr 4 valid 1 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 574] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 574] LsExeUnit: LSU fire: pc 0080000030 addr 0000000080000ed8 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 574] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 574] LsExeUnit: stqinfo: stqValid.asUInt 00011100 stqHead 3 stqTail 2 stqCommited 3 emptySlot 0 -[DEBUG][time= 574] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 574] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 574] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 574] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 574] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 574] FakeCache: [Stage3_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 574] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 574] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000060 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 574] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 574] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 574] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 574] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 574] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000040 if4_npc:0x0080000020 -[DEBUG][time= 574] IFU: [IF4-TAGE-out]if4_tage_taken:1 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 574] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] starPC:0x0080000040 GroupPC:0x0080000040n -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction 02c73023 pnpc:0x0080000044 -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction 00068793 pnpc:0x0080000048 -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction 02870713 pnpc:0x008000004c -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction fd069ae3 pnpc:0x0080000050 -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000054 -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000058 -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction 0540006f pnpc:0x008000005c -[DEBUG][time= 574] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000060 -[DEBUG][time= 574] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 574] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 574] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 574] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 574] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 574] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 574] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 574] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 574] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 574] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 574] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 574] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 574] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 574] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 574] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 574] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 574] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 574] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 574] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 574] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 574] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 574] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 574] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 574] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 574] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 574] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 574] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 574] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 574] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 574] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 574] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 574] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 574] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 574] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 574] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 574] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 574] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 574] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 574] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 574] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 574] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 574] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 574] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 574] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 574] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 574] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 574] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 574] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 574] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 574] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 574] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 574] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 574] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 574] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 574] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 574] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 574] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 574] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 574] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 574] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 574] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 574] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 574] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 574] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 574] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 574] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 574] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 574] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 574] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 574] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 574] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 574] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 574] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 574] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 574] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 574] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 574] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 574] IssueQueue_7: EnqCtrl(1 1) enqSelIq:3 Psrc/Rdy( 58:1 62:1 0:0) Dest: 32 oldDest: 0 pc:0080000040 roqIdx:32 -[INFO ][time= 574] IssueQueue_7: Deq:(1 1) [ 52|0000000080000ed8][ 51|0000000000000001][ 0|0000000000000000] pdest: 32 pc:0080000030 roqIdx:22 -[DEBUG][time= 574] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 574] IssueQueue_7: FireStage:Out(1 1) src1( 52|0000000080000ed8) src2( 51|0000000000000001) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:34 -[DEBUG][time= 574] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 574] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 574] IssueQueue_7: 3 |1|1| 52|1|0000000080000ed8| 53|1|0000000000000002| 0|0|0000000000000000| 5 |0080000034|23 -[DEBUG][time= 574] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 574] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 574] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 574] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 574] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 574] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 574] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 574] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 574] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 574] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 574] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 574] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 574] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 574] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 574] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 574] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 574] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 574] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 574] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 574] FreeList_1: req:0 canAlloc:1 pdest: 65 headNext:0: 33 -[DEBUG][time= 574] FreeList_1: head:0: 33 tail:1: 25 -[DEBUG][time= 574] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 574] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v: 7 -[DEBUG][time= 574] Brq: ---------------- -[DEBUG][time= 574] Brq: enq v:0 rdy:1 pc:0080000020 brTag:f:0 v: 7 -[DEBUG][time= 574] Brq: enq v:0 rdy:1 pc:0080000024 brTag:f:0 v: 7 -[DEBUG][time= 574] Brq: enq v:0 rdy:1 pc:0080000028 brTag:f:0 v: 7 -[DEBUG][time= 574] Brq: enq v:0 rdy:1 pc:008000002c brTag:f:0 v: 7 -[DEBUG][time= 574] Brq: enq v:0 rdy:1 pc:0080000030 brTag:f:0 v: 7 -[DEBUG][time= 574] Brq: enq v:0 rdy:1 pc:0080000034 brTag:f:0 v: 7 -[DEBUG][time= 575] Tage: req: pc=0x0080000080, hist=0000000000000000000000000000000000000000000000000000000011111110 -[DEBUG][time= 575] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 575] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 575] XSCore: c-mem(0 0 80000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ec0| 0 1) tlb (1 1 0080000ec0| 0 1) -[DEBUG][time= 575] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 575] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 575] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 575] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 575] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 575] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 575] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 575] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 575] BusyTable_1: Allocate 65 -[DEBUG][time= 575] BusyTable_1: Allocate 66 -[DEBUG][time= 575] BusyTable_1: Allocate 67 -[DEBUG][time= 575] BusyTable_1: Allocate 68 -[DEBUG][time= 575] BPUStage2: in:(1 1) pc=0080000060 out:(0 1) pc=0080000020 -[DEBUG][time= 575] BPUStage2: validLatch=0 pc=0080000020 -[DEBUG][time= 575] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 575] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 575] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 575] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 575] BPUStage1: in:(1 1) pc=0080000080 ghr=0000000000000000000000000000000000000000000000000000000011111110 -[DEBUG][time= 575] BPUStage1: outPred:(1) pc=0x0080000060, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 575] BPUStage3: flushS3=0 -[DEBUG][time= 575] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 575] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 575] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 575] JBTAC: read: pc=0x0080000080, histXORAddr=0x008000017c, bank=6, row= 23, hist=0000000000000000000000000000000000000000000000000000000011111110 -[DEBUG][time= 575] JBTAC: read_resp: pc=0x0080000060, bank=6, row= 25, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 575] Frontend: inst:00a73823 pc:0080000038 -[INFO ][time= 575] Frontend: inst:00b73c23 pc:008000003c -[INFO ][time= 575] Frontend: inst:02c73023 pc:0080000040 -[INFO ][time= 575] Frontend: inst:00068793 pc:0080000044 -[INFO ][time= 575] Frontend: inst:02870713 pc:0080000048 -[INFO ][time= 575] Frontend: inst:fd069ae3 pc:008000004c -[DEBUG][time= 575] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000038 instr:00a73823 -[DEBUG][time= 575] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000003c instr:00b73c23 -[DEBUG][time= 575] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000040 instr:02c73023 -[DEBUG][time= 575] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000044 instr:00068793 -[DEBUG][time= 575] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000048 instr:02870713 -[DEBUG][time= 575] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:008000004c instr:fd069ae3 -[DEBUG][time= 575] Ibuffer: Deque: -[DEBUG][time= 575] Ibuffer: 00000000101001110011100000100011 PC=0080000038 v=1 r=1 -[DEBUG][time= 575] Ibuffer: 00000000101101110011110000100011 PC=008000003c v=1 r=1 -[DEBUG][time= 575] Ibuffer: 00000010110001110011000000100011 PC=0080000040 v=1 r=1 -[DEBUG][time= 575] Ibuffer: 00000000000001101000011110010011 PC=0080000044 v=1 r=1 -[DEBUG][time= 575] Ibuffer: 00000010100001110000011100010011 PC=0080000048 v=1 r=1 -[DEBUG][time= 575] Ibuffer: 11111101000001101001101011100011 PC=008000004c v=1 r=1 -[DEBUG][time= 575] BTB: read: pc=0x0080000080, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 575] BTB: read_resp: pc=0x0080000060, readIdx= 48------------------------------- -[DEBUG][time= 575] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=12][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 575] BTB: bankIdxInOrder:[DEBUG][time= 575] BTB: 0 [DEBUG][time= 575] BTB: 1 [DEBUG][time= 575] BTB: 2 [DEBUG][time= 575] BTB: 3 [DEBUG][time= 575] BTB: 4 [DEBUG][time= 575] BTB: 5 [DEBUG][time= 575] BTB: 6 [DEBUG][time= 575] BTB: 7 [DEBUG][time= 575] BTB: 8 [DEBUG][time= 575] BTB: 9 [DEBUG][time= 575] BTB: 10 [DEBUG][time= 575] BTB: 11 [DEBUG][time= 575] BTB: 12 [DEBUG][time= 575] BTB: 13 [DEBUG][time= 575] BTB: 14 [DEBUG][time= 575] BTB: 15 [DEBUG][time= 575] BTB: -[INFO ][time= 575] Rename: pc:0080000020 in v:1 in rdy:1 lsrc1:15 -> psrc1: 63 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 65 old_pdest: 59 out v:1 r:1 -[INFO ][time= 575] Rename: pc:0080000024 in v:1 in rdy:1 lsrc1:15 -> psrc1: 63 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 66 old_pdest: 60 out v:1 r:1 -[INFO ][time= 575] Rename: pc:0080000028 in v:1 in rdy:1 lsrc1:15 -> psrc1: 63 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 67 old_pdest: 61 out v:1 r:1 -[INFO ][time= 575] Rename: pc:008000002c in v:1 in rdy:1 lsrc1:15 -> psrc1: 63 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 68 old_pdest: 62 out v:1 r:1 -[INFO ][time= 575] Rename: pc:0080000030 in v:1 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2:15 -> psrc2: 63 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 575] Rename: pc:0080000034 in v:1 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2:13 -> psrc2: 65 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[DEBUG][time= 575] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 0-th slot -[DEBUG][time= 575] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 1-th slot -[DEBUG][time= 575] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 2-th slot -[DEBUG][time= 575] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 575] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 575] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 575] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 575] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 575] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 575] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 575] Dispatch2: regfile 0 from 0 -[DEBUG][time= 575] Dispatch2: regfile 1 from 0 -[DEBUG][time= 575] Dispatch2: regfile 2 from 1 -[DEBUG][time= 575] Dispatch2: regfile 3 from 1 -[DEBUG][time= 575] Dispatch2: regfile 4 from 2 -[DEBUG][time= 575] Dispatch2: regfile 5 from 2 -[DEBUG][time= 575] Dispatch2: regfile 6 from 0 -[DEBUG][time= 575] Dispatch2: regfile 7 from 0 -[DEBUG][time= 575] Dispatch2: int regfile 0: addr 53, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 6: addr 53, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 8: addr 44, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 9: addr 45, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 12: addr 45, state 1 -[DEBUG][time= 575] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 575] Dispatch2: pc 0x0080000040 reads operands from ( 8, 58, 0000000080000f00), ( 9, 62, 0000000000000006), ( 0, 0, 0000000000000000) -[INFO ][time= 575] DecodeBuffer: in v:1 r:1 pc=0080000038 -[INFO ][time= 575] DecodeBuffer: in v:1 r:1 pc=008000003c -[INFO ][time= 575] DecodeBuffer: in v:1 r:1 pc=0080000040 -[INFO ][time= 575] DecodeBuffer: in v:1 r:1 pc=0080000044 -[INFO ][time= 575] DecodeBuffer: in v:1 r:1 pc=0080000048 -[INFO ][time= 575] DecodeBuffer: in v:1 r:1 pc=008000004c -[INFO ][time= 575] DecodeBuffer: out v:1 r:1 pc=0080000020 -[INFO ][time= 575] DecodeBuffer: out v:1 r:1 pc=0080000024 -[INFO ][time= 575] DecodeBuffer: out v:1 r:1 pc=0080000028 -[INFO ][time= 575] DecodeBuffer: out v:1 r:1 pc=008000002c -[INFO ][time= 575] DecodeBuffer: out v:1 r:1 pc=0080000030 -[INFO ][time= 575] DecodeBuffer: out v:1 r:1 pc=0080000034 -[ERROR][time= 575] Roq: CSR block should only happen in s_idle -[DEBUG][time= 575] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 575] Roq: retired pc 0080000030 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 575] Roq: retired 1 insts -[DEBUG][time= 575] Roq: head 1:22 tail 1: 2 -[DEBUG][time= 575] Roq: --wvvvvwwwwwwwvvvvvwww---------- -[DEBUG][time= 575] Roq: 0080000028 - 008000002c - 0080000030 w 0080000034 v -[DEBUG][time= 575] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 575] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 575] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 575] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 575] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 575] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 575] Roq: 0080000068 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 575] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ec0 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 575] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 575] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 575] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 575] LsExeUnit: sbuffer id 2 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 575] LsExeUnit: sbuffer id 3 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 2 ptr 4 valid 1 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 3 ptr 0 valid 1 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 575] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 575] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 575] LsExeUnit: stqinfo: stqValid.asUInt 00011101 stqHead 4 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 575] LsExeUnit: retiringStore now... -[INFO ][time= 575] LsExeUnit: [DMEM STORE REQ] addr 0x80000ec0 wdata 0x0000000000000002 size 3 -[DEBUG][time= 575] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483744------> s1 fire!!! -[DEBUG][time= 575] FakeCache: [Stage1_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 575] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 575] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 575] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 575] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 575] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 575] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000080 || if1_pcUpdate:1 if1_pc:0x0080000060 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 575] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000060 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 575] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 575] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 575] IFU: [IF3]if3_valid:0 || if3_pc:0x0080000040 if3_npc:0x0080000060 || if4_ready:1 -[DEBUG][time= 575] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 575] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 575] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 575] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 575] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 575] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 575] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 575] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 575] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 575] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 575] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 575] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 575] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 575] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 575] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 575] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 575] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 575] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 575] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 575] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 575] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 575] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 575] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 575] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 575] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 575] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 575] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 575] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 575] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 575] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 575] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 575] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 575] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 575] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 575] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 575] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 575] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 575] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 575] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 575] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 575] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 575] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 575] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 575] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 575] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 575] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 575] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 575] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 575] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 575] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 575] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 575] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 575] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 575] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 575] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 575] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 575] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 575] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 575] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 575] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 575] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 575] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 575] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 575] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 575] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 575] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 575] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 575] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 575] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 575] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 575] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 575] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 575] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 575] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 575] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 575] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 575] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 575] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 575] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 575] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 575] IssueQueue_7: EnqData: src1:0000000080000f00 src2:0000000000000006 src3:0000000000000000 pc:0080000040 roqIdx:32(for last cycle's Ctrl) -[DEBUG][time= 575] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 575] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 575] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 575] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 575] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 575] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 575] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 575] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 575] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 575] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 575] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 575] IssueQueue_7: 3 |1|1| 58|1|0000000080000ed8| 62|1|0000000000000002| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 575] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 575] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 575] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 575] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 575] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 575] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 575] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 575] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 575] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 575] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 575] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 575] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 575] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 575] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 34 -[DEBUG][time= 575] FreeList_1: req:1 canAlloc:1 pdest: 65 headNext:0: 34 -[DEBUG][time= 575] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 35 -[DEBUG][time= 575] FreeList_1: req:1 canAlloc:1 pdest: 66 headNext:0: 35 -[DEBUG][time= 575] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 36 -[DEBUG][time= 575] FreeList_1: req:1 canAlloc:1 pdest: 67 headNext:0: 36 -[DEBUG][time= 575] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 37 -[DEBUG][time= 575] FreeList_1: req:1 canAlloc:1 pdest: 68 headNext:0: 37 -[DEBUG][time= 575] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 37 -[DEBUG][time= 575] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 575] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 37 -[DEBUG][time= 575] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 575] FreeList_1: head:0: 33 tail:1: 25 -[DEBUG][time= 575] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 575] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v: 7 -[DEBUG][time= 575] Brq: ---------------- -[DEBUG][time= 575] Brq: enq v:0 rdy:1 pc:0080000038 brTag:f:0 v: 7 -[DEBUG][time= 575] Brq: enq v:0 rdy:1 pc:008000003c brTag:f:0 v: 7 -[DEBUG][time= 575] Brq: enq v:0 rdy:1 pc:0080000040 brTag:f:0 v: 7 -[DEBUG][time= 575] Brq: enq v:0 rdy:1 pc:0080000044 brTag:f:0 v: 7 -[DEBUG][time= 575] Brq: enq v:0 rdy:1 pc:0080000048 brTag:f:0 v: 7 -[DEBUG][time= 575] Brq: enq v:1 rdy:1 pc:008000004c brTag:f:0 v: 7 -[DEBUG][time= 576] Tage: req: pc=0x008000009c, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 576] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 576] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 576] XSCore: c-mem(0 0 80000e80| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ec0| 0 1) -[DEBUG][time= 576] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 576] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 576] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 576] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 576] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 576] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 576] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 576] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 576] BusyTable_1: Allocate 69 -[DEBUG][time= 576] BusyTable_1: Allocate 70 -[DEBUG][time= 576] BusyTable_1: 65 is busy -[DEBUG][time= 576] BusyTable_1: 66 is busy -[DEBUG][time= 576] BusyTable_1: 67 is busy -[DEBUG][time= 576] BusyTable_1: 68 is busy -[DEBUG][time= 576] BPUStage2: in:(1 1) pc=0080000080 out:(1 1) pc=0080000060 -[DEBUG][time= 576] BPUStage2: validLatch=1 pc=0080000060 -[DEBUG][time= 576] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 576] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 576] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 576] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 576] BPUStage1: in:(1 1) pc=008000009c ghr=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 576] BPUStage1: outPred:(1) pc=0x0080000080, redirect=1 instrValid=00000111 tgt=008000009c -[DEBUG][time= 576] BPUStage3: [RAS]:pc=0x0080000060, rasWritePosition= 1, rasWriteAddr=0x0080000060 -[DEBUG][time= 576] BPUStage3: in:(1 1) pc=0080000060 -[DEBUG][time= 576] BPUStage3: flushS3=0 -[DEBUG][time= 576] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 576] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 576] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 576] JBTAC: read: pc=0x008000009c, histXORAddr=0x0080000366, bank=3, row= 54, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 576] JBTAC: read_resp: pc=0x0080000080, bank=6, row= 23, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 576] Frontend: inst:00000513 pc:0080000050 -[INFO ][time= 576] Frontend: inst:00008067 pc:0080000054 -[INFO ][time= 576] Frontend: inst:0540006f pc:0080000058 -[INFO ][time= 576] Frontend: inst:ff010113 pc:008000005c -[DEBUG][time= 576] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 576] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000054 instr:00008067 -[DEBUG][time= 576] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000058 instr:0540006f -[DEBUG][time= 576] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000005c instr:ff010113 -[DEBUG][time= 576] Ibuffer: Deque: -[DEBUG][time= 576] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=1 -[DEBUG][time= 576] Ibuffer: 00000000000000001000000001100111 PC=0080000054 v=1 r=1 -[DEBUG][time= 576] Ibuffer: 00000101010000000000000001101111 PC=0080000058 v=1 r=1 -[DEBUG][time= 576] Ibuffer: 11111111000000010000000100010011 PC=008000005c v=1 r=1 -[DEBUG][time= 576] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=0 r=1 -[DEBUG][time= 576] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=0 r=1 -[DEBUG][time= 576] BTB: read: pc=0x008000009c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 576] BTB: read_resp: pc=0x0080000080, readIdx= 64------------------------------- -[DEBUG][time= 576] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400000, target=0x008000009c, type=1, ctr=2 -[DEBUG][time= 576] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=10][r= 4]: valid=1, tag=0x00400000, target=0x0080000010, type=1, ctr=2 -[DEBUG][time= 576] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=12][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 576] BTB: bankIdxInOrder:[DEBUG][time= 576] BTB: 0 [DEBUG][time= 576] BTB: 1 [DEBUG][time= 576] BTB: 2 [DEBUG][time= 576] BTB: 3 [DEBUG][time= 576] BTB: 4 [DEBUG][time= 576] BTB: 5 [DEBUG][time= 576] BTB: 6 [DEBUG][time= 576] BTB: 7 [DEBUG][time= 576] BTB: 8 [DEBUG][time= 576] BTB: 9 [DEBUG][time= 576] BTB: 10 [DEBUG][time= 576] BTB: 11 [DEBUG][time= 576] BTB: 12 [DEBUG][time= 576] BTB: 13 [DEBUG][time= 576] BTB: 14 [DEBUG][time= 576] BTB: 15 [DEBUG][time= 576] BTB: -[INFO ][time= 576] Rename: pc:0080000038 in v:1 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2:10 -> psrc2: 66 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 576] Rename: pc:008000003c in v:1 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2:11 -> psrc2: 67 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 576] Rename: pc:0080000040 in v:1 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2:12 -> psrc2: 68 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 576] Rename: pc:0080000044 in v:1 in rdy:1 lsrc1:13 -> psrc1: 65 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 69 old_pdest: 63 out v:1 r:1 -[INFO ][time= 576] Rename: pc:0080000048 in v:1 in rdy:1 lsrc1:14 -> psrc1: 64 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 70 old_pdest: 64 out v:1 r:1 -[INFO ][time= 576] Rename: pc:008000004c in v:1 in rdy:1 lsrc1:13 -> psrc1: 65 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 576] Dispatch1: pc 0x0080000020 accepted by queue 1 0 0 -[INFO ][time= 576] Dispatch1: pc 0x0080000024 accepted by queue 1 0 0 -[INFO ][time= 576] Dispatch1: pc 0x0080000028 accepted by queue 1 0 0 -[INFO ][time= 576] Dispatch1: pc 0x008000002c accepted by queue 1 0 0 -[INFO ][time= 576] Dispatch1: pc 0x0080000030 accepted by queue 0 0 1 -[INFO ][time= 576] Dispatch1: pc 0x0080000034 accepted by queue 0 0 1 -[DEBUG][time= 576] Dispatch1: pc 0x0080000020 receives nroq 54 -[DEBUG][time= 576] Dispatch1: v:1 r:1 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 576] Dispatch1: pc 0x0080000024 receives nroq 55 -[DEBUG][time= 576] Dispatch1: v:1 r:1 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 576] Dispatch1: pc 0x0080000028 receives nroq 56 -[DEBUG][time= 576] Dispatch1: v:1 r:1 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 576] Dispatch1: pc 0x008000002c receives nroq 57 -[DEBUG][time= 576] Dispatch1: v:1 r:1 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 576] Dispatch1: pc 0x0080000030 receives nroq 58 -[DEBUG][time= 576] Dispatch1: v:1 r:1 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 576] Dispatch1: pc 0x0080000034 receives nroq 59 -[DEBUG][time= 576] Dispatch1: v:1 r:1 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 576] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 576] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 576] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 576] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 576] Dispatch2: regfile 0 from 0 -[DEBUG][time= 576] Dispatch2: regfile 1 from 0 -[DEBUG][time= 576] Dispatch2: regfile 2 from 1 -[DEBUG][time= 576] Dispatch2: regfile 3 from 1 -[DEBUG][time= 576] Dispatch2: regfile 4 from 2 -[DEBUG][time= 576] Dispatch2: regfile 5 from 2 -[DEBUG][time= 576] Dispatch2: regfile 6 from 0 -[DEBUG][time= 576] Dispatch2: regfile 7 from 0 -[DEBUG][time= 576] Dispatch2: int regfile 0: addr 53, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 6: addr 53, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 8: addr 44, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 9: addr 45, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 12: addr 45, state 1 -[DEBUG][time= 576] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 576] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 576] DecodeBuffer: in v:1 r:1 pc=0080000050 -[INFO ][time= 576] DecodeBuffer: in v:1 r:1 pc=0080000054 -[INFO ][time= 576] DecodeBuffer: in v:1 r:1 pc=0080000058 -[INFO ][time= 576] DecodeBuffer: in v:1 r:1 pc=008000005c -[INFO ][time= 576] DecodeBuffer: in v:0 r:1 pc=0080000060 -[INFO ][time= 576] DecodeBuffer: in v:0 r:1 pc=0080000064 -[INFO ][time= 576] DecodeBuffer: out v:1 r:1 pc=0080000038 -[INFO ][time= 576] DecodeBuffer: out v:1 r:1 pc=008000003c -[INFO ][time= 576] DecodeBuffer: out v:1 r:1 pc=0080000040 -[INFO ][time= 576] DecodeBuffer: out v:1 r:1 pc=0080000044 -[INFO ][time= 576] DecodeBuffer: out v:1 r:1 pc=0080000048 -[INFO ][time= 576] DecodeBuffer: out v:1 r:1 pc=008000004c -[ERROR][time= 576] Roq: CSR block should only happen in s_idle -[DEBUG][time= 576] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 576] Roq: dispatched 6 insts -[DEBUG][time= 576] Roq: head 1:22 tail 1: 3 -[DEBUG][time= 576] Roq: ---vvvvwwwwwwwvvvvvwww---------- -[DEBUG][time= 576] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 v -[DEBUG][time= 576] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 576] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 576] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 576] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 576] Roq: 0080000048 w 008000004c w 0080000050 - 0080000054 - -[DEBUG][time= 576] Roq: 0080000058 - 008000005c - 0080000060 - 0080000064 - -[DEBUG][time= 576] Roq: 0080000068 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 576] DispatchQueue_2: LsDpQ: num_enq = 2, tail = ( 4 -> 6) -[DEBUG][time= 576] DispatchQueue: IntDpQ: num_enq = 4, tail = (12 -> 0) -[DEBUG][time= 576] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ec0 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 576] LsExeUnit: forwarding data from stq, addr 0000000080000ec0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 576] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ec0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 576] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 576] LsExeUnit: sbuffer id 2 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 576] LsExeUnit: sbuffer id 3 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 2 ptr 4 valid 1 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 3 ptr 0 valid 1 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 576] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 576] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 576] LsExeUnit: stqinfo: stqValid.asUInt 00011101 stqHead 4 stqTail 2 stqCommited 4 emptySlot 1 -[DEBUG][time= 576] LsExeUnit: retiringStore now... -[DEBUG][time= 576] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483776------> s1 fire!!! -[DEBUG][time= 576] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 576] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 576] FakeCache: [Stage2_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 576] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 576] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 576] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 576] IFU: [IF1]if1_valid:1 || if1_npc:0x008000009c || if1_pcUpdate:1 if1_pc:0x0080000080 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 576] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000080 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 576] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 576] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00000111 || if2_btb_target:0x008000009c -[DEBUG][time= 576] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000060 if3_npc:0x0080000080 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 576] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 576] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 576] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 576] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 576] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 576] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 576] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 576] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 576] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 576] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 576] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 576] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 576] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 576] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 576] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 576] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 576] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 576] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 576] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 576] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 576] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 576] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 576] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 576] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 576] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 576] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 576] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 576] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 576] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 576] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 576] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 576] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 576] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 576] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 576] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 576] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 576] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 576] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 576] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 576] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 576] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 576] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 576] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 576] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 576] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 576] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 576] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 576] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 576] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 576] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 576] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 576] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 576] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 576] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 576] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 576] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 576] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 576] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 576] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 576] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 576] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 576] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 576] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 576] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 576] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 576] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 576] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 576] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 576] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 576] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 576] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 576] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 576] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 576] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 576] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 576] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 576] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 576] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 576] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 576] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 576] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 576] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 576] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 576] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 576] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 576] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 576] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 576] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 576] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 576] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 576] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 576] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 576] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 576] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 576] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 576] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 576] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 576] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 576] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 576] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 576] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 576] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 576] FreeList: do checkPt at BrqIdx= 7 headPtr:0: 0 -[DEBUG][time= 576] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 576] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 576] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 37 -[DEBUG][time= 576] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 576] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 37 -[DEBUG][time= 576] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 576] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 37 -[DEBUG][time= 576] FreeList_1: req:0 canAlloc:1 pdest: 69 headNext:0: 37 -[DEBUG][time= 576] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 38 -[DEBUG][time= 576] FreeList_1: req:1 canAlloc:1 pdest: 69 headNext:0: 38 -[DEBUG][time= 576] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 39 -[DEBUG][time= 576] FreeList_1: req:1 canAlloc:1 pdest: 70 headNext:0: 39 -[DEBUG][time= 576] FreeList_1: do checkPt at BrqIdx= 7 headPtr:0: 39 -[DEBUG][time= 576] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 576] FreeList_1: head:0: 37 tail:1: 25 -[DEBUG][time= 576] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 576] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v: 8 -[DEBUG][time= 576] Brq: ---------------- -[DEBUG][time= 576] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 8 -[DEBUG][time= 576] Brq: enq v:1 rdy:1 pc:0080000054 brTag:f:0 v: 8 -[DEBUG][time= 576] Brq: enq v:1 rdy:1 pc:0080000058 brTag:f:0 v: 9 -[DEBUG][time= 576] Brq: enq v:0 rdy:1 pc:008000005c brTag:f:0 v:10 -[DEBUG][time= 576] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 576] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v:10 -[DEBUG][time= 577] Tage: req: pc=0x00800000bc, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 577] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 577] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 577] XSCore: c-mem(0 0 00000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 577] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 577] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 577] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 577] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 577] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 577] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 577] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 577] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 577] BusyTable_1: Allocate 71 -[DEBUG][time= 577] BusyTable_1: Allocate 72 -[DEBUG][time= 577] BusyTable_1: 65 is busy -[DEBUG][time= 577] BusyTable_1: 66 is busy -[DEBUG][time= 577] BusyTable_1: 67 is busy -[DEBUG][time= 577] BusyTable_1: 68 is busy -[DEBUG][time= 577] BusyTable_1: 69 is busy -[DEBUG][time= 577] BusyTable_1: 70 is busy -[DEBUG][time= 577] BPUStage2: in:(1 1) pc=008000009c out:(1 1) pc=0080000080 -[DEBUG][time= 577] BPUStage2: validLatch=1 pc=0080000080 -[DEBUG][time= 577] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 577] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 577] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 577] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 577] BPUStage1: in:(1 1) pc=00800000bc ghr=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 577] BPUStage1: outPred:(1) pc=0x008000009c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 577] BPUStage3: [RAS]:pc=0x0080000080, rasWritePosition= 1, rasWriteAddr=0x0080000080 -[DEBUG][time= 577] BPUStage3: in:(1 1) pc=0080000080 -[DEBUG][time= 577] BPUStage3: out:1 pc=0080000060 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000080 -[DEBUG][time= 577] BPUStage3: flushS3=0 -[DEBUG][time= 577] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 577] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 577] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 577] JBTAC: read: pc=0x00800000bc, histXORAddr=0x0080000346, bank=3, row= 52, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 577] JBTAC: read_resp: pc=0x008000009c, bank=3, row= 54, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 577] Ibuffer: Enque: -[DEBUG][time= 577] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 577] Ibuffer: 00000000000001010000010110010011 -[DEBUG][time= 577] Ibuffer: 00000000000001010000010100010011 -[DEBUG][time= 577] Ibuffer: 00000000000001010000000001101011 -[DEBUG][time= 577] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 577] Ibuffer: 11000101000001010000010100010011 -[DEBUG][time= 577] Ibuffer: 00111101010100000000000011101111 -[DEBUG][time= 577] Ibuffer: 00000000000000000000000001101111 -[DEBUG][time= 577] Ibuffer: last_head_ptr= 32 last_tail_ptr= 32 -[DEBUG][time= 577] BTB: read: pc=0x00800000bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 577] BTB: read_resp: pc=0x008000009c, readIdx= 78------------------------------- -[DEBUG][time= 577] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 577] BTB: bankIdxInOrder:[DEBUG][time= 577] BTB: 14 [DEBUG][time= 577] BTB: 15 [DEBUG][time= 577] BTB: 0 [DEBUG][time= 577] BTB: 1 [DEBUG][time= 577] BTB: 2 [DEBUG][time= 577] BTB: 3 [DEBUG][time= 577] BTB: 4 [DEBUG][time= 577] BTB: 5 [DEBUG][time= 577] BTB: 6 [DEBUG][time= 577] BTB: 7 [DEBUG][time= 577] BTB: 8 [DEBUG][time= 577] BTB: 9 [DEBUG][time= 577] BTB: 10 [DEBUG][time= 577] BTB: 11 [DEBUG][time= 577] BTB: 12 [DEBUG][time= 577] BTB: 13 [DEBUG][time= 577] BTB: -[INFO ][time= 577] Rename: pc:0080000050 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 71 old_pdest: 66 out v:1 r:1 -[INFO ][time= 577] Rename: pc:0080000054 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 577] Rename: pc:0080000058 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 577] Rename: pc:008000005c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 72 old_pdest: 36 out v:1 r:1 -[INFO ][time= 577] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 70 out v:0 r:1 -[INFO ][time= 577] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 65 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 577] Dispatch1: pc 0x0080000038 accepted by queue 0 0 1 -[INFO ][time= 577] Dispatch1: pc 0x008000003c accepted by queue 0 0 1 -[INFO ][time= 577] Dispatch1: pc 0x0080000040 accepted by queue 0 0 1 -[INFO ][time= 577] Dispatch1: pc 0x0080000044 accepted by queue 1 0 0 -[INFO ][time= 577] Dispatch1: pc 0x0080000048 accepted by queue 1 0 0 -[INFO ][time= 577] Dispatch1: pc 0x008000004c accepted by queue 1 0 0 -[DEBUG][time= 577] Dispatch1: pc 0x0080000038 receives nroq 60 -[DEBUG][time= 577] Dispatch1: v:1 r:1 pc 0x0080000038 of type 1101 is in 0-th slot -[DEBUG][time= 577] Dispatch1: pc 0x008000003c receives nroq 61 -[DEBUG][time= 577] Dispatch1: v:1 r:1 pc 0x008000003c of type 1101 is in 1-th slot -[DEBUG][time= 577] Dispatch1: pc 0x0080000040 receives nroq 62 -[DEBUG][time= 577] Dispatch1: v:1 r:1 pc 0x0080000040 of type 1101 is in 2-th slot -[DEBUG][time= 577] Dispatch1: pc 0x0080000044 receives nroq 63 -[DEBUG][time= 577] Dispatch1: v:1 r:1 pc 0x0080000044 of type 0011 is in 3-th slot -[DEBUG][time= 577] Dispatch1: pc 0x0080000048 receives nroq 0 -[DEBUG][time= 577] Dispatch1: v:1 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 577] Dispatch1: pc 0x008000004c receives nroq 1 -[DEBUG][time= 577] Dispatch1: v:1 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 577] Dispatch2: int dp queue 0: 0080000020 type 0011 -[DEBUG][time= 577] Dispatch2: int dp queue 1: 0080000024 type 0011 -[DEBUG][time= 577] Dispatch2: int dp queue 2: 0080000028 type 0011 -[DEBUG][time= 577] Dispatch2: int dp queue 3: 008000002c type 0011 -[DEBUG][time= 577] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 577] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 577] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 577] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 577] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 577] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 577] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 577] Dispatch2: regfile 0 from 0 -[DEBUG][time= 577] Dispatch2: regfile 1 from 0 -[DEBUG][time= 577] Dispatch2: regfile 2 from 1 -[DEBUG][time= 577] Dispatch2: regfile 3 from 1 -[DEBUG][time= 577] Dispatch2: regfile 4 from 2 -[DEBUG][time= 577] Dispatch2: regfile 5 from 2 -[DEBUG][time= 577] Dispatch2: regfile 6 from 3 -[DEBUG][time= 577] Dispatch2: regfile 7 from 3 -[DEBUG][time= 577] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 6: addr 63, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 577] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 577] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 577] Dispatch2: pc 0x0080000020 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 577] Dispatch2: pc 0x0080000024 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 577] Dispatch2: pc 0x0080000028 with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 577] Dispatch2: pc 0x008000002c with type 0011 srcState(1 1 0) enters reservation station 4 from 3 -[INFO ][time= 577] Dispatch2: pc 0x0080000020 leaves Int dispatch queue with nroq 54 -[INFO ][time= 577] Dispatch2: pc 0x0080000024 leaves Int dispatch queue with nroq 55 -[INFO ][time= 577] Dispatch2: pc 0x0080000028 leaves Int dispatch queue with nroq 56 -[INFO ][time= 577] Dispatch2: pc 0x008000002c leaves Int dispatch queue with nroq 57 -[DEBUG][time= 577] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 577] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[INFO ][time= 577] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 577] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 577] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 577] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 577] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 577] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 577] DecodeBuffer: out v:1 r:1 pc=0080000050 -[INFO ][time= 577] DecodeBuffer: out v:1 r:1 pc=0080000054 -[INFO ][time= 577] DecodeBuffer: out v:1 r:1 pc=0080000058 -[INFO ][time= 577] DecodeBuffer: out v:1 r:1 pc=008000005c -[INFO ][time= 577] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 577] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 577] Roq: CSR block should only happen in s_idle -[DEBUG][time= 577] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 577] Roq: dispatched 6 insts -[DEBUG][time= 577] Roq: head 1:28 tail 1: 3 -[DEBUG][time= 577] Roq: ---vvvvwwwwwwwvvvvvwwwvvvvvv---- -[DEBUG][time= 577] Roq: 0080000028 - 008000002c - 0080000030 - 0080000034 v -[DEBUG][time= 577] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 577] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 577] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 577] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 577] Roq: 0080000048 w 008000004c w 0080000020 v 0080000024 v -[DEBUG][time= 577] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 577] Roq: 0080000068 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 577] DispatchQueue_2: LsDpQ: num_enq = 3, tail = ( 6 -> 9) -[DEBUG][time= 577] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 4), tail = (0, 6), -[DEBUG][time= 577] DispatchQueue: IntDpQ: num_deq = 4, head = (12 -> 0) -[DEBUG][time= 577] DispatchQueue: IntDpQ: num_enq = 3, tail = ( 0 -> 3) -[DEBUG][time= 577] DispatchQueue: IntDpQ: valid_entries = 4, head = (1, 12), tail = (0, 0), -[DEBUG][time= 577] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 577] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 577] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 577] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 577] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 577] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 577] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 577] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 577] LsExeUnit: retiringStore now... -[DEBUG][time= 577] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483804------> s1 fire!!! -[DEBUG][time= 577] FakeCache: [Stage1_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 577] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 577] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 577] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 577] FakeCache: [Stage3_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 577] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 577] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000bc || if1_pcUpdate:1 if1_pc:0x008000009c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 577] IFU: [IF2]if2_valid:1 || if2_pc:0x008000009c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 577] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 577] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 577] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000080 if3_npc:0x008000009c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 577] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000060 if4_npc:0x0080000080 -[DEBUG][time= 577] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000080 -[DEBUG][time= 577] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] starPC:0x0080000060 GroupPC:0x0080000060n -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000064 -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction 00050593 pnpc:0x0080000068 -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction 00050513 pnpc:0x008000006c -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction 0005006b pnpc:0x0080000070 -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000074 -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction c5050513 pnpc:0x0080000078 -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction 3d5000ef pnpc:0x008000007c -[DEBUG][time= 577] IFU: [IFU-Out-FetchPacket] instruction 0000006f pnpc:0x0080000080 -[DEBUG][time= 577] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 577] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 577] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 577] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 577] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 577] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 577] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 577] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 577] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 577] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 577] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 577] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 63:1 0:1 0:0) Dest: 65 oldDest: 59 pc:0080000020 roqIdx:36 -[DEBUG][time= 577] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 577] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 577] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 577] IssueQueue_1: 1 |0|1| 59|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000044|33 <- -[DEBUG][time= 577] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 -[DEBUG][time= 577] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 577] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 577] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 577] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 577] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 577] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 577] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 63:1 0:1 0:0) Dest: 66 oldDest: 60 pc:0080000024 roqIdx:37 -[DEBUG][time= 577] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 577] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 577] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 577] IssueQueue_2: 0 |0|1| 57|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 6 |0080000024|2b <- -[DEBUG][time= 577] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 -[DEBUG][time= 577] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 577] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 577] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 577] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 577] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 577] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 577] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 63:1 0:1 0:0) Dest: 67 oldDest: 61 pc:0080000028 roqIdx:38 -[DEBUG][time= 577] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 577] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 577] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 577] IssueQueue_3: 2 |0|1| 57|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 6 |0080000028|2c <- -[DEBUG][time= 577] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 -[DEBUG][time= 577] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 577] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 577] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 577] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 577] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 577] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 577] IssueQueue_4: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 63:1 0:1 0:0) Dest: 68 oldDest: 62 pc:008000002c roqIdx:39 -[DEBUG][time= 577] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 577] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 577] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 577] IssueQueue_4: 1 |0|1| 57|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 6 |008000002c|2d <- -[DEBUG][time= 577] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 -[DEBUG][time= 577] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 577] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 577] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 577] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 577] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 577] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 577] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 577] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 577] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 577] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 577] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 577] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 577] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 577] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 577] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 577] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 577] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 577] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 577] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 577] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 577] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 577] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 577] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 577] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 577] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 577] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 577] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 577] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 577] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 577] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 577] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 577] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 577] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 577] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 577] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 577] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 577] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 577] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 577] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 577] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 577] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 577] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 577] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 577] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 577] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 577] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 577] FreeList: do checkPt at BrqIdx=10 headPtr:0: 0 -[DEBUG][time= 577] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 577] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 577] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 577] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 577] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 40 -[DEBUG][time= 577] FreeList_1: req:1 canAlloc:1 pdest: 71 headNext:0: 40 -[DEBUG][time= 577] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 40 -[DEBUG][time= 577] FreeList_1: req:0 canAlloc:1 pdest: 72 headNext:0: 40 -[DEBUG][time= 577] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 40 -[DEBUG][time= 577] FreeList_1: req:0 canAlloc:1 pdest: 72 headNext:0: 40 -[DEBUG][time= 577] FreeList_1: do checkPt at BrqIdx=10 headPtr:0: 41 -[DEBUG][time= 577] FreeList_1: req:1 canAlloc:1 pdest: 72 headNext:0: 41 -[DEBUG][time= 577] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 577] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 577] FreeList_1: head:0: 39 tail:1: 25 -[DEBUG][time= 577] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 577] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v:10 -[DEBUG][time= 577] Brq: ---------------- -[DEBUG][time= 577] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 577] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 577] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 577] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 577] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 577] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 578] Tage: req: pc=0x00800000dc, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 578] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 578] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 578] XSCore: c-mem(1 1 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 578] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 578] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 578] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 578] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 578] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 578] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 578] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 578] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 578] BusyTable_1: writeback 65 -[DEBUG][time= 578] BusyTable_1: writeback 66 -[DEBUG][time= 578] BusyTable_1: writeback 67 -[DEBUG][time= 578] BusyTable_1: writeback 68 -[DEBUG][time= 578] BusyTable_1: 65 is busy -[DEBUG][time= 578] BusyTable_1: 66 is busy -[DEBUG][time= 578] BusyTable_1: 67 is busy -[DEBUG][time= 578] BusyTable_1: 68 is busy -[DEBUG][time= 578] BusyTable_1: 69 is busy -[DEBUG][time= 578] BusyTable_1: 70 is busy -[DEBUG][time= 578] BusyTable_1: 71 is busy -[DEBUG][time= 578] BusyTable_1: 72 is busy -[DEBUG][time= 578] BPUStage2: in:(1 1) pc=00800000bc out:(1 1) pc=008000009c -[DEBUG][time= 578] BPUStage2: validLatch=1 pc=008000009c -[DEBUG][time= 578] DispatchGen: priority: data(0) = 1, priority = 0 -[DEBUG][time= 578] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 578] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 578] DispatchGen: priority: data(3) = 1, priority = 3 -[INFO ][time= 578] WriteBackArbMtoN: out(1) pc(0x0080000020) writebacks 0x0000000000000004 to pdest( 65) ldest(13) -[INFO ][time= 578] WriteBackArbMtoN: out(2) pc(0x0080000024) writebacks 0x0000000000000005 to pdest( 66) ldest(10) -[INFO ][time= 578] WriteBackArbMtoN: out(3) pc(0x0080000028) writebacks 0x0000000000000006 to pdest( 67) ldest(11) -[INFO ][time= 578] WriteBackArbMtoN: out(4) pc(0x008000002c) writebacks 0x0000000000000007 to pdest( 68) ldest(12) -[DEBUG][time= 578] BPUStage1: in:(1 1) pc=00800000dc ghr=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 578] BPUStage1: outPred:(1) pc=0x00800000bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 578] BPUStage3: [RAS]:pc=0x008000009c, rasWritePosition= 1, rasWriteAddr=0x008000008c -[DEBUG][time= 578] BPUStage3: in:(1 1) pc=008000009c -[DEBUG][time= 578] BPUStage3: out:1 pc=0080000080 redirect=0 predcdMask=11111111 instrValid=00000111 tgt=008000009c -[DEBUG][time= 578] BPUStage3: flushS3=0 -[DEBUG][time= 578] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 578] BPUStage3: jmpIdx=2, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=2 retIdx=7 -[DEBUG][time= 578] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=1 -[DEBUG][time= 578] JBTAC: read: pc=0x00800000dc, histXORAddr=0x0080000326, bank=3, row= 50, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 578] JBTAC: read_resp: pc=0x00800000bc, bank=3, row= 52, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 578] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 578] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 578] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 578] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 578] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 578] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 578] Ibuffer: Enque: -[DEBUG][time= 578] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 578] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 578] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 578] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 578] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 578] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 578] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 578] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 578] Ibuffer: Deque: -[DEBUG][time= 578] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 578] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 578] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 578] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 578] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 578] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 578] Ibuffer: last_head_ptr= 32 last_tail_ptr= 48 -[DEBUG][time= 578] BTB: read: pc=0x00800000dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 578] BTB: read_resp: pc=0x00800000bc, readIdx= 94------------------------------- -[DEBUG][time= 578] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 578] BTB: bankIdxInOrder:[DEBUG][time= 578] BTB: 14 [DEBUG][time= 578] BTB: 15 [DEBUG][time= 578] BTB: 0 [DEBUG][time= 578] BTB: 1 [DEBUG][time= 578] BTB: 2 [DEBUG][time= 578] BTB: 3 [DEBUG][time= 578] BTB: 4 [DEBUG][time= 578] BTB: 5 [DEBUG][time= 578] BTB: 6 [DEBUG][time= 578] BTB: 7 [DEBUG][time= 578] BTB: 8 [DEBUG][time= 578] BTB: 9 [DEBUG][time= 578] BTB: 10 [DEBUG][time= 578] BTB: 11 [DEBUG][time= 578] BTB: 12 [DEBUG][time= 578] BTB: 13 [DEBUG][time= 578] BTB: -[DEBUG][time= 578] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 1 -[DEBUG][time= 578] AluExeUnit: src1:0000000000000003 src2:0000000000000001 offset:0000000000000001 func:0000000 pc:0000000080000020 -[DEBUG][time= 578] AluExeUnit: res:0000000000000004 aluRes:00000000000000004 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000004 taken:0 -[DEBUG][time= 578] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 1 -[DEBUG][time= 578] AluExeUnit_1: src1:0000000000000003 src2:0000000000000002 offset:0000000000000002 func:0000000 pc:0000000080000024 -[DEBUG][time= 578] AluExeUnit_1: res:0000000000000005 aluRes:00000000000000005 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000005 taken:0 -[DEBUG][time= 578] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 1 -[DEBUG][time= 578] AluExeUnit_2: src1:0000000000000003 src2:0000000000000003 offset:0000000000000003 func:0000000 pc:0000000080000028 -[DEBUG][time= 578] AluExeUnit_2: res:0000000000000006 aluRes:00000000000000006 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000006 taken:1 -[DEBUG][time= 578] AluExeUnit_3: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 1 -[DEBUG][time= 578] AluExeUnit_3: src1:0000000000000003 src2:0000000000000004 offset:0000000000000004 func:0000000 pc:000000008000002c -[DEBUG][time= 578] AluExeUnit_3: res:0000000000000007 aluRes:00000000000000007 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000007 taken:0 -[INFO ][time= 578] Rename: pc:0080000050 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 71 out v:0 r:0 -[INFO ][time= 578] Rename: pc:0080000054 in v:0 in rdy:0 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 578] Rename: pc:0080000058 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 578] Rename: pc:008000005c in v:0 in rdy:0 lsrc1: 2 -> psrc1: 72 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 72 out v:0 r:0 -[INFO ][time= 578] Rename: pc:0080000048 in v:0 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 70 out v:0 r:0 -[INFO ][time= 578] Rename: pc:008000004c in v:0 in rdy:0 lsrc1:13 -> psrc1: 65 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 578] Dispatch1: pc 0x0080000050 accepted by queue 1 0 0 -[DEBUG][time= 578] Dispatch1: pc 0x0080000050 receives nroq 2 -[DEBUG][time= 578] Dispatch1: v:1 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 578] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 578] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 578] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 578] Dispatch1: v:0 r:0 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 578] Dispatch1: v:0 r:0 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 578] Dispatch2: int dp queue 0: 0080000044 type 0011 -[DEBUG][time= 578] Dispatch2: int dp queue 1: 0080000048 type 0011 -[DEBUG][time= 578] Dispatch2: int dp queue 2: 008000004c type 0011 -[DEBUG][time= 578] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 578] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 578] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 578] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 578] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 578] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 578] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 578] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 578] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 578] Dispatch2: regfile 0 from 0 -[DEBUG][time= 578] Dispatch2: regfile 1 from 0 -[DEBUG][time= 578] Dispatch2: regfile 2 from 1 -[DEBUG][time= 578] Dispatch2: regfile 3 from 1 -[DEBUG][time= 578] Dispatch2: regfile 4 from 2 -[DEBUG][time= 578] Dispatch2: regfile 5 from 2 -[DEBUG][time= 578] Dispatch2: regfile 6 from 3 -[DEBUG][time= 578] Dispatch2: regfile 7 from 3 -[DEBUG][time= 578] Dispatch2: int regfile 0: addr 65, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 2: addr 64, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 4: addr 65, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 6: addr 57, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 578] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 578] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 578] Dispatch2: pc 0x0080000044 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 578] Dispatch2: pc 0x0080000048 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 578] Dispatch2: pc 0x008000004c with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 578] Dispatch2: pc 0x0080000044 leaves Int dispatch queue with nroq 63 -[INFO ][time= 578] Dispatch2: pc 0x0080000048 leaves Int dispatch queue with nroq 0 -[INFO ][time= 578] Dispatch2: pc 0x008000004c leaves Int dispatch queue with nroq 1 -[DEBUG][time= 578] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 578] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 578] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 578] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[DEBUG][time= 578] Dispatch2: pc 0x0080000020 reads operands from ( 0, 63, 0000000000000003), ( 1, 0, 0000000000000001), ( 0, 0, 0000000000000000) -[DEBUG][time= 578] Dispatch2: pc 0x0080000024 reads operands from ( 2, 63, 0000000000000003), ( 3, 0, 0000000000000002), ( 0, 0, 0000000000000000) -[DEBUG][time= 578] Dispatch2: pc 0x0080000028 reads operands from ( 4, 63, 0000000000000003), ( 5, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[DEBUG][time= 578] Dispatch2: pc 0x008000002c reads operands from ( 6, 63, 0000000000000003), ( 7, 0, 0000000000000004), ( 0, 0, 0000000000000000) -[INFO ][time= 578] DecodeBuffer: in v:1 r:0 pc=0080000060 -[INFO ][time= 578] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 578] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 578] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 578] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 578] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 578] DecodeBuffer: out v:0 r:0 pc=0080000050 -[INFO ][time= 578] DecodeBuffer: out v:0 r:0 pc=0080000054 -[INFO ][time= 578] DecodeBuffer: out v:0 r:0 pc=0080000058 -[INFO ][time= 578] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 578] DecodeBuffer: out v:0 r:0 pc=0080000048 -[INFO ][time= 578] DecodeBuffer: out v:0 r:0 pc=008000004c -[ERROR][time= 578] Roq: CSR block should only happen in s_idle -[DEBUG][time= 578] Roq: (ready, valid): (1, 1) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[INFO ][time= 578] Roq: dispatched 1 insts -[INFO ][time= 578] Roq: writebacked 4 insts -[INFO ][time= 578] Roq: writebacked pc 0x0080000020 wen 1 data 0x0000000000000004 ldst 13 pdst 65 skip 0 -[INFO ][time= 578] Roq: writebacked pc 0x0080000024 wen 1 data 0x0000000000000005 ldst 10 pdst 66 skip 0 -[INFO ][time= 578] Roq: writebacked pc 0x0080000028 wen 1 data 0x0000000000000006 ldst 11 pdst 67 skip 0 -[INFO ][time= 578] Roq: writebacked pc 0x008000002c wen 1 data 0x0000000000000007 ldst 12 pdst 68 skip 0 -[DEBUG][time= 578] Roq: head 0: 2 tail 1: 3 -[DEBUG][time= 578] Roq: vv-vvvvwwwwwwwvvvvvwwwvvvvvvvvvv -[DEBUG][time= 578] Roq: 0080000048 v 008000004c v 0080000030 - 0080000034 v -[DEBUG][time= 578] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 578] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 578] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 578] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 578] Roq: 0080000048 w 008000004c w 0080000020 v 0080000024 v -[DEBUG][time= 578] Roq: 0080000028 v 008000002c v 0080000030 v 0080000034 v -[DEBUG][time= 578] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 578] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 578] DispatchQueue: IntDpQ: num_deq = 3, head = ( 0 -> 3) -[DEBUG][time= 578] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 3 -> 4) -[DEBUG][time= 578] DispatchQueue: IntDpQ: valid_entries = 3, head = (0, 0), tail = (0, 3), -[DEBUG][time= 578] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 578] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 578] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 578] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 578] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 578] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 578] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 578] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 578] LsExeUnit: retiringStore now... -[DEBUG][time= 578] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483836------> s1 fire!!! -[DEBUG][time= 578] FakeCache: [Stage1_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 578] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 578] FakeCache: [Stage2_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 578] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 578] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 578] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 578] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000dc || if1_pcUpdate:1 if1_pc:0x00800000bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 578] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 578] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 578] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 578] IFU: [IF3]if3_valid:1 || if3_pc:0x008000009c if3_npc:0x00800000bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 578] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000080 if4_npc:0x008000009c -[DEBUG][time= 578] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:00000111 || if4_tage_target:0x008000009c -[DEBUG][time= 578] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] starPC:0x0080000080 GroupPC:0x0080000080n -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instrmask 0000000000111111 -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000009c -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 578] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 578] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 578] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 578] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 578] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 578] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 578] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 578] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 578] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 578] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 578] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 578] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 578] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 65:1 0:1 0:0) Dest: 69 oldDest: 63 pc:0080000044 roqIdx:3f -[INFO ][time= 578] IssueQueue_1: EnqData: src1:0000000000000003 src2:0000000000000001 src3:0000000000000000 pc:0080000020 roqIdx:36(for last cycle's Ctrl) -[INFO ][time= 578] IssueQueue_1: Deq:(1 1) [ 63|0000000000000003][ 0|0000000000000001][ 0|c63124ed8740c04f] pdest: 65 pc:0080000020 roqIdx:36 -[DEBUG][time= 578] IssueQueue_1: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 578] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 65) -[DEBUG][time= 578] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 578] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 578] IssueQueue_1: 1 |1|1| 63|1|0000000000000003| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000020|36 -[DEBUG][time= 578] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 9 |008000005c|39 <- -[DEBUG][time= 578] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 578] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 578] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 578] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 578] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 578] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 578] IssueQueue_2: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 64:1 0:1 0:0) Dest: 70 oldDest: 64 pc:0080000048 roqIdx:00 -[INFO ][time= 578] IssueQueue_2: EnqData: src1:0000000000000003 src2:0000000000000002 src3:0000000000000000 pc:0080000024 roqIdx:37(for last cycle's Ctrl) -[INFO ][time= 578] IssueQueue_2: Deq:(1 1) [ 63|0000000000000003][ 0|0000000000000002][ 0|56e780095a4543a2] pdest: 66 pc:0080000024 roqIdx:37 -[DEBUG][time= 578] IssueQueue_2: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 578] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 66) -[DEBUG][time= 578] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 578] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 578] IssueQueue_2: 0 |1|1| 63|1|0000000000000002| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 -[DEBUG][time= 578] IssueQueue_2: 2 |0|1| 58|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 6 |0080000048|34 <- -[DEBUG][time= 578] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 578] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 578] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 578] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 578] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 578] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 578] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 65:1 46:1 0:0) Dest: 32 oldDest: 0 pc:008000004c roqIdx:01 -[INFO ][time= 578] IssueQueue_3: EnqData: src1:0000000000000003 src2:0000000000000003 src3:0000000000000000 pc:0080000028 roqIdx:38(for last cycle's Ctrl) -[INFO ][time= 578] IssueQueue_3: Deq:(1 1) [ 63|0000000000000003][ 0|0000000000000003][ 0|04ea9d532908f0c8] pdest: 67 pc:0080000028 roqIdx:38 -[DEBUG][time= 578] IssueQueue_3: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 578] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 67) -[DEBUG][time= 578] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 578] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 578] IssueQueue_3: 2 |1|1| 63|1|0000000000000002| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 -[DEBUG][time= 578] IssueQueue_3: 1 |0|1| 59|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 6 |008000004c|35 <- -[DEBUG][time= 578] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 578] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 578] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 578] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 578] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 578] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 578] IssueQueue_4: EnqData: src1:0000000000000003 src2:0000000000000004 src3:0000000000000000 pc:008000002c roqIdx:39(for last cycle's Ctrl) -[INFO ][time= 578] IssueQueue_4: Deq:(1 1) [ 63|0000000000000003][ 0|0000000000000004][ 0|cc3111a43502079a] pdest: 68 pc:008000002c roqIdx:39 -[DEBUG][time= 578] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 578] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 68) -[DEBUG][time= 578] IssueQueue_4: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 578] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 578] IssueQueue_4: 1 |1|1| 63|1|0000000000000002| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 -[DEBUG][time= 578] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 <- -[DEBUG][time= 578] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 578] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 578] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 578] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 578] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 578] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 578] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 578] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 578] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 578] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 578] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 578] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 578] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 578] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 578] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 578] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 578] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 578] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 578] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 578] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 578] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 578] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 578] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 578] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 578] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 578] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 578] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 578] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 578] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 578] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 578] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 578] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 578] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 578] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 578] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 578] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 578] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 578] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 578] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 578] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 578] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 578] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 578] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 578] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 578] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 578] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 578] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 578] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 578] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 578] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 578] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 578] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 578] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 578] FreeList_1: head:0: 41 tail:1: 25 -[DEBUG][time= 578] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 578] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v:10 -[DEBUG][time= 578] Brq: ---------------- -[DEBUG][time= 578] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 578] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 578] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 578] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 578] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 578] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 579] Tage: req: pc=0x00800000fc, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 579] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 579] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 579] XSCore: c-mem(0 0 00000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 579] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 579] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 579] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 579] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 579] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 579] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 579] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 579] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 579] BusyTable_1: writeback 69 -[DEBUG][time= 579] BusyTable_1: writeback 70 -[DEBUG][time= 579] BusyTable_1: 69 is busy -[DEBUG][time= 579] BusyTable_1: 70 is busy -[DEBUG][time= 579] BusyTable_1: 71 is busy -[DEBUG][time= 579] BusyTable_1: 72 is busy -[DEBUG][time= 579] BPUStage2: in:(1 1) pc=00800000dc out:(1 1) pc=00800000bc -[DEBUG][time= 579] BPUStage2: validLatch=1 pc=00800000bc -[DEBUG][time= 579] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 579] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 579] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 579] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 579] WriteBackArbMtoN: out(1) pc(0x0080000044) writebacks 0x0000000000000004 to pdest( 69) ldest(15) -[INFO ][time= 579] WriteBackArbMtoN: out(2) pc(0x0080000048) writebacks 0x0000000080000f50 to pdest( 70) ldest(14) -[DEBUG][time= 579] BPUStage1: in:(1 1) pc=00800000fc ghr=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 579] BPUStage1: outPred:(1) pc=0x00800000dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 579] BPUStage3: [RAS]:pc=0x00800000bc, rasWritePosition= 2, rasWriteAddr=0x00800000bc -[DEBUG][time= 579] BPUStage3: in:(1 1) pc=00800000bc -[DEBUG][time= 579] BPUStage3: out:1 pc=008000009c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000bc -[DEBUG][time= 579] BPUStage3: flushS3=0 -[DEBUG][time= 579] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 579] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 579] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 579] JBTAC: read: pc=0x00800000fc, histXORAddr=0x0080000306, bank=3, row= 48, hist=0000000000000000000000000000000000000000000000000000000111111101 -[DEBUG][time= 579] JBTAC: read_resp: pc=0x00800000dc, bank=3, row= 50, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 579] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 579] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 579] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 579] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 579] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 579] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 579] Ibuffer: Enque: -[DEBUG][time= 579] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 579] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 579] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 579] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 579] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 579] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 579] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 579] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 579] Ibuffer: Deque: -[DEBUG][time= 579] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 579] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 579] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 579] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 579] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 579] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=0 -[DEBUG][time= 579] Ibuffer: last_head_ptr= 32 last_tail_ptr= 54 -[DEBUG][time= 579] BTB: read: pc=0x00800000fc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 579] BTB: read_resp: pc=0x00800000dc, readIdx=110------------------------------- -[DEBUG][time= 579] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 579] BTB: bankIdxInOrder:[DEBUG][time= 579] BTB: 14 [DEBUG][time= 579] BTB: 15 [DEBUG][time= 579] BTB: 0 [DEBUG][time= 579] BTB: 1 [DEBUG][time= 579] BTB: 2 [DEBUG][time= 579] BTB: 3 [DEBUG][time= 579] BTB: 4 [DEBUG][time= 579] BTB: 5 [DEBUG][time= 579] BTB: 6 [DEBUG][time= 579] BTB: 7 [DEBUG][time= 579] BTB: 8 [DEBUG][time= 579] BTB: 9 [DEBUG][time= 579] BTB: 10 [DEBUG][time= 579] BTB: 11 [DEBUG][time= 579] BTB: 12 [DEBUG][time= 579] BTB: 13 [DEBUG][time= 579] BTB: -[DEBUG][time= 579] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 1 -[DEBUG][time= 579] AluExeUnit: src1:0000000000000004 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000044 -[DEBUG][time= 579] AluExeUnit: res:0000000000000004 aluRes:00000000000000004 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000004 taken:0 -[DEBUG][time= 579] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 1 -[DEBUG][time= 579] AluExeUnit_1: src1:0000000080000f28 src2:0000000000000028 offset:0000000000000028 func:0000000 pc:0000000080000048 -[DEBUG][time= 579] AluExeUnit_1: res:0000000080000f50 aluRes:00000000080000f50 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000f50 taken:0 -[DEBUG][time= 579] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 1 -[DEBUG][time= 579] AluExeUnit_2: src1:0000000000000004 src2:0000000000000005 offset:ffffffffffffffd4 func:0010001 pc:000000008000004c -[DEBUG][time= 579] AluExeUnit_2: res:0000000000000080 aluRes:00000000000000080 isRVC:0 isBru:1 isBranch:1 isJump:0 target:0080000020 taken:1 -[INFO ][time= 579] Rename: pc:0080000050 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 71 out v:0 r:0 -[INFO ][time= 579] Rename: pc:0080000054 in v:0 in rdy:0 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 579] Rename: pc:0080000058 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 579] Rename: pc:008000005c in v:0 in rdy:0 lsrc1: 2 -> psrc1: 72 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 72 out v:0 r:0 -[INFO ][time= 579] Rename: pc:0080000048 in v:0 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 70 out v:0 r:0 -[INFO ][time= 579] Rename: pc:008000004c in v:0 in rdy:0 lsrc1:13 -> psrc1: 65 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 579] Dispatch1: v:0 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 579] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 579] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 579] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 579] Dispatch1: v:0 r:0 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 579] Dispatch1: v:0 r:0 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 579] Dispatch2: int dp queue 0: 0080000050 type 0011 -[DEBUG][time= 579] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 579] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 579] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 579] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 579] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 579] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 579] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 579] Dispatch2: dispatch to iq index 4: 0 -[DEBUG][time= 579] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 579] Dispatch2: regfile 0 from 1 -[DEBUG][time= 579] Dispatch2: regfile 1 from 1 -[DEBUG][time= 579] Dispatch2: regfile 2 from 2 -[DEBUG][time= 579] Dispatch2: regfile 3 from 2 -[DEBUG][time= 579] Dispatch2: regfile 4 from 3 -[DEBUG][time= 579] Dispatch2: regfile 5 from 3 -[DEBUG][time= 579] Dispatch2: regfile 6 from 0 -[DEBUG][time= 579] Dispatch2: regfile 7 from 0 -[DEBUG][time= 579] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 579] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 579] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 579] Dispatch2: pc 0x0080000050 with type 0011 srcState(1 1 0) enters reservation station 4 from 0 -[INFO ][time= 579] Dispatch2: pc 0x0080000050 leaves Int dispatch queue with nroq 2 -[DEBUG][time= 579] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 579] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 579] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 579] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[DEBUG][time= 579] Dispatch2: pc 0x0080000044 reads operands from ( 0, 65, 0000000000000004), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 579] Dispatch2: pc 0x0080000048 reads operands from ( 2, 64, 0000000080000f28), ( 3, 0, 0000000000000028), ( 0, 0, 0000000000000000) -[DEBUG][time= 579] Dispatch2: pc 0x008000004c reads operands from ( 4, 65, 0000000000000004), ( 5, 46, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 579] DecodeBuffer: in v:1 r:0 pc=0080000060 -[INFO ][time= 579] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 579] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 579] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 579] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 579] DecodeBuffer: in v:0 r:0 pc=0080000060 -[INFO ][time= 579] DecodeBuffer: out v:0 r:0 pc=0080000050 -[INFO ][time= 579] DecodeBuffer: out v:0 r:0 pc=0080000054 -[INFO ][time= 579] DecodeBuffer: out v:0 r:0 pc=0080000058 -[INFO ][time= 579] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 579] DecodeBuffer: out v:0 r:0 pc=0080000048 -[INFO ][time= 579] DecodeBuffer: out v:0 r:0 pc=008000004c -[ERROR][time= 579] Roq: CSR block should only happen in s_idle -[DEBUG][time= 579] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[INFO ][time= 579] Roq: writebacked 2 insts -[INFO ][time= 579] Roq: writebacked pc 0x0080000044 wen 1 data 0x0000000000000004 ldst 15 pdst 69 skip 0 -[INFO ][time= 579] Roq: writebacked pc 0x0080000048 wen 1 data 0x0000000080000f50 ldst 14 pdst 70 skip 0 -[DEBUG][time= 579] Roq: head 0: 3 tail 1: 3 -[DEBUG][time= 579] Roq: vvvvvvvwwwwwwwvvvvvwwwwwwwvvvvvv -[DEBUG][time= 579] Roq: 0080000048 v 008000004c v 0080000050 v 0080000034 v -[DEBUG][time= 579] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 579] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 579] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 579] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 579] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 579] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 579] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 579] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 579] DispatchQueue: IntDpQ: num_deq = 1, head = ( 3 -> 4) -[DEBUG][time= 579] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 3), tail = (0, 4), -[DEBUG][time= 579] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 579] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 579] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 579] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 579] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 579] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 579] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 579] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 579] LsExeUnit: retiringStore now... -[DEBUG][time= 579] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483868------> s1 fire!!! -[DEBUG][time= 579] FakeCache: [Stage1_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 579] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 579] FakeCache: [Stage2_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 579] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 579] FakeCache: [Stage3_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 579] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 579] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000fc || if1_pcUpdate:1 if1_pc:0x00800000dc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 579] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000dc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 579] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 579] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 579] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000bc if3_npc:0x00800000dc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 579] IFU: [IF4]if4_valid:1 || if4_pc:0x008000009c if4_npc:0x00800000bc -[DEBUG][time= 579] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000bc -[DEBUG][time= 579] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] starPC:0x008000009c GroupPC:0x0080000080n -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 579] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 579] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 579] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 579] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 579] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 579] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 579] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 579] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 579] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 579] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 579] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 579] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 579] IssueQueue_1: EnqData: src1:0000000000000004 src2:0000000000000000 src3:0000000000000000 pc:0080000044 roqIdx:3f(for last cycle's Ctrl) -[INFO ][time= 579] IssueQueue_1: Deq:(1 1) [ 65|0000000000000004][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 69 pc:0080000044 roqIdx:3f -[DEBUG][time= 579] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 579] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 69) -[DEBUG][time= 579] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 579] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 579] IssueQueue_1: 0 |1|1| 65|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 579] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 579] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 579] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 579] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 579] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 579] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 579] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 579] IssueQueue_2: EnqData: src1:0000000080000f28 src2:0000000000000028 src3:0000000000000000 pc:0080000048 roqIdx:00(for last cycle's Ctrl) -[INFO ][time= 579] IssueQueue_2: Deq:(1 1) [ 64|0000000080000f28][ 0|0000000000000028][ 0|56e780095a4543a2] pdest: 70 pc:0080000048 roqIdx:00 -[DEBUG][time= 579] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 579] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 70) -[DEBUG][time= 579] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 579] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 579] IssueQueue_2: 2 |1|1| 64|1|0000000080000f00| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 579] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 579] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 579] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 579] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 579] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 579] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 579] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 579] IssueQueue_3: EnqData: src1:0000000000000004 src2:0000000000000005 src3:0000000000000000 pc:008000004c roqIdx:01(for last cycle's Ctrl) -[INFO ][time= 579] IssueQueue_3: Deq:(1 1) [ 65|0000000000000004][ 46|0000000000000005][ 0|04ea9d532908f0c8] pdest: 32 pc:008000004c roqIdx:01 -[DEBUG][time= 579] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 579] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 32) -[DEBUG][time= 579] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 579] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 579] IssueQueue_3: 1 |1|1| 65|1|0000000000000003| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 579] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 579] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 579] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 579] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 579] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 579] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 579] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 579] IssueQueue_4: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 71 oldDest: 66 pc:0080000050 roqIdx:02 -[DEBUG][time= 579] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 579] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 579] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 579] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000050|36 <- -[DEBUG][time= 579] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 -[DEBUG][time= 579] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 579] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 579] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 579] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 579] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 579] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 579] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 579] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 579] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 579] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 579] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 579] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 579] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 579] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 579] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 579] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 579] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 579] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 579] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 579] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 579] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 579] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 579] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 579] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 579] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 579] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 579] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 579] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 579] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 579] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 579] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 579] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 579] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 579] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 579] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 579] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 579] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 579] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 579] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 579] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 579] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 579] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 579] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 579] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 579] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 579] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 579] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 579] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 579] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 579] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 579] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 579] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 579] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 579] FreeList_1: head:0: 41 tail:1: 25 -[DEBUG][time= 579] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 579] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v:10 -[DEBUG][time= 579] Brq: ---------------- -[INFO ][time= 579] Brq: exu write back: brTag:f:0 v: 7 pc=008000004c pnpc=0080000050 target=0080000020 -[DEBUG][time= 579] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 579] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 579] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 579] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 579] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 579] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:10 -[DEBUG][time= 580] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 580] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 580] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 580] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 580] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 580] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 580] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 580] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 580] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 580] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 580] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 580] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 580] BusyTable_1: 71 is busy -[DEBUG][time= 580] BusyTable_1: 72 is busy -[DEBUG][time= 580] BPUStage2: in:(0 0) pc=00800000fc out:(0 0) pc=00800000dc -[DEBUG][time= 580] BPUStage2: validLatch=1 pc=00800000dc -[DEBUG][time= 580] BPUStage2: flush!!! -[DEBUG][time= 580] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 580] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 580] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 580] DispatchGen: priority: data(3) = 1, priority = 3 -[DEBUG][time= 580] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 580] BPUStage1: outPred:(0) pc=0x00800000fc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 580] BPUStage1: flush from backend: pc=008000004c tgt=0080000020 brTgt=0080000020 btbType=00 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000001111111 fetchIdx=3 isExcpt=0 -[DEBUG][time= 580] BPUStage3: flushS3=0 -[DEBUG][time= 580] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 580] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 580] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 580] JBTAC: read: pc=0x0080000020, histXORAddr=0x00800001de, bank=7, row= 29, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 580] JBTAC: read_resp: pc=0x00800000fc, bank=3, row= 48, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 580] JBTAC: [JBTAC]update_req: fetchPC=0x0080000040, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000001111111, bank=7, row= 11, target=0x0080000020, offset= 6, type=0x0 -[INFO ][time= 580] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 580] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 580] BTB: read_resp: pc=0x00800000fc, readIdx=126------------------------------- -[DEBUG][time= 580] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 580] BTB: bankIdxInOrder:[DEBUG][time= 580] BTB: 14 [DEBUG][time= 580] BTB: 15 [DEBUG][time= 580] BTB: 0 [DEBUG][time= 580] BTB: 1 [DEBUG][time= 580] BTB: 2 [DEBUG][time= 580] BTB: 3 [DEBUG][time= 580] BTB: 4 [DEBUG][time= 580] BTB: 5 [DEBUG][time= 580] BTB: 6 [DEBUG][time= 580] BTB: 7 [DEBUG][time= 580] BTB: 8 [DEBUG][time= 580] BTB: 9 [DEBUG][time= 580] BTB: 10 [DEBUG][time= 580] BTB: 11 [DEBUG][time= 580] BTB: 12 [DEBUG][time= 580] BTB: 13 [DEBUG][time= 580] BTB: -[DEBUG][time= 580] BTB: update_req: pc=0x008000004c, hit=1, misPred=1, oldCtr=3, taken=1, target=0x0080000020, btbType=0 -[DEBUG][time= 580] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 6, row= 2, newCtr=3 -[DEBUG][time= 580] MulExeUnit: redirect: f:0 v: 7 -[DEBUG][time= 580] MulExeUnit_1: redirect: f:0 v: 7 -[INFO ][time= 580] Rename: pc:0080000050 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 71 out v:0 r:1 -[INFO ][time= 580] Rename: pc:0080000054 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 580] Rename: pc:0080000058 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 580] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 72 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 72 out v:0 r:1 -[INFO ][time= 580] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 70 out v:0 r:1 -[INFO ][time= 580] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 65 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 580] Dispatch1: pc=0080000050 brTag:f:0 v: 7 -[DEBUG][time= 580] Dispatch1: pc=0080000054 brTag:f:0 v: 7 -[DEBUG][time= 580] Dispatch1: pc=0080000058 brTag:f:0 v: 7 -[DEBUG][time= 580] Dispatch1: pc=008000005c brTag:f:0 v: 7 -[DEBUG][time= 580] Dispatch1: pc=0080000048 brTag:f:0 v: 7 -[DEBUG][time= 580] Dispatch1: pc=008000004c brTag:f:0 v: 7 -[INFO ][time= 580] Dispatch1: pc 0x0080000050 with brTag 7 cancelled -[INFO ][time= 580] Dispatch1: pc 0x0080000054 with brTag 7 cancelled -[INFO ][time= 580] Dispatch1: pc 0x0080000058 with brTag 7 cancelled -[INFO ][time= 580] Dispatch1: pc 0x008000005c with brTag 7 cancelled -[DEBUG][time= 580] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 580] Dispatch1: v:1 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 580] Dispatch1: v:1 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 580] Dispatch1: v:1 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 580] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 580] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 580] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 580] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 580] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 580] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 580] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 580] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 580] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 580] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 580] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 580] Dispatch2: regfile 0 from 0 -[DEBUG][time= 580] Dispatch2: regfile 1 from 0 -[DEBUG][time= 580] Dispatch2: regfile 2 from 1 -[DEBUG][time= 580] Dispatch2: regfile 3 from 1 -[DEBUG][time= 580] Dispatch2: regfile 4 from 2 -[DEBUG][time= 580] Dispatch2: regfile 5 from 2 -[DEBUG][time= 580] Dispatch2: regfile 6 from 3 -[DEBUG][time= 580] Dispatch2: regfile 7 from 3 -[DEBUG][time= 580] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 580] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 580] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 580] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 580] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 580] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[DEBUG][time= 580] Dispatch2: pc 0x0080000050 reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 580] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 580] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 580] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 580] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 580] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 580] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 580] DecodeBuffer: out v:0 r:1 pc=0080000050 -[INFO ][time= 580] DecodeBuffer: out v:0 r:1 pc=0080000054 -[INFO ][time= 580] DecodeBuffer: out v:0 r:1 pc=0080000058 -[INFO ][time= 580] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 580] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 580] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 580] Roq: CSR block should only happen in s_idle -[DEBUG][time= 580] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[INFO ][time= 580] Roq: writebacked 1 insts -[INFO ][time= 580] Roq: writebacked pc 0x008000004c wen 0 data 0x0000000000000080 ldst 0 pdst 32 skip 0 -[DEBUG][time= 580] Roq: roq full, switched to s_extrawalk. needExtraSpaceForMPR: 001110 -[DEBUG][time= 580] Roq: head 0: 3 tail 1: 3 -[DEBUG][time= 580] Roq: wvvvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 580] Roq: 0080000048 w 008000004c v 0080000050 v 0080000034 v -[DEBUG][time= 580] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 580] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 580] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 580] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 580] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 580] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 580] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[INFO ][time= 580] DispatchQueue_1: FpDpQ: valid entry(15)(pc = 2008599613) cancelled with brTag 7 -[DEBUG][time= 580] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 580] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 580] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 580] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 580] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 580] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 580] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 580] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 580] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 580] LsExeUnit: retiringStore now... -[DEBUG][time= 580] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483900------> s1 fire!!! -[DEBUG][time= 580] FakeCache: [Stage1_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 580] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 580] FakeCache: [Stage2_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 580] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 580] FakeCache: [Stage3_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 580] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 580] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x00800000fc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 580] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000fc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 580] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 580] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 580] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000dc if3_npc:0x00800000fc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 580] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000bc if4_npc:0x00800000dc -[DEBUG][time= 580] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 580] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 580] IFU: [IFU-REDIRECT] target:0x0080000020 -[INFO ][time= 580] IssueQueue: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 580] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 580] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 580] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 580] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 580] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 580] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 580] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 580] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 580] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 580] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 580] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 580] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 580] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 580] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 580] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 580] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 580] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 580] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 580] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 580] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 580] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 580] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 580] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 580] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 580] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 580] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 580] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 580] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 580] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 580] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 580] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 580] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 580] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 580] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 580] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 580] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:11111000 redIdHitVec:11111000 enqHit:0 selIsRed:0 -[DEBUG][time= 580] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 580] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 580] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 580] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 580] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 580] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 580] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 580] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 580] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 580] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 580] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 580] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:11111101 redIdHitVec:11111101 enqHit:0 selIsRed:1 -[INFO ][time= 580] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000050 roqIdx:02(for last cycle's Ctrl) -[DEBUG][time= 580] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 580] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 71) -[DEBUG][time= 580] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 580] IssueQueue_4: 0 |1|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 580] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 580] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 580] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 580] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 580] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 580] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 580] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 580] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 580] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 580] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 580] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 580] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 580] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 580] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 580] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 580] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 580] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 580] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 580] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 580] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:11111111 redIdHitVec:11111111 enqHit:0 selIsRed:0 -[DEBUG][time= 580] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 580] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 580] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 580] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 580] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 580] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 580] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 580] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 580] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 580] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 580] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 580] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 7 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 580] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 580] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 580] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 580] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 580] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 580] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 580] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 580] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 580] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 580] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 580] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 580] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 580] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 580] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 580] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 580] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 580] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 580] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 580] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 580] FreeList: redirect: brqIdx= 7 -[DEBUG][time= 580] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 580] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 580] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 580] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 580] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 580] FreeList_1: req:0 canAlloc:1 pdest: 73 headNext:0: 41 -[DEBUG][time= 580] FreeList_1: head:0: 41 tail:1: 25 -[DEBUG][time= 580] FreeList_1: redirect: brqIdx= 7 -[DEBUG][time= 580] Brq: headIdx: 7 commitIdx: 7 -[DEBUG][time= 580] Brq: headPtr:f:0 v: 7 tailPtr:f:0 v:10 -[DEBUG][time= 580] Brq: -------w-------- -[INFO ][time= 580] Brq: commit branch to roq, mispred:1 pc=008000004c -[INFO ][time= 580] Brq: brq redirect, target:0080000020 -[DEBUG][time= 581] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 581] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 581] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 581] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 581] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 581] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 581] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 581] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 581] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 581] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 581] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 581] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 581] BusyTable_1: writeback 72 -[DEBUG][time= 581] BusyTable_1: 71 is busy -[DEBUG][time= 581] BusyTable_1: 72 is busy -[DEBUG][time= 581] BPUStage2: in:(1 1) pc=0080000020 out:(0 1) pc=00800000dc -[DEBUG][time= 581] BPUStage2: validLatch=0 pc=00800000dc -[DEBUG][time= 581] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 581] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 581] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 581] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 581] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 581] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 581] BPUStage3: flushS3=1 -[DEBUG][time= 581] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 581] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 581] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 581] JBTAC: read: pc=0x0080000040, histXORAddr=0x00800001be, bank=7, row= 27, hist=0000000000000000000000000000000000000000000000000000000011111111 -[DEBUG][time= 581] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 29, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 581] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 581] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 581] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 581] BTB: bankIdxInOrder:[DEBUG][time= 581] BTB: 0 [DEBUG][time= 581] BTB: 1 [DEBUG][time= 581] BTB: 2 [DEBUG][time= 581] BTB: 3 [DEBUG][time= 581] BTB: 4 [DEBUG][time= 581] BTB: 5 [DEBUG][time= 581] BTB: 6 [DEBUG][time= 581] BTB: 7 [DEBUG][time= 581] BTB: 8 [DEBUG][time= 581] BTB: 9 [DEBUG][time= 581] BTB: 10 [DEBUG][time= 581] BTB: 11 [DEBUG][time= 581] BTB: 12 [DEBUG][time= 581] BTB: 13 [DEBUG][time= 581] BTB: 14 [DEBUG][time= 581] BTB: 15 [DEBUG][time= 581] BTB: -[INFO ][time= 581] Rename: int walk: pc:008000005c ldst: 2 old_pdest: 36 -[DEBUG][time= 581] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 581] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 581] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 581] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 581] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 581] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 581] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 581] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 581] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 581] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 581] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 581] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 581] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 581] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 581] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 581] Dispatch2: regfile 0 from 0 -[DEBUG][time= 581] Dispatch2: regfile 1 from 0 -[DEBUG][time= 581] Dispatch2: regfile 2 from 1 -[DEBUG][time= 581] Dispatch2: regfile 3 from 1 -[DEBUG][time= 581] Dispatch2: regfile 4 from 2 -[DEBUG][time= 581] Dispatch2: regfile 5 from 2 -[DEBUG][time= 581] Dispatch2: regfile 6 from 3 -[DEBUG][time= 581] Dispatch2: regfile 7 from 3 -[DEBUG][time= 581] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 581] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 581] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 581] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 581] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 581] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 581] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 581] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 581] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 581] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 581] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 581] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 581] DecodeBuffer: out v:0 r:0 pc=0080000050 -[INFO ][time= 581] DecodeBuffer: out v:0 r:0 pc=0080000054 -[INFO ][time= 581] DecodeBuffer: out v:0 r:0 pc=0080000058 -[INFO ][time= 581] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 581] DecodeBuffer: out v:0 r:0 pc=0080000048 -[INFO ][time= 581] DecodeBuffer: out v:0 r:0 pc=008000004c -[ERROR][time= 581] Roq: CSR block should only happen in s_idle -[DEBUG][time= 581] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 581] Roq: use extra space walked pc 008000005c wen 1 ldst 2 -[INFO ][time= 581] Roq: use extra space walked pc 0080000058 wen 1 ldst 0 -[INFO ][time= 581] Roq: use extra space walked pc 0080000054 wen 1 ldst 0 -[DEBUG][time= 581] Roq: head 0: 2 tail 1: 3 -[DEBUG][time= 581] Roq: wwvvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 581] Roq: 0080000048 w 008000004c w 0080000050 v 0080000034 v -[DEBUG][time= 581] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 581] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 581] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 581] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 581] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 581] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 581] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 581] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 581] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 581] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 581] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 581] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 581] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 581] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 581] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 581] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 581] LsExeUnit: retiringStore now... -[DEBUG][time= 581] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 581] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 581] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 581] FakeCache: [Stage2_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 581] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 581] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 581] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 581] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 581] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 581] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 581] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 581] IFU: [IF3]if3_valid:0 || if3_pc:0x00800000fc if3_npc:0x0080000020 || if4_ready:1 -[DEBUG][time= 581] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 581] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 581] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 581] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 581] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 581] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 581] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 581] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 581] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 581] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 581] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 581] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 581] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 581] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 581] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 581] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 581] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 581] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 581] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 581] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 581] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 581] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 581] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 581] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 581] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 581] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 581] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 581] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 581] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 581] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 581] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 581] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 581] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 581] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 581] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 581] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 581] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 581] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 581] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 581] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 581] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 581] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 581] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 581] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 581] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 581] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 581] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 581] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 581] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 581] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 581] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 581] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 581] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 581] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 581] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 581] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 581] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 581] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 581] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 581] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 581] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 581] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 581] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 581] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 581] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 581] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 581] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 581] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 581] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 581] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 581] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 581] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 581] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 581] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 581] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 581] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 581] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 581] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 581] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 581] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 581] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 581] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 581] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 581] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 581] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 581] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 581] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 581] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 581] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 581] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 581] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 581] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 581] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 581] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 581] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 581] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 581] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 581] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 581] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 581] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 581] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 581] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 581] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 581] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 581] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 581] FreeList_1: head:0: 39 tail:1: 25 -[DEBUG][time= 581] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 581] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 8 -[DEBUG][time= 581] Brq: ---------------- -[DEBUG][time= 581] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 581] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 581] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 581] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 581] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 581] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 582] Tage: req: pc=0x0080000020, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 582] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 582] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 582] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 582] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 582] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 582] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 582] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 582] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 582] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 582] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 582] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 582] BusyTable_1: writeback 71 -[DEBUG][time= 582] BusyTable_1: 71 is busy -[DEBUG][time= 582] BPUStage2: in:(1 1) pc=0080000040 out:(1 1) pc=0080000020 -[DEBUG][time= 582] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 582] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 582] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 582] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 582] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 582] BPUStage1: in:(1 1) pc=0080000020 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 582] BPUStage1: outPred:(1) pc=0x0080000040, redirect=1 instrValid=00001111 tgt=0080000020 -[DEBUG][time= 582] BPUStage3: [RAS]:pc=0x0080000020, rasWritePosition= 1, rasWriteAddr=0x00800000dc -[DEBUG][time= 582] BPUStage3: in:(1 1) pc=0080000020 -[DEBUG][time= 582] BPUStage3: flushS3=1 -[DEBUG][time= 582] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 582] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 582] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 582] JBTAC: read: pc=0x0080000020, histXORAddr=0x00800003de, bank=7, row= 61, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 582] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 27, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 582] BTB: read: pc=0x0080000020, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 582] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 582] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=3 -[DEBUG][time= 582] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 582] BTB: bankIdxInOrder:[DEBUG][time= 582] BTB: 0 [DEBUG][time= 582] BTB: 1 [DEBUG][time= 582] BTB: 2 [DEBUG][time= 582] BTB: 3 [DEBUG][time= 582] BTB: 4 [DEBUG][time= 582] BTB: 5 [DEBUG][time= 582] BTB: 6 [DEBUG][time= 582] BTB: 7 [DEBUG][time= 582] BTB: 8 [DEBUG][time= 582] BTB: 9 [DEBUG][time= 582] BTB: 10 [DEBUG][time= 582] BTB: 11 [DEBUG][time= 582] BTB: 12 [DEBUG][time= 582] BTB: 13 [DEBUG][time= 582] BTB: 14 [DEBUG][time= 582] BTB: 15 [DEBUG][time= 582] BTB: -[INFO ][time= 582] Rename: int walk: pc:0080000050 ldst:10 old_pdest: 66 -[DEBUG][time= 582] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 582] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 582] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 582] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 582] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 582] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 582] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 582] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 582] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 582] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 582] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 582] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 582] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 582] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 582] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 582] Dispatch2: regfile 0 from 0 -[DEBUG][time= 582] Dispatch2: regfile 1 from 0 -[DEBUG][time= 582] Dispatch2: regfile 2 from 1 -[DEBUG][time= 582] Dispatch2: regfile 3 from 1 -[DEBUG][time= 582] Dispatch2: regfile 4 from 2 -[DEBUG][time= 582] Dispatch2: regfile 5 from 2 -[DEBUG][time= 582] Dispatch2: regfile 6 from 3 -[DEBUG][time= 582] Dispatch2: regfile 7 from 3 -[DEBUG][time= 582] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 582] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 582] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 582] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 582] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 582] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 582] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 582] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 582] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 582] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 582] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 582] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 582] DecodeBuffer: out v:0 r:0 pc=0080000050 -[INFO ][time= 582] DecodeBuffer: out v:0 r:0 pc=0080000054 -[INFO ][time= 582] DecodeBuffer: out v:0 r:0 pc=0080000058 -[INFO ][time= 582] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 582] DecodeBuffer: out v:0 r:0 pc=0080000048 -[INFO ][time= 582] DecodeBuffer: out v:0 r:0 pc=008000004c -[ERROR][time= 582] Roq: CSR block should only happen in s_idle -[DEBUG][time= 582] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 582] Roq: walked pc 0080000050 wen 1 ldst 10 data 0000000000000000 -[INFO ][time= 582] Roq: rolling back: head 2 tail 3 walk 0: 2 -[DEBUG][time= 582] Roq: head 0: 2 tail 1: 3 -[DEBUG][time= 582] Roq: wwvvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 582] Roq: 0080000048 w 008000004c w 0080000050 v 0080000034 v -[DEBUG][time= 582] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 582] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 582] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 582] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 582] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 582] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 582] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 582] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 582] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 582] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 582] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 582] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 582] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 582] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 582] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 582] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 582] LsExeUnit: retiringStore now... -[DEBUG][time= 582] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 582] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 582] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 582] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 582] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 582] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 582] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 582] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000020 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 582] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 582] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 582] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00001111 || if2_btb_target:0x0080000020 -[DEBUG][time= 582] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 582] IFU: [IF4]if4_valid:0 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 582] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 582] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 582] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 582] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 582] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 582] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 582] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 582] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 582] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 582] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 582] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 582] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 582] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 582] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 582] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 582] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 582] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 582] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 582] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 582] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 582] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 582] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 582] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 582] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 582] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 582] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 582] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 582] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 582] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 582] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 582] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 582] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 582] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 582] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 582] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 582] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 582] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 582] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 582] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 582] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 582] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 582] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 582] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 582] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 582] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 582] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 582] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 582] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 582] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 582] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 582] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 582] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 582] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 582] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 582] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 582] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 582] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 582] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 582] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 582] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 582] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 582] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 582] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 582] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 582] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 582] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 582] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 582] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 582] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 582] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 582] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 582] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 582] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 582] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 582] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 582] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 582] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 582] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 582] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 582] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 582] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 582] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 582] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 582] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 582] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 582] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 582] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 582] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 582] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 582] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 582] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 582] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 582] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 582] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 582] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 582] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 582] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 582] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 582] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 582] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 582] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 582] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 582] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 582] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 582] FreeList_1: head:0: 39 tail:1: 25 -[DEBUG][time= 582] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 582] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 8 -[DEBUG][time= 582] Brq: ---------------- -[DEBUG][time= 582] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 582] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 582] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 582] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 582] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 582] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 583] Tage: req: pc=0x0080000040, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 583] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 583] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 583] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 583] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 583] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 583] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 583] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 583] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 583] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 583] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 583] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 583] BPUStage2: in:(1 1) pc=0080000020 out:(1 1) pc=0080000040 -[DEBUG][time= 583] BPUStage2: validLatch=1 pc=0080000040 -[DEBUG][time= 583] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 583] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 583] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 583] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 583] BPUStage1: in:(1 1) pc=0080000040 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 583] BPUStage1: outPred:(1) pc=0x0080000020, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 583] BPUStage3: [RAS]:pc=0x0080000040, rasWritePosition= 1, rasWriteAddr=0x0080000040 -[DEBUG][time= 583] BPUStage3: in:(1 1) pc=0080000040 -[DEBUG][time= 583] BPUStage3: out:1 pc=0080000020 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000040 -[DEBUG][time= 583] BPUStage3: flushS3=0 -[DEBUG][time= 583] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 583] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 583] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 583] JBTAC: read: pc=0x0080000040, histXORAddr=0x00800003be, bank=7, row= 59, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 583] JBTAC: read_resp: pc=0x0080000020, bank=7, row= 61, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 583] Ibuffer: Enque: -[DEBUG][time= 583] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 583] Ibuffer: 00000000001001111000010100010011 -[DEBUG][time= 583] Ibuffer: 00000000001101111000010110010011 -[DEBUG][time= 583] Ibuffer: 00000000010001111000011000010011 -[DEBUG][time= 583] Ibuffer: 00000000111101110011000000100011 -[DEBUG][time= 583] Ibuffer: 00000000110101110011010000100011 -[DEBUG][time= 583] Ibuffer: 00000000101001110011100000100011 -[DEBUG][time= 583] Ibuffer: 00000000101101110011110000100011 -[DEBUG][time= 583] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 583] BTB: read: pc=0x0080000040, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 583] BTB: read_resp: pc=0x0080000020, readIdx= 16------------------------------- -[DEBUG][time= 583] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 583] BTB: bankIdxInOrder:[DEBUG][time= 583] BTB: 0 [DEBUG][time= 583] BTB: 1 [DEBUG][time= 583] BTB: 2 [DEBUG][time= 583] BTB: 3 [DEBUG][time= 583] BTB: 4 [DEBUG][time= 583] BTB: 5 [DEBUG][time= 583] BTB: 6 [DEBUG][time= 583] BTB: 7 [DEBUG][time= 583] BTB: 8 [DEBUG][time= 583] BTB: 9 [DEBUG][time= 583] BTB: 10 [DEBUG][time= 583] BTB: 11 [DEBUG][time= 583] BTB: 12 [DEBUG][time= 583] BTB: 13 [DEBUG][time= 583] BTB: 14 [DEBUG][time= 583] BTB: 15 [DEBUG][time= 583] BTB: -[INFO ][time= 583] Rename: pc:0080000050 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 66 out v:0 r:1 -[INFO ][time= 583] Rename: pc:0080000054 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 583] Rename: pc:0080000058 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 583] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 36 out v:0 r:1 -[INFO ][time= 583] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 70 out v:0 r:1 -[INFO ][time= 583] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 65 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 583] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 583] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 583] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 583] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 583] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 583] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 583] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 583] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 583] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 583] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 583] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 583] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 583] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 583] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 583] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 583] Dispatch2: regfile 0 from 0 -[DEBUG][time= 583] Dispatch2: regfile 1 from 0 -[DEBUG][time= 583] Dispatch2: regfile 2 from 1 -[DEBUG][time= 583] Dispatch2: regfile 3 from 1 -[DEBUG][time= 583] Dispatch2: regfile 4 from 2 -[DEBUG][time= 583] Dispatch2: regfile 5 from 2 -[DEBUG][time= 583] Dispatch2: regfile 6 from 3 -[DEBUG][time= 583] Dispatch2: regfile 7 from 3 -[DEBUG][time= 583] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 583] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 583] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 583] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 583] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 583] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 583] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 583] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 583] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 583] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 583] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 583] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 583] DecodeBuffer: out v:0 r:1 pc=0080000050 -[INFO ][time= 583] DecodeBuffer: out v:0 r:1 pc=0080000054 -[INFO ][time= 583] DecodeBuffer: out v:0 r:1 pc=0080000058 -[INFO ][time= 583] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 583] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 583] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 583] Roq: CSR block should only happen in s_idle -[DEBUG][time= 583] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 583] Roq: head 0: 2 tail 1: 3 -[DEBUG][time= 583] Roq: ww-vvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 583] Roq: 0080000048 w 008000004c w 0080000050 - 0080000034 v -[DEBUG][time= 583] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 583] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 583] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 583] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 583] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 583] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 583] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 583] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 583] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 583] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 583] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 583] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 583] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 583] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 583] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 583] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 583] LsExeUnit: retiringStore now... -[DEBUG][time= 583] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483680------> s1 fire!!! -[DEBUG][time= 583] FakeCache: [Stage1_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 583] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 583] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 583] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 583] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 583] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 583] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000040 || if1_pcUpdate:1 if1_pc:0x0080000020 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 583] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000020 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 583] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 583] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 583] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000040 if3_npc:0x0080000020 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 583] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 583] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000040 -[DEBUG][time= 583] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] starPC:0x0080000020 GroupPC:0x0080000020n -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000024 -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00278513 pnpc:0x0080000028 -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00378593 pnpc:0x008000002c -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00478613 pnpc:0x0080000030 -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00f73023 pnpc:0x0080000034 -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00d73423 pnpc:0x0080000038 -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00a73823 pnpc:0x008000003c -[DEBUG][time= 583] IFU: [IFU-Out-FetchPacket] instruction 00b73c23 pnpc:0x0080000040 -[DEBUG][time= 583] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 583] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 583] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 583] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 583] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 583] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 583] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 583] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 583] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 583] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 583] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 583] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 583] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 583] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 583] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 583] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 583] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 583] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 583] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 583] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 583] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 583] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 583] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 583] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 583] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 583] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 583] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 583] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 583] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 583] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 583] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 583] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 583] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 583] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 583] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 583] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 583] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 583] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 583] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 583] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 583] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 583] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 583] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 583] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 583] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 583] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 583] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 583] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 583] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 583] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 583] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 583] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 583] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 583] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 583] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 583] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 583] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 583] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 583] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 583] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 583] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 583] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 583] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 583] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 583] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 583] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 583] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 583] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 583] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 583] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 583] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 583] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 583] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 583] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 583] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 583] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 583] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 583] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 583] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 583] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 583] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 583] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 583] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 583] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 583] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 583] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 583] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 583] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 583] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 583] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 583] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 583] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 583] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 583] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 583] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 583] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 583] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 583] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 583] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 583] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 583] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 583] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 583] FreeList_1: head:0: 39 tail:1: 25 -[DEBUG][time= 583] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 583] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 8 -[DEBUG][time= 583] Brq: ---------------- -[DEBUG][time= 583] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 583] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 583] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 583] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 583] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 583] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v: 8 -[DEBUG][time= 584] Tage: req: pc=0x0080000060, hist=0000000000000000000000000000000000000000000000000000000111111110 -[DEBUG][time= 584] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 584] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 584] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 584] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 584] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 584] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 584] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 584] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 584] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 584] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 584] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 584] BPUStage2: in:(0 0) pc=0080000040 out:(0 1) pc=0080000020 -[DEBUG][time= 584] BPUStage2: validLatch=1 pc=0080000020 -[DEBUG][time= 584] BPUStage2: flush!!! -[DEBUG][time= 584] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 584] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 584] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 584] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 584] BPUStage1: in:(1 1) pc=0080000060 ghr=0000000000000000000000000000000000000000000000000000000111111110 -[DEBUG][time= 584] BPUStage1: outPred:(0) pc=0x0080000040, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 584] BPUStage1: flush from Stage3: s3Taken=0 s3RollBackHist=0000000000000000000000000000000000000000000000000000000111111110 -[DEBUG][time= 584] BPUStage3: out:1 pc=0080000040 redirect=1 predcdMask=11111111 instrValid=11111111 tgt=0080000060 -[DEBUG][time= 584] BPUStage3: flushS3=0 -[DEBUG][time= 584] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 584] BPUStage3: jmpIdx=0, brs=00001000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=5 -[DEBUG][time= 584] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 584] JBTAC: read: pc=0x0080000060, histXORAddr=0x008000039c, bank=6, row= 57, hist=0000000000000000000000000000000000000000000000000000000111111110 -[DEBUG][time= 584] JBTAC: read_resp: pc=0x0080000040, bank=7, row= 59, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 584] Frontend: inst:00178693 pc:0080000020 -[INFO ][time= 584] Frontend: inst:00278513 pc:0080000024 -[INFO ][time= 584] Frontend: inst:00378593 pc:0080000028 -[INFO ][time= 584] Frontend: inst:00478613 pc:008000002c -[INFO ][time= 584] Frontend: inst:00f73023 pc:0080000030 -[INFO ][time= 584] Frontend: inst:00d73423 pc:0080000034 -[DEBUG][time= 584] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000020 instr:00178693 -[DEBUG][time= 584] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000024 instr:00278513 -[DEBUG][time= 584] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000028 instr:00378593 -[DEBUG][time= 584] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000002c instr:00478613 -[DEBUG][time= 584] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000030 instr:00f73023 -[DEBUG][time= 584] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000034 instr:00d73423 -[DEBUG][time= 584] Ibuffer: Enque: -[DEBUG][time= 584] Ibuffer: 00000010110001110011000000100011 -[DEBUG][time= 584] Ibuffer: 00000000000001101000011110010011 -[DEBUG][time= 584] Ibuffer: 00000010100001110000011100010011 -[DEBUG][time= 584] Ibuffer: 11111101000001101001101011100011 -[DEBUG][time= 584] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 584] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 584] Ibuffer: 00000101010000000000000001101111 -[DEBUG][time= 584] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 584] Ibuffer: Deque: -[DEBUG][time= 584] Ibuffer: 00000000000101111000011010010011 PC=0080000020 v=1 r=1 -[DEBUG][time= 584] Ibuffer: 00000000001001111000010100010011 PC=0080000024 v=1 r=1 -[DEBUG][time= 584] Ibuffer: 00000000001101111000010110010011 PC=0080000028 v=1 r=1 -[DEBUG][time= 584] Ibuffer: 00000000010001111000011000010011 PC=008000002c v=1 r=1 -[DEBUG][time= 584] Ibuffer: 00000000111101110011000000100011 PC=0080000030 v=1 r=1 -[DEBUG][time= 584] Ibuffer: 00000000110101110011010000100011 PC=0080000034 v=1 r=1 -[DEBUG][time= 584] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 584] BTB: read: pc=0x0080000060, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 584] BTB: read_resp: pc=0x0080000040, readIdx= 32------------------------------- -[DEBUG][time= 584] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=3 -[DEBUG][time= 584] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 584] BTB: bankIdxInOrder:[DEBUG][time= 584] BTB: 0 [DEBUG][time= 584] BTB: 1 [DEBUG][time= 584] BTB: 2 [DEBUG][time= 584] BTB: 3 [DEBUG][time= 584] BTB: 4 [DEBUG][time= 584] BTB: 5 [DEBUG][time= 584] BTB: 6 [DEBUG][time= 584] BTB: 7 [DEBUG][time= 584] BTB: 8 [DEBUG][time= 584] BTB: 9 [DEBUG][time= 584] BTB: 10 [DEBUG][time= 584] BTB: 11 [DEBUG][time= 584] BTB: 12 [DEBUG][time= 584] BTB: 13 [DEBUG][time= 584] BTB: 14 [DEBUG][time= 584] BTB: 15 [DEBUG][time= 584] BTB: -[INFO ][time= 584] Rename: pc:0080000050 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 66 out v:0 r:1 -[INFO ][time= 584] Rename: pc:0080000054 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 584] Rename: pc:0080000058 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 584] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 36 out v:0 r:1 -[INFO ][time= 584] Rename: pc:0080000048 in v:0 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 70 out v:0 r:1 -[INFO ][time= 584] Rename: pc:008000004c in v:0 in rdy:1 lsrc1:13 -> psrc1: 65 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 584] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 584] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 584] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 584] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 584] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 584] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 584] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 584] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 584] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 584] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 584] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 584] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 584] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 584] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 584] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 584] Dispatch2: regfile 0 from 0 -[DEBUG][time= 584] Dispatch2: regfile 1 from 0 -[DEBUG][time= 584] Dispatch2: regfile 2 from 1 -[DEBUG][time= 584] Dispatch2: regfile 3 from 1 -[DEBUG][time= 584] Dispatch2: regfile 4 from 2 -[DEBUG][time= 584] Dispatch2: regfile 5 from 2 -[DEBUG][time= 584] Dispatch2: regfile 6 from 3 -[DEBUG][time= 584] Dispatch2: regfile 7 from 3 -[DEBUG][time= 584] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 584] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 584] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 584] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 584] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 584] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 584] DecodeBuffer: in v:1 r:1 pc=0080000020 -[INFO ][time= 584] DecodeBuffer: in v:1 r:1 pc=0080000024 -[INFO ][time= 584] DecodeBuffer: in v:1 r:1 pc=0080000028 -[INFO ][time= 584] DecodeBuffer: in v:1 r:1 pc=008000002c -[INFO ][time= 584] DecodeBuffer: in v:1 r:1 pc=0080000030 -[INFO ][time= 584] DecodeBuffer: in v:1 r:1 pc=0080000034 -[INFO ][time= 584] DecodeBuffer: out v:0 r:1 pc=0080000050 -[INFO ][time= 584] DecodeBuffer: out v:0 r:1 pc=0080000054 -[INFO ][time= 584] DecodeBuffer: out v:0 r:1 pc=0080000058 -[INFO ][time= 584] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 584] DecodeBuffer: out v:0 r:1 pc=0080000048 -[INFO ][time= 584] DecodeBuffer: out v:0 r:1 pc=008000004c -[ERROR][time= 584] Roq: CSR block should only happen in s_idle -[DEBUG][time= 584] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 584] Roq: head 0: 2 tail 1: 3 -[DEBUG][time= 584] Roq: ww-vvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 584] Roq: 0080000048 w 008000004c w 0080000050 - 0080000034 v -[DEBUG][time= 584] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 584] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 584] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 584] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 584] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 584] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 584] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 584] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 584] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 584] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 584] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 584] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 584] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 584] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 584] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 584] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 584] LsExeUnit: retiringStore now... -[DEBUG][time= 584] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483712------> s1 fire!!! -[DEBUG][time= 584] FakeCache: [Stage1_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 584] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 584] FakeCache: [Stage2_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 584] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 584] FakeCache: [Stage3_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 584] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 584] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000060 || if1_pcUpdate:1 if1_pc:0x0080000040 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 584] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000040 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 584] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 584] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 584] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000020 if3_npc:0x0080000040 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 584] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000040 if4_npc:0x0080000020 -[DEBUG][time= 584] IFU: [IF4-TAGE-out]if4_tage_taken:1 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 584] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] starPC:0x0080000040 GroupPC:0x0080000040n -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction 02c73023 pnpc:0x0080000044 -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction 00068793 pnpc:0x0080000048 -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction 02870713 pnpc:0x008000004c -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction fd069ae3 pnpc:0x0080000050 -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000054 -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000058 -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction 0540006f pnpc:0x008000005c -[DEBUG][time= 584] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000060 -[DEBUG][time= 584] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 584] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 584] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 584] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 584] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 584] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 584] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 584] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 584] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 584] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 584] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 584] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 584] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 584] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 584] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 584] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 584] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 584] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 584] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 584] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 584] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 584] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 584] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 584] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 584] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 584] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 584] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 584] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 584] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 584] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 584] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 584] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 584] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 584] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 584] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 584] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 584] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 584] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 584] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 584] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 584] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 584] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 584] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 584] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 584] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 584] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 584] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 584] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 584] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 584] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 584] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 584] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 584] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 584] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 584] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 584] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 584] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 584] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 584] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 584] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 584] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 584] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 584] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 584] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 584] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 584] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 584] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 584] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 584] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 584] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 584] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 584] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 584] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 584] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 584] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 584] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 584] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 584] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 584] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 584] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 584] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 584] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 584] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 584] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 584] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 584] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 584] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 584] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 584] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 584] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 584] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 584] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 584] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 584] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 584] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 584] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 584] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 584] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 584] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 584] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 584] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 584] FreeList_1: req:0 canAlloc:1 pdest: 71 headNext:0: 39 -[DEBUG][time= 584] FreeList_1: head:0: 39 tail:1: 25 -[DEBUG][time= 584] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 584] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 8 -[DEBUG][time= 584] Brq: ---------------- -[DEBUG][time= 584] Brq: enq v:0 rdy:1 pc:0080000020 brTag:f:0 v: 8 -[DEBUG][time= 584] Brq: enq v:0 rdy:1 pc:0080000024 brTag:f:0 v: 8 -[DEBUG][time= 584] Brq: enq v:0 rdy:1 pc:0080000028 brTag:f:0 v: 8 -[DEBUG][time= 584] Brq: enq v:0 rdy:1 pc:008000002c brTag:f:0 v: 8 -[DEBUG][time= 584] Brq: enq v:0 rdy:1 pc:0080000030 brTag:f:0 v: 8 -[DEBUG][time= 584] Brq: enq v:0 rdy:1 pc:0080000034 brTag:f:0 v: 8 -[DEBUG][time= 585] Tage: req: pc=0x0080000080, hist=0000000000000000000000000000000000000000000000000000000111111110 -[DEBUG][time= 585] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 585] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 585] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 585] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 585] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 585] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 585] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 585] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 585] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 585] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 585] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 585] BusyTable_1: Allocate 71 -[DEBUG][time= 585] BusyTable_1: Allocate 72 -[DEBUG][time= 585] BusyTable_1: Allocate 73 -[DEBUG][time= 585] BusyTable_1: Allocate 74 -[DEBUG][time= 585] BPUStage2: in:(1 1) pc=0080000060 out:(0 1) pc=0080000020 -[DEBUG][time= 585] BPUStage2: validLatch=0 pc=0080000020 -[DEBUG][time= 585] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 585] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 585] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 585] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 585] BPUStage1: in:(1 1) pc=0080000080 ghr=0000000000000000000000000000000000000000000000000000000111111110 -[DEBUG][time= 585] BPUStage1: outPred:(1) pc=0x0080000060, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 585] BPUStage3: flushS3=0 -[DEBUG][time= 585] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 585] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 585] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 585] JBTAC: read: pc=0x0080000080, histXORAddr=0x008000037c, bank=6, row= 55, hist=0000000000000000000000000000000000000000000000000000000111111110 -[DEBUG][time= 585] JBTAC: read_resp: pc=0x0080000060, bank=6, row= 57, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 585] Frontend: inst:00a73823 pc:0080000038 -[INFO ][time= 585] Frontend: inst:00b73c23 pc:008000003c -[INFO ][time= 585] Frontend: inst:02c73023 pc:0080000040 -[INFO ][time= 585] Frontend: inst:00068793 pc:0080000044 -[INFO ][time= 585] Frontend: inst:02870713 pc:0080000048 -[INFO ][time= 585] Frontend: inst:fd069ae3 pc:008000004c -[DEBUG][time= 585] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000038 instr:00a73823 -[DEBUG][time= 585] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000003c instr:00b73c23 -[DEBUG][time= 585] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000040 instr:02c73023 -[DEBUG][time= 585] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000044 instr:00068793 -[DEBUG][time= 585] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000048 instr:02870713 -[DEBUG][time= 585] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:008000004c instr:fd069ae3 -[DEBUG][time= 585] Ibuffer: Deque: -[DEBUG][time= 585] Ibuffer: 00000000101001110011100000100011 PC=0080000038 v=1 r=1 -[DEBUG][time= 585] Ibuffer: 00000000101101110011110000100011 PC=008000003c v=1 r=1 -[DEBUG][time= 585] Ibuffer: 00000010110001110011000000100011 PC=0080000040 v=1 r=1 -[DEBUG][time= 585] Ibuffer: 00000000000001101000011110010011 PC=0080000044 v=1 r=1 -[DEBUG][time= 585] Ibuffer: 00000010100001110000011100010011 PC=0080000048 v=1 r=1 -[DEBUG][time= 585] Ibuffer: 11111101000001101001101011100011 PC=008000004c v=1 r=1 -[DEBUG][time= 585] BTB: read: pc=0x0080000080, baseBank= 0, realMask=1111111111111111 -[DEBUG][time= 585] BTB: read_resp: pc=0x0080000060, readIdx= 48------------------------------- -[DEBUG][time= 585] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=12][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 585] BTB: bankIdxInOrder:[DEBUG][time= 585] BTB: 0 [DEBUG][time= 585] BTB: 1 [DEBUG][time= 585] BTB: 2 [DEBUG][time= 585] BTB: 3 [DEBUG][time= 585] BTB: 4 [DEBUG][time= 585] BTB: 5 [DEBUG][time= 585] BTB: 6 [DEBUG][time= 585] BTB: 7 [DEBUG][time= 585] BTB: 8 [DEBUG][time= 585] BTB: 9 [DEBUG][time= 585] BTB: 10 [DEBUG][time= 585] BTB: 11 [DEBUG][time= 585] BTB: 12 [DEBUG][time= 585] BTB: 13 [DEBUG][time= 585] BTB: 14 [DEBUG][time= 585] BTB: 15 [DEBUG][time= 585] BTB: -[INFO ][time= 585] Rename: pc:0080000020 in v:1 in rdy:1 lsrc1:15 -> psrc1: 69 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 71 old_pdest: 65 out v:1 r:1 -[INFO ][time= 585] Rename: pc:0080000024 in v:1 in rdy:1 lsrc1:15 -> psrc1: 69 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 72 old_pdest: 66 out v:1 r:1 -[INFO ][time= 585] Rename: pc:0080000028 in v:1 in rdy:1 lsrc1:15 -> psrc1: 69 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 73 old_pdest: 67 out v:1 r:1 -[INFO ][time= 585] Rename: pc:008000002c in v:1 in rdy:1 lsrc1:15 -> psrc1: 69 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 74 old_pdest: 68 out v:1 r:1 -[INFO ][time= 585] Rename: pc:0080000030 in v:1 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2:15 -> psrc2: 69 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 585] Rename: pc:0080000034 in v:1 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2:13 -> psrc2: 71 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[DEBUG][time= 585] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 585] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 585] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 585] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 585] Dispatch1: v:0 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 585] Dispatch1: v:0 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 585] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 585] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 585] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 585] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 585] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 585] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 585] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 585] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 585] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 585] Dispatch2: regfile 0 from 0 -[DEBUG][time= 585] Dispatch2: regfile 1 from 0 -[DEBUG][time= 585] Dispatch2: regfile 2 from 1 -[DEBUG][time= 585] Dispatch2: regfile 3 from 1 -[DEBUG][time= 585] Dispatch2: regfile 4 from 2 -[DEBUG][time= 585] Dispatch2: regfile 5 from 2 -[DEBUG][time= 585] Dispatch2: regfile 6 from 3 -[DEBUG][time= 585] Dispatch2: regfile 7 from 3 -[DEBUG][time= 585] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 585] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 585] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 585] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 585] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 585] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 585] DecodeBuffer: in v:1 r:1 pc=0080000038 -[INFO ][time= 585] DecodeBuffer: in v:1 r:1 pc=008000003c -[INFO ][time= 585] DecodeBuffer: in v:1 r:1 pc=0080000040 -[INFO ][time= 585] DecodeBuffer: in v:1 r:1 pc=0080000044 -[INFO ][time= 585] DecodeBuffer: in v:1 r:1 pc=0080000048 -[INFO ][time= 585] DecodeBuffer: in v:1 r:1 pc=008000004c -[INFO ][time= 585] DecodeBuffer: out v:1 r:1 pc=0080000020 -[INFO ][time= 585] DecodeBuffer: out v:1 r:1 pc=0080000024 -[INFO ][time= 585] DecodeBuffer: out v:1 r:1 pc=0080000028 -[INFO ][time= 585] DecodeBuffer: out v:1 r:1 pc=008000002c -[INFO ][time= 585] DecodeBuffer: out v:1 r:1 pc=0080000030 -[INFO ][time= 585] DecodeBuffer: out v:1 r:1 pc=0080000034 -[ERROR][time= 585] Roq: CSR block should only happen in s_idle -[DEBUG][time= 585] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 585] Roq: head 0: 2 tail 1: 3 -[DEBUG][time= 585] Roq: ww-vvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 585] Roq: 0080000048 w 008000004c w 0080000050 - 0080000034 v -[DEBUG][time= 585] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 585] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 585] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 585] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 585] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 585] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 585] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 585] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 585] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 585] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 585] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 585] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 585] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 585] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 585] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 585] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 585] LsExeUnit: retiringStore now... -[DEBUG][time= 585] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483744------> s1 fire!!! -[DEBUG][time= 585] FakeCache: [Stage1_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 585] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 585] FakeCache: [Stage2_data] instr1:0x02c73023 instr2:0x00068793 -[DEBUG][time= 585] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 585] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 585] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 585] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000080 || if1_pcUpdate:1 if1_pc:0x0080000060 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 585] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000060 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 585] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 585] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 585] IFU: [IF3]if3_valid:0 || if3_pc:0x0080000040 if3_npc:0x0080000060 || if4_ready:1 -[DEBUG][time= 585] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 585] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 585] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 585] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 585] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 585] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 585] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 585] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 585] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 585] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 585] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 585] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 585] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 585] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 585] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 585] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 585] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 585] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 585] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 585] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 585] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 585] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 585] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 585] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 585] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 585] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 585] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 585] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 585] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 585] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 585] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 585] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 585] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 585] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 585] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 585] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 585] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 585] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 585] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 585] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 585] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 585] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 585] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 585] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 585] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 585] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 585] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 585] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 585] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 585] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 585] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 585] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 585] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 585] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 585] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 585] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 585] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 585] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 585] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 585] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 585] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 585] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 585] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 585] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 585] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 585] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 585] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 585] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 585] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 585] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 585] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 585] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 585] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 585] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 585] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 585] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 585] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 585] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 585] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 585] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 585] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 585] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 585] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 585] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 585] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 585] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 585] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 585] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 585] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 585] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 585] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 585] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 585] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 585] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 585] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 585] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 585] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 585] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 585] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 585] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 585] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 585] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 585] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 585] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 585] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 585] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 40 -[DEBUG][time= 585] FreeList_1: req:1 canAlloc:1 pdest: 71 headNext:0: 40 -[DEBUG][time= 585] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 41 -[DEBUG][time= 585] FreeList_1: req:1 canAlloc:1 pdest: 72 headNext:0: 41 -[DEBUG][time= 585] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 42 -[DEBUG][time= 585] FreeList_1: req:1 canAlloc:1 pdest: 73 headNext:0: 42 -[DEBUG][time= 585] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 585] FreeList_1: req:1 canAlloc:1 pdest: 74 headNext:0: 43 -[DEBUG][time= 585] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 585] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 585] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 585] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 585] FreeList_1: head:0: 39 tail:1: 25 -[DEBUG][time= 585] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 585] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 8 -[DEBUG][time= 585] Brq: ---------------- -[DEBUG][time= 585] Brq: enq v:0 rdy:1 pc:0080000038 brTag:f:0 v: 8 -[DEBUG][time= 585] Brq: enq v:0 rdy:1 pc:008000003c brTag:f:0 v: 8 -[DEBUG][time= 585] Brq: enq v:0 rdy:1 pc:0080000040 brTag:f:0 v: 8 -[DEBUG][time= 585] Brq: enq v:0 rdy:1 pc:0080000044 brTag:f:0 v: 8 -[DEBUG][time= 585] Brq: enq v:0 rdy:1 pc:0080000048 brTag:f:0 v: 8 -[DEBUG][time= 585] Brq: enq v:1 rdy:1 pc:008000004c brTag:f:0 v: 8 -[DEBUG][time= 586] Tage: req: pc=0x008000009c, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 586] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 586] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 586] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 586] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 586] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 586] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 586] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 586] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 586] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 586] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 586] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 586] BusyTable_1: 71 is busy -[DEBUG][time= 586] BusyTable_1: 72 is busy -[DEBUG][time= 586] BusyTable_1: 73 is busy -[DEBUG][time= 586] BusyTable_1: 74 is busy -[DEBUG][time= 586] BPUStage2: in:(1 1) pc=0080000080 out:(1 1) pc=0080000060 -[DEBUG][time= 586] BPUStage2: validLatch=1 pc=0080000060 -[DEBUG][time= 586] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 586] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 586] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 586] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 586] BPUStage1: in:(1 1) pc=008000009c ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 586] BPUStage1: outPred:(1) pc=0x0080000080, redirect=1 instrValid=00000111 tgt=008000009c -[DEBUG][time= 586] BPUStage3: [RAS]:pc=0x0080000060, rasWritePosition= 1, rasWriteAddr=0x0080000060 -[DEBUG][time= 586] BPUStage3: in:(1 1) pc=0080000060 -[DEBUG][time= 586] BPUStage3: flushS3=0 -[DEBUG][time= 586] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 586] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 586] BPUStage3: tgtDiffers:0, dirDiffers:1, s3taken=0 -[DEBUG][time= 586] JBTAC: read: pc=0x008000009c, histXORAddr=0x0080000766, bank=3, row=118, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 586] JBTAC: read_resp: pc=0x0080000080, bank=6, row= 55, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 586] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 586] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 586] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 586] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 586] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 586] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 586] Ibuffer: Deque: -[DEBUG][time= 586] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 586] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 586] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 586] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 586] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 586] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 586] BTB: read: pc=0x008000009c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 586] BTB: read_resp: pc=0x0080000080, readIdx= 64------------------------------- -[DEBUG][time= 586] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400000, target=0x008000009c, type=1, ctr=2 -[DEBUG][time= 586] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=10][r= 4]: valid=1, tag=0x00400000, target=0x0080000010, type=1, ctr=2 -[DEBUG][time= 586] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=12][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 586] BTB: bankIdxInOrder:[DEBUG][time= 586] BTB: 0 [DEBUG][time= 586] BTB: 1 [DEBUG][time= 586] BTB: 2 [DEBUG][time= 586] BTB: 3 [DEBUG][time= 586] BTB: 4 [DEBUG][time= 586] BTB: 5 [DEBUG][time= 586] BTB: 6 [DEBUG][time= 586] BTB: 7 [DEBUG][time= 586] BTB: 8 [DEBUG][time= 586] BTB: 9 [DEBUG][time= 586] BTB: 10 [DEBUG][time= 586] BTB: 11 [DEBUG][time= 586] BTB: 12 [DEBUG][time= 586] BTB: 13 [DEBUG][time= 586] BTB: 14 [DEBUG][time= 586] BTB: 15 [DEBUG][time= 586] BTB: -[INFO ][time= 586] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 586] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 586] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 586] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 586] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 586] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 586] Dispatch1: pc 0x0080000020 accepted by queue 1 0 0 -[DEBUG][time= 586] Dispatch1: pc 0x0080000020 receives nroq 2 -[DEBUG][time= 586] Dispatch1: v:1 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 586] Dispatch1: v:1 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 586] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 586] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 586] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 586] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 586] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 586] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 586] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 586] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 586] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 586] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 586] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 586] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 586] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 586] Dispatch2: regfile 0 from 0 -[DEBUG][time= 586] Dispatch2: regfile 1 from 0 -[DEBUG][time= 586] Dispatch2: regfile 2 from 1 -[DEBUG][time= 586] Dispatch2: regfile 3 from 1 -[DEBUG][time= 586] Dispatch2: regfile 4 from 2 -[DEBUG][time= 586] Dispatch2: regfile 5 from 2 -[DEBUG][time= 586] Dispatch2: regfile 6 from 3 -[DEBUG][time= 586] Dispatch2: regfile 7 from 3 -[DEBUG][time= 586] Dispatch2: int regfile 0: addr 57, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 586] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 586] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 586] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 586] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 586] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 586] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 586] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 586] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 586] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 586] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 586] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 586] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 586] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 586] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 586] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 586] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 586] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 586] Roq: CSR block should only happen in s_idle -[DEBUG][time= 586] Roq: (ready, valid): (1, 1) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 586] Roq: dispatched 1 insts -[DEBUG][time= 586] Roq: head 0: 2 tail 1: 3 -[DEBUG][time= 586] Roq: ww-vvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 586] Roq: 0080000048 w 008000004c w 0080000050 - 0080000034 v -[DEBUG][time= 586] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 586] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 586] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 586] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 586] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 586] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 586] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 586] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 586] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 4 -> 5) -[DEBUG][time= 586] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 586] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 586] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 586] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 586] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 586] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 586] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 586] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 586] LsExeUnit: retiringStore now... -[DEBUG][time= 586] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483776------> s1 fire!!! -[DEBUG][time= 586] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 586] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 586] FakeCache: [Stage2_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 586] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 586] FakeCache: [Stage3_data] instr1:0x00178693 instr2:0x00278513 -[DEBUG][time= 586] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 586] IFU: [IF1]if1_valid:1 || if1_npc:0x008000009c || if1_pcUpdate:1 if1_pc:0x0080000080 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 586] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000080 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 586] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 586] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00000111 || if2_btb_target:0x008000009c -[DEBUG][time= 586] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000060 if3_npc:0x0080000080 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 586] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000020 if4_npc:0x0080000040 -[DEBUG][time= 586] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000060 -[DEBUG][time= 586] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 586] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 586] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 586] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 586] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 586] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 586] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 586] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 586] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 586] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 586] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 586] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 586] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 586] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 586] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 586] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 586] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 586] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 586] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 586] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 586] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 586] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 586] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 586] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 586] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 586] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 586] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 586] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 586] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 586] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 586] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 586] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 586] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 586] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 586] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 586] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 586] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 586] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 586] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 586] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 586] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 586] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 586] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 586] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 586] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 586] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 586] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 586] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 586] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 586] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 586] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 586] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 586] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 586] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 586] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 586] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 586] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 586] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 586] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 586] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 586] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 586] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 586] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 586] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 586] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 586] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 586] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 586] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 586] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 586] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 586] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 586] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 586] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 586] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 586] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 586] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 586] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 586] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 586] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 586] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 586] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 586] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 586] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 586] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 586] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 586] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 586] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 586] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 586] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 586] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 586] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 586] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 586] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 586] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 586] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 586] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 586] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 586] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 586] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 586] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 586] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 586] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 586] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 586] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 586] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 586] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 586] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 586] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 586] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 586] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 586] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 586] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 586] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 586] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 586] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 586] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 586] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 586] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 586] Brq: ---------------- -[DEBUG][time= 586] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 586] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 586] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 586] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 586] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 586] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 587] Tage: req: pc=0x00800000bc, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 587] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 587] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 587] XSCore: c-mem(0 0 00000ec0| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ec8| 0 1) tlb (1 0 0080000ec8| 0 1) -[DEBUG][time= 587] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 587] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 587] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 587] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 587] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 587] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 587] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 587] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 587] BusyTable_1: 71 is busy -[DEBUG][time= 587] BusyTable_1: 72 is busy -[DEBUG][time= 587] BusyTable_1: 73 is busy -[DEBUG][time= 587] BusyTable_1: 74 is busy -[DEBUG][time= 587] BPUStage2: in:(1 1) pc=008000009c out:(1 1) pc=0080000080 -[DEBUG][time= 587] BPUStage2: validLatch=1 pc=0080000080 -[DEBUG][time= 587] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 587] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 587] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 587] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 587] BPUStage1: in:(1 1) pc=00800000bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 587] BPUStage1: outPred:(1) pc=0x008000009c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 587] BPUStage3: [RAS]:pc=0x0080000080, rasWritePosition= 1, rasWriteAddr=0x0080000080 -[DEBUG][time= 587] BPUStage3: in:(1 1) pc=0080000080 -[DEBUG][time= 587] BPUStage3: out:1 pc=0080000060 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000080 -[DEBUG][time= 587] BPUStage3: flushS3=0 -[DEBUG][time= 587] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 587] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 587] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 587] JBTAC: read: pc=0x00800000bc, histXORAddr=0x0080000746, bank=3, row=116, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 587] JBTAC: read_resp: pc=0x008000009c, bank=3, row=118, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 587] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 587] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 587] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 587] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 587] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 587] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 587] Ibuffer: Enque: -[DEBUG][time= 587] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 587] Ibuffer: 00000000000001010000010110010011 -[DEBUG][time= 587] Ibuffer: 00000000000001010000010100010011 -[DEBUG][time= 587] Ibuffer: 00000000000001010000000001101011 -[DEBUG][time= 587] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 587] Ibuffer: 11000101000001010000010100010011 -[DEBUG][time= 587] Ibuffer: 00111101010100000000000011101111 -[DEBUG][time= 587] Ibuffer: 00000000000000000000000001101111 -[DEBUG][time= 587] Ibuffer: Deque: -[DEBUG][time= 587] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 587] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 587] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 587] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 587] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 587] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 587] Ibuffer: last_head_ptr= 24 last_tail_ptr= 32 -[DEBUG][time= 587] BTB: read: pc=0x00800000bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 587] BTB: read_resp: pc=0x008000009c, readIdx= 78------------------------------- -[DEBUG][time= 587] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 587] BTB: bankIdxInOrder:[DEBUG][time= 587] BTB: 14 [DEBUG][time= 587] BTB: 15 [DEBUG][time= 587] BTB: 0 [DEBUG][time= 587] BTB: 1 [DEBUG][time= 587] BTB: 2 [DEBUG][time= 587] BTB: 3 [DEBUG][time= 587] BTB: 4 [DEBUG][time= 587] BTB: 5 [DEBUG][time= 587] BTB: 6 [DEBUG][time= 587] BTB: 7 [DEBUG][time= 587] BTB: 8 [DEBUG][time= 587] BTB: 9 [DEBUG][time= 587] BTB: 10 [DEBUG][time= 587] BTB: 11 [DEBUG][time= 587] BTB: 12 [DEBUG][time= 587] BTB: 13 [DEBUG][time= 587] BTB: -[INFO ][time= 587] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 587] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 587] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 587] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 587] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 587] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 587] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 587] Dispatch1: v:1 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 587] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 587] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 587] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 587] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 587] Dispatch2: int dp queue 0: 0080000020 type 0011 -[DEBUG][time= 587] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 587] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 587] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 587] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 587] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 587] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 587] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 587] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 587] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 587] Dispatch2: regfile 0 from 0 -[DEBUG][time= 587] Dispatch2: regfile 1 from 0 -[DEBUG][time= 587] Dispatch2: regfile 2 from 1 -[DEBUG][time= 587] Dispatch2: regfile 3 from 1 -[DEBUG][time= 587] Dispatch2: regfile 4 from 2 -[DEBUG][time= 587] Dispatch2: regfile 5 from 2 -[DEBUG][time= 587] Dispatch2: regfile 6 from 3 -[DEBUG][time= 587] Dispatch2: regfile 7 from 3 -[DEBUG][time= 587] Dispatch2: int regfile 0: addr 69, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 587] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 587] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 587] Dispatch2: pc 0x0080000020 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 587] Dispatch2: pc 0x0080000020 leaves Int dispatch queue with nroq 2 -[DEBUG][time= 587] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 587] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 587] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 587] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 587] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 587] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 587] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 587] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 587] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 587] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 587] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 587] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 587] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 587] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 587] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 587] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 587] Roq: CSR block should only happen in s_idle -[DEBUG][time= 587] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 587] Roq: head 0: 3 tail 1: 3 -[DEBUG][time= 587] Roq: wwvvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 587] Roq: 0080000048 w 008000004c w 0080000020 v 0080000034 v -[DEBUG][time= 587] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 587] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 587] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 587] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 587] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 587] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 587] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 587] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 587] DispatchQueue: IntDpQ: num_deq = 1, head = ( 4 -> 5) -[DEBUG][time= 587] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 4), tail = (0, 5), -[DEBUG][time= 587] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 587] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 587] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 587] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 587] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 587] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 587] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 587] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 587] LsExeUnit: retiringStore now... -[DEBUG][time= 587] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483804------> s1 fire!!! -[DEBUG][time= 587] FakeCache: [Stage1_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 587] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 587] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 587] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 587] FakeCache: [Stage3_data] instr1:0x00113423 instr2:0x00050593 -[DEBUG][time= 587] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 587] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000bc || if1_pcUpdate:1 if1_pc:0x008000009c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 587] IFU: [IF2]if2_valid:1 || if2_pc:0x008000009c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 587] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 587] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 587] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000080 if3_npc:0x008000009c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 587] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000060 if4_npc:0x0080000080 -[DEBUG][time= 587] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000080 -[DEBUG][time= 587] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] starPC:0x0080000060 GroupPC:0x0080000060n -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000064 -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction 00050593 pnpc:0x0080000068 -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction 00050513 pnpc:0x008000006c -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction 0005006b pnpc:0x0080000070 -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000074 -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction c5050513 pnpc:0x0080000078 -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction 3d5000ef pnpc:0x008000007c -[DEBUG][time= 587] IFU: [IFU-Out-FetchPacket] instruction 0000006f pnpc:0x0080000080 -[DEBUG][time= 587] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 587] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 587] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 587] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 587] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 587] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 587] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 587] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 587] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 587] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 587] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 587] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 69:1 0:1 0:0) Dest: 71 oldDest: 65 pc:0080000020 roqIdx:02 -[DEBUG][time= 587] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 587] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 587] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 587] IssueQueue_1: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 7 |0080000020|36 <- -[DEBUG][time= 587] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f -[DEBUG][time= 587] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 587] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 587] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 587] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 587] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 587] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 587] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 587] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 587] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 587] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 587] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 587] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 587] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 587] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 587] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 587] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 587] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 587] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 587] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 587] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 587] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 587] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 587] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 587] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 587] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 587] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 587] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 587] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 587] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 587] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 587] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 587] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 587] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 587] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 587] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 587] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 587] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 587] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 587] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 587] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 587] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 587] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 587] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 587] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 587] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 587] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 587] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 587] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 587] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 587] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 587] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 587] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 587] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 587] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 587] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 587] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 587] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 587] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 587] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 587] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 587] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 587] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 587] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 587] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 587] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 587] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 587] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 587] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 587] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 587] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 587] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 587] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 587] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 587] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 587] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 587] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 587] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 587] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 587] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 587] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 587] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 587] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 587] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 587] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 587] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 587] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 587] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 587] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 587] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 587] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 587] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 587] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 587] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 587] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 587] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 587] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 587] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 587] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 587] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 587] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 587] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 587] Brq: ---------------- -[DEBUG][time= 587] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 587] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 587] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 587] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 587] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 587] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 588] Tage: req: pc=0x00800000dc, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 588] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 588] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 588] XSCore: c-mem(0 0 00000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000ec8| 1 1) tlb (1 0 0080000ec8| 1 1) -[DEBUG][time= 588] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 588] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 588] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 588] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 588] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 588] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 588] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 588] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 588] BusyTable_1: writeback 71 -[DEBUG][time= 588] BusyTable_1: 71 is busy -[DEBUG][time= 588] BusyTable_1: 72 is busy -[DEBUG][time= 588] BusyTable_1: 73 is busy -[DEBUG][time= 588] BusyTable_1: 74 is busy -[DEBUG][time= 588] BPUStage2: in:(1 1) pc=00800000bc out:(1 1) pc=008000009c -[DEBUG][time= 588] BPUStage2: validLatch=1 pc=008000009c -[DEBUG][time= 588] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 588] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 588] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 588] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 588] WriteBackArbMtoN: out(1) pc(0x0080000020) writebacks 0x0000000000000005 to pdest( 71) ldest(13) -[DEBUG][time= 588] BPUStage1: in:(1 1) pc=00800000dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 588] BPUStage1: outPred:(1) pc=0x00800000bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 588] BPUStage3: [RAS]:pc=0x008000009c, rasWritePosition= 1, rasWriteAddr=0x008000008c -[DEBUG][time= 588] BPUStage3: in:(1 1) pc=008000009c -[DEBUG][time= 588] BPUStage3: out:1 pc=0080000080 redirect=0 predcdMask=11111111 instrValid=00000111 tgt=008000009c -[DEBUG][time= 588] BPUStage3: flushS3=0 -[DEBUG][time= 588] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 588] BPUStage3: jmpIdx=2, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=2 retIdx=7 -[DEBUG][time= 588] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=1 -[DEBUG][time= 588] JBTAC: read: pc=0x00800000dc, histXORAddr=0x0080000726, bank=3, row=114, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 588] JBTAC: read_resp: pc=0x00800000bc, bank=3, row=116, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 588] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 588] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 588] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 588] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 588] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 588] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 588] Ibuffer: Enque: -[DEBUG][time= 588] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 588] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 588] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 588] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 588] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 588] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 588] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 588] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 588] Ibuffer: Deque: -[DEBUG][time= 588] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 588] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 588] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 588] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 588] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 588] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 588] Ibuffer: last_head_ptr= 24 last_tail_ptr= 48 -[DEBUG][time= 588] BTB: read: pc=0x00800000dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 588] BTB: read_resp: pc=0x00800000bc, readIdx= 94------------------------------- -[DEBUG][time= 588] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 588] BTB: bankIdxInOrder:[DEBUG][time= 588] BTB: 14 [DEBUG][time= 588] BTB: 15 [DEBUG][time= 588] BTB: 0 [DEBUG][time= 588] BTB: 1 [DEBUG][time= 588] BTB: 2 [DEBUG][time= 588] BTB: 3 [DEBUG][time= 588] BTB: 4 [DEBUG][time= 588] BTB: 5 [DEBUG][time= 588] BTB: 6 [DEBUG][time= 588] BTB: 7 [DEBUG][time= 588] BTB: 8 [DEBUG][time= 588] BTB: 9 [DEBUG][time= 588] BTB: 10 [DEBUG][time= 588] BTB: 11 [DEBUG][time= 588] BTB: 12 [DEBUG][time= 588] BTB: 13 [DEBUG][time= 588] BTB: -[DEBUG][time= 588] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 588] AluExeUnit: src1:0000000000000004 src2:0000000000000001 offset:0000000000000001 func:0000000 pc:0000000080000020 -[DEBUG][time= 588] AluExeUnit: res:0000000000000005 aluRes:00000000000000005 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000005 taken:0 -[INFO ][time= 588] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 588] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 588] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 588] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 588] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 588] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 588] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 588] Dispatch1: v:1 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 588] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 588] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 588] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 588] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 588] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 588] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 588] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 588] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 588] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 588] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 588] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 588] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 588] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 588] Dispatch2: regfile 0 from 3 -[DEBUG][time= 588] Dispatch2: regfile 1 from 3 -[DEBUG][time= 588] Dispatch2: regfile 2 from 0 -[DEBUG][time= 588] Dispatch2: regfile 3 from 0 -[DEBUG][time= 588] Dispatch2: regfile 4 from 1 -[DEBUG][time= 588] Dispatch2: regfile 5 from 1 -[DEBUG][time= 588] Dispatch2: regfile 6 from 2 -[DEBUG][time= 588] Dispatch2: regfile 7 from 2 -[DEBUG][time= 588] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 4: addr 58, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 588] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 588] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 588] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 588] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 588] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[DEBUG][time= 588] Dispatch2: pc 0x0080000020 reads operands from ( 0, 69, 0000000000000004), ( 1, 0, 0000000000000001), ( 0, 0, 0000000000000000) -[INFO ][time= 588] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 588] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 588] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 588] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 588] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 588] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 588] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 588] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 588] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 588] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 588] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 588] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 588] Roq: CSR block should only happen in s_idle -[DEBUG][time= 588] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 588] Roq: writebacked 1 insts -[INFO ][time= 588] Roq: writebacked pc 0x0080000020 wen 1 data 0x0000000000000005 ldst 13 pdst 71 skip 0 -[DEBUG][time= 588] Roq: head 0: 3 tail 1: 3 -[DEBUG][time= 588] Roq: wwvvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 588] Roq: 0080000048 w 008000004c w 0080000020 v 0080000034 v -[DEBUG][time= 588] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 588] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 588] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 588] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 588] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 588] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 588] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 588] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 588] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 588] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 588] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 588] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 588] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 588] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 588] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 588] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 588] LsExeUnit: retiringStore now... -[INFO ][time= 588] LsExeUnit: [DMEM RESP] data 0000000000000003 -[DEBUG][time= 588] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483836------> s1 fire!!! -[DEBUG][time= 588] FakeCache: [Stage1_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 588] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 588] FakeCache: [Stage2_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 588] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 588] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 588] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 588] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000dc || if1_pcUpdate:1 if1_pc:0x00800000bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 588] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 588] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 588] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 588] IFU: [IF3]if3_valid:1 || if3_pc:0x008000009c if3_npc:0x00800000bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 588] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000080 if4_npc:0x008000009c -[DEBUG][time= 588] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:00000111 || if4_tage_target:0x008000009c -[DEBUG][time= 588] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] starPC:0x0080000080 GroupPC:0x0080000080n -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instrmask 0000000000111111 -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000009c -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 588] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 588] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 588] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 588] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 588] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 588] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 588] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 588] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 588] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 588] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 588] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 588] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 588] IssueQueue_1: EnqData: src1:0000000000000004 src2:0000000000000001 src3:0000000000000000 pc:0080000020 roqIdx:02(for last cycle's Ctrl) -[INFO ][time= 588] IssueQueue_1: Deq:(1 1) [ 69|0000000000000004][ 0|0000000000000001][ 0|c63124ed8740c04f] pdest: 71 pc:0080000020 roqIdx:02 -[DEBUG][time= 588] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 588] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 71) -[DEBUG][time= 588] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 588] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 588] IssueQueue_1: 1 |1|1| 69|1|0000000000000003| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 -[DEBUG][time= 588] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f <- -[DEBUG][time= 588] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 588] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 588] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 588] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 588] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 588] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 588] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 588] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 588] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 588] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 588] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 588] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 588] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 588] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 588] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 588] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 588] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 588] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 588] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 588] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 588] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 588] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 588] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 588] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 588] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 588] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 588] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 588] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 588] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 588] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 588] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 588] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 588] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 588] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 588] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 588] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 588] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 588] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 588] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 588] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 588] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 588] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 588] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 588] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 588] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 588] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 588] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 588] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 588] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 588] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 588] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 588] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 588] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 588] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 588] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 588] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 588] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 588] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 588] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 588] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 588] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 588] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 588] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 588] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 588] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 588] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 588] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 588] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 588] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 588] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 588] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 588] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 588] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 588] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 588] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 588] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 588] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 588] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 588] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 588] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 588] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 588] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 588] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 588] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 588] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 588] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 588] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 588] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 588] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 588] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 588] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 588] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 588] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 588] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 588] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 588] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 588] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 588] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 588] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 588] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 588] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 588] Brq: ---------------- -[DEBUG][time= 588] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 588] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 588] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 588] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 588] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 588] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 589] Tage: req: pc=0x00800000fc, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 589] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 589] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 589] XSCore: c-mem(0 0 00000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ee0| 0 1) -[DEBUG][time= 589] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 589] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 589] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 589] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 589] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 589] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 589] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 589] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 589] BusyTable_1: 72 is busy -[DEBUG][time= 589] BusyTable_1: 73 is busy -[DEBUG][time= 589] BusyTable_1: 74 is busy -[DEBUG][time= 589] BPUStage2: in:(1 1) pc=00800000dc out:(1 1) pc=00800000bc -[DEBUG][time= 589] BPUStage2: validLatch=1 pc=00800000bc -[DEBUG][time= 589] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 589] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 589] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 589] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 589] BPUStage1: in:(1 1) pc=00800000fc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 589] BPUStage1: outPred:(1) pc=0x00800000dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 589] BPUStage3: [RAS]:pc=0x00800000bc, rasWritePosition= 2, rasWriteAddr=0x00800000bc -[DEBUG][time= 589] BPUStage3: in:(1 1) pc=00800000bc -[DEBUG][time= 589] BPUStage3: out:1 pc=008000009c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000bc -[DEBUG][time= 589] BPUStage3: flushS3=0 -[DEBUG][time= 589] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 589] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 589] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 589] JBTAC: read: pc=0x00800000fc, histXORAddr=0x0080000706, bank=3, row=112, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 589] JBTAC: read_resp: pc=0x00800000dc, bank=3, row=114, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 589] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 589] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 589] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 589] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 589] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 589] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 589] Ibuffer: Enque: -[DEBUG][time= 589] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 589] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 589] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 589] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 589] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 589] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 589] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 589] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 589] Ibuffer: Deque: -[DEBUG][time= 589] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 589] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 589] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 589] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 589] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 589] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 589] Ibuffer: last_head_ptr= 24 last_tail_ptr= 54 -[DEBUG][time= 589] BTB: read: pc=0x00800000fc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 589] BTB: read_resp: pc=0x00800000dc, readIdx=110------------------------------- -[DEBUG][time= 589] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 589] BTB: bankIdxInOrder:[DEBUG][time= 589] BTB: 14 [DEBUG][time= 589] BTB: 15 [DEBUG][time= 589] BTB: 0 [DEBUG][time= 589] BTB: 1 [DEBUG][time= 589] BTB: 2 [DEBUG][time= 589] BTB: 3 [DEBUG][time= 589] BTB: 4 [DEBUG][time= 589] BTB: 5 [DEBUG][time= 589] BTB: 6 [DEBUG][time= 589] BTB: 7 [DEBUG][time= 589] BTB: 8 [DEBUG][time= 589] BTB: 9 [DEBUG][time= 589] BTB: 10 [DEBUG][time= 589] BTB: 11 [DEBUG][time= 589] BTB: 12 [DEBUG][time= 589] BTB: 13 [DEBUG][time= 589] BTB: -[INFO ][time= 589] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 589] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 589] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 589] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 589] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 589] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 589] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 589] Dispatch1: v:1 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 589] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 589] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 589] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 589] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 589] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 589] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 589] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 589] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 589] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 589] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 589] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 589] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 589] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 589] Dispatch2: regfile 0 from 0 -[DEBUG][time= 589] Dispatch2: regfile 1 from 0 -[DEBUG][time= 589] Dispatch2: regfile 2 from 1 -[DEBUG][time= 589] Dispatch2: regfile 3 from 1 -[DEBUG][time= 589] Dispatch2: regfile 4 from 2 -[DEBUG][time= 589] Dispatch2: regfile 5 from 2 -[DEBUG][time= 589] Dispatch2: regfile 6 from 3 -[DEBUG][time= 589] Dispatch2: regfile 7 from 3 -[DEBUG][time= 589] Dispatch2: int regfile 0: addr 59, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 2: addr 58, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 4: addr 59, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 9: addr 63, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 12: addr 63, state 1 -[DEBUG][time= 589] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 589] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 589] Dispatch2: pc 0x0080000030 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 589] Dispatch2: pc 0x0080000030 leaves Ls dispatch queue with nroq 58 -[DEBUG][time= 589] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 589] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 589] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 589] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 589] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 589] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 589] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 589] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 589] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 589] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 589] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 589] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 589] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 589] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 589] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 589] Roq: CSR block should only happen in s_idle -[DEBUG][time= 589] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 589] Roq: writebacked 1 insts -[INFO ][time= 589] Roq: writebacked pc 0x0080000034 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 589] Roq: head 0: 3 tail 1: 3 -[DEBUG][time= 589] Roq: wwwvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 589] Roq: 0080000048 w 008000004c w 0080000020 w 0080000034 v -[DEBUG][time= 589] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 589] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 589] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 589] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 589] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 589] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 589] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 589] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 4 -> 5) -[DEBUG][time= 589] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 4), tail = (0, 9), -[DEBUG][time= 589] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ee0 size 3 data 0000000000000002 mask 00ff cmd 0 -[DEBUG][time= 589] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 589] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 589] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 589] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 589] LsExeUnit: LSU fire: pc 0080000034 addr 0000000080000ee0 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 589] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 589] LsExeUnit: stqinfo: stqValid.asUInt 00011001 stqHead 3 stqTail 3 stqCommited 3 emptySlot 1 -[DEBUG][time= 589] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483868------> s1 fire!!! -[DEBUG][time= 589] FakeCache: [Stage1_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 589] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 589] FakeCache: [Stage2_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 589] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 589] FakeCache: [Stage3_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 589] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 589] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000fc || if1_pcUpdate:1 if1_pc:0x00800000dc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 589] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000dc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 589] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 589] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 589] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000bc if3_npc:0x00800000dc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 589] IFU: [IF4]if4_valid:1 || if4_pc:0x008000009c if4_npc:0x00800000bc -[DEBUG][time= 589] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000bc -[DEBUG][time= 589] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] starPC:0x008000009c GroupPC:0x0080000080n -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 589] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 589] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 589] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 589] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 589] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 589] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 589] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 589] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 589] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 589] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 589] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 589] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 589] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 589] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 589] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 589] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f <- -[DEBUG][time= 589] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 -[DEBUG][time= 589] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 589] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 589] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 589] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 589] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 589] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 589] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 589] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 589] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 589] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 589] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 589] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 589] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 589] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 589] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 589] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 589] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 589] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 589] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 589] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 589] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 589] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 589] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 589] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 589] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 589] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 589] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 589] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 589] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 589] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 589] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 589] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 589] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 589] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 589] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 589] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 589] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 589] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 589] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 589] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 589] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 589] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 589] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 589] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 589] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 589] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 589] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 589] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 589] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 589] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 589] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 589] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 589] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 589] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 589] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 589] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 589] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 589] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 589] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 589] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 589] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 589] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 64:1 63:1 0:0) Dest: 32 oldDest: 0 pc:0080000030 roqIdx:3a -[INFO ][time= 589] IssueQueue_7: Deq:(1 1) [ 52|0000000080000ed8][ 53|0000000000000002][ 0|0000000000000000] pdest: 32 pc:0080000034 roqIdx:23 -[DEBUG][time= 589] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 589] IssueQueue_7: FireStage:Out(1 1) src1( 52|0000000080000ed8) src2( 53|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:35 -[DEBUG][time= 589] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 589] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 589] IssueQueue_7: 0 |1|1| 52|1|0000000080000ed8| 54|1|0000000000000003| 0|0|0000000000000000| 5 |0080000038|24 -[DEBUG][time= 589] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 589] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 589] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 589] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 589] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 589] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 589] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 589] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 589] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 589] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 589] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 589] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 589] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 589] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 589] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 589] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 589] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 589] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 589] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 589] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 589] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 589] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 589] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 589] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 589] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 589] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 589] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 589] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 589] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 589] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 589] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 589] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 589] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 589] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 589] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 589] Brq: ---------------- -[DEBUG][time= 589] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 589] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 589] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 589] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 589] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 589] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 590] Tage: req: pc=0x008000011c, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 590] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 590] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 590] XSCore: c-mem(0 0 00000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ec8| 0 1) tlb (1 1 0080000ec8| 0 1) -[DEBUG][time= 590] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 590] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 590] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 590] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 590] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 590] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 590] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 590] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 590] BusyTable_1: 72 is busy -[DEBUG][time= 590] BusyTable_1: 73 is busy -[DEBUG][time= 590] BusyTable_1: 74 is busy -[DEBUG][time= 590] BPUStage2: in:(1 1) pc=00800000fc out:(1 1) pc=00800000dc -[DEBUG][time= 590] BPUStage2: validLatch=1 pc=00800000dc -[DEBUG][time= 590] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 590] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 590] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 590] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 590] BPUStage1: in:(1 1) pc=008000011c ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 590] BPUStage1: outPred:(1) pc=0x00800000fc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 590] BPUStage3: [RAS]:pc=0x00800000dc, rasWritePosition= 2, rasWriteAddr=0x00800000dc -[DEBUG][time= 590] BPUStage3: in:(1 1) pc=00800000dc -[DEBUG][time= 590] BPUStage3: out:1 pc=00800000bc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000dc -[DEBUG][time= 590] BPUStage3: flushS3=0 -[DEBUG][time= 590] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 590] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 590] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 590] JBTAC: read: pc=0x008000011c, histXORAddr=0x00800006e6, bank=3, row=110, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 590] JBTAC: read_resp: pc=0x00800000fc, bank=3, row=112, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 590] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 590] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 590] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 590] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 590] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 590] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 590] Ibuffer: Enque: -[DEBUG][time= 590] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 590] Ibuffer: 00000010111101010000010001100011 -[DEBUG][time= 590] Ibuffer: 01000000011000000000011100110111 -[DEBUG][time= 590] Ibuffer: 00000000100001110100011110000011 -[DEBUG][time= 590] Ibuffer: 00000000100001111111011110010011 -[DEBUG][time= 590] Ibuffer: 11111110000001111001110011100011 -[DEBUG][time= 590] Ibuffer: 00000000100001110000001000100011 -[DEBUG][time= 590] Ibuffer: 00000000100000010011000010000011 -[DEBUG][time= 590] Ibuffer: Deque: -[DEBUG][time= 590] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 590] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 590] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 590] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 590] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 590] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 590] Ibuffer: last_head_ptr= 24 last_tail_ptr= 70 -[DEBUG][time= 590] BTB: read: pc=0x008000011c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 590] BTB: read_resp: pc=0x00800000fc, readIdx=126------------------------------- -[DEBUG][time= 590] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 590] BTB: bankIdxInOrder:[DEBUG][time= 590] BTB: 14 [DEBUG][time= 590] BTB: 15 [DEBUG][time= 590] BTB: 0 [DEBUG][time= 590] BTB: 1 [DEBUG][time= 590] BTB: 2 [DEBUG][time= 590] BTB: 3 [DEBUG][time= 590] BTB: 4 [DEBUG][time= 590] BTB: 5 [DEBUG][time= 590] BTB: 6 [DEBUG][time= 590] BTB: 7 [DEBUG][time= 590] BTB: 8 [DEBUG][time= 590] BTB: 9 [DEBUG][time= 590] BTB: 10 [DEBUG][time= 590] BTB: 11 [DEBUG][time= 590] BTB: 12 [DEBUG][time= 590] BTB: 13 [DEBUG][time= 590] BTB: -[INFO ][time= 590] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 590] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 590] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 590] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 590] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 590] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 590] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 590] Dispatch1: v:1 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 590] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 590] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 590] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 590] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 590] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 590] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 590] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 590] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 590] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 590] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 590] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 590] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 590] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 590] Dispatch2: regfile 0 from 0 -[DEBUG][time= 590] Dispatch2: regfile 1 from 0 -[DEBUG][time= 590] Dispatch2: regfile 2 from 1 -[DEBUG][time= 590] Dispatch2: regfile 3 from 1 -[DEBUG][time= 590] Dispatch2: regfile 4 from 2 -[DEBUG][time= 590] Dispatch2: regfile 5 from 2 -[DEBUG][time= 590] Dispatch2: regfile 6 from 3 -[DEBUG][time= 590] Dispatch2: regfile 7 from 3 -[DEBUG][time= 590] Dispatch2: int regfile 0: addr 59, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 2: addr 58, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 4: addr 59, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 12: addr 65, state 1 -[DEBUG][time= 590] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 590] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 0 -[DEBUG][time= 590] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 590] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 590] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[DEBUG][time= 590] Dispatch2: pc 0x0080000030 reads operands from ( 8, 64, 0000000080000f28), ( 9, 63, 0000000000000003), ( 0, 0, 0000000000000000) -[INFO ][time= 590] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 590] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 590] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 590] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 590] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 590] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 590] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 590] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 590] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 590] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 590] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 590] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 590] Roq: CSR block should only happen in s_idle -[DEBUG][time= 590] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 590] Roq: retired pc 0080000034 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 590] Roq: retired 1 insts -[DEBUG][time= 590] Roq: head 0: 3 tail 1: 3 -[DEBUG][time= 590] Roq: wwwwvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 590] Roq: 0080000048 w 008000004c w 0080000020 w 0080000034 w -[DEBUG][time= 590] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 590] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 590] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 590] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 590] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 590] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 590] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 590] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 5), tail = (0, 9), -[DEBUG][time= 590] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 590] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 590] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 590] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 590] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 590] LsExeUnit: sbuffer id 3 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 3 ptr 1 valid 1 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 590] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 590] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 590] LsExeUnit: stqinfo: stqValid.asUInt 00011011 stqHead 4 stqTail 3 stqCommited 3 emptySlot 2 -[DEBUG][time= 590] LsExeUnit: retiringStore now... -[INFO ][time= 590] LsExeUnit: [DMEM STORE REQ] addr 0x80000ec8 wdata 0x0000000000000003 size 3 -[DEBUG][time= 590] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483900------> s1 fire!!! -[DEBUG][time= 590] FakeCache: [Stage1_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 590] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 590] FakeCache: [Stage2_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 590] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 590] FakeCache: [Stage3_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 590] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 590] IFU: [IF1]if1_valid:1 || if1_npc:0x008000011c || if1_pcUpdate:1 if1_pc:0x00800000fc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 590] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000fc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 590] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 590] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 590] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000dc if3_npc:0x00800000fc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 590] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000bc if4_npc:0x00800000dc -[DEBUG][time= 590] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 590] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] starPC:0x00800000bc GroupPC:0x00800000a0n -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction 02f50463 pnpc:0x00800000c4 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction 40600737 pnpc:0x00800000c8 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction 00874783 pnpc:0x00800000cc -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction 0087f793 pnpc:0x00800000d0 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction fe079ce3 pnpc:0x00800000d4 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction 00870223 pnpc:0x00800000d8 -[DEBUG][time= 590] IFU: [IFU-Out-FetchPacket] instruction 00813083 pnpc:0x00800000dc -[DEBUG][time= 590] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 590] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 590] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 590] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 590] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 590] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 590] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 590] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 590] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 590] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 590] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 590] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 590] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 590] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 590] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f <- -[DEBUG][time= 590] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 -[DEBUG][time= 590] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 590] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 590] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 590] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 590] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 590] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 590] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 590] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 590] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 590] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 590] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 590] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 590] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 590] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 590] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 590] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 590] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 590] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 590] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 590] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 590] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 590] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 590] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 590] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 590] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 590] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 590] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 590] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 590] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 590] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 590] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 590] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 590] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 590] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 590] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 590] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 590] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 590] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 590] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 590] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 590] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 590] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 590] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 590] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 590] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 590] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 590] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 590] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 590] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 590] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 590] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 590] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 590] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 590] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 590] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 590] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 590] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 590] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 590] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 590] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 590] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 590] IssueQueue_7: EnqData: src1:0000000080000f28 src2:0000000000000003 src3:0000000000000000 pc:0080000030 roqIdx:3a(for last cycle's Ctrl) -[DEBUG][time= 590] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 590] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 54|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:36 -[DEBUG][time= 590] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 590] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 590] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 590] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 590] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 590] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 590] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 590] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 590] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 590] IssueQueue_7: 0 |1|1| 64|1|0000000080000ed8| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 590] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 590] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 590] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 590] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 590] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 590] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 590] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 590] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 590] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 590] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 590] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 590] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 590] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 590] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 590] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 590] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 590] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 590] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 590] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 590] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 590] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 590] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 590] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 590] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 590] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 590] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 590] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 590] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 590] Brq: ---------------- -[DEBUG][time= 590] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 590] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 590] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 590] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 590] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 590] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 591] Tage: req: pc=0x008000013c, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 591] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 591] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 591] XSCore: c-mem(0 0 00000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ec8| 0 1) -[DEBUG][time= 591] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 591] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 591] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 591] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 591] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 591] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 591] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 591] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 591] BusyTable_1: 72 is busy -[DEBUG][time= 591] BusyTable_1: 73 is busy -[DEBUG][time= 591] BusyTable_1: 74 is busy -[DEBUG][time= 591] BPUStage2: in:(1 1) pc=008000011c out:(1 1) pc=00800000fc -[DEBUG][time= 591] BPUStage2: validLatch=1 pc=00800000fc -[DEBUG][time= 591] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 591] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 591] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 591] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 591] BPUStage1: in:(1 1) pc=008000013c ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 591] BPUStage1: outPred:(1) pc=0x008000011c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 591] BPUStage3: [RAS]:pc=0x00800000fc, rasWritePosition= 2, rasWriteAddr=0x00800000fc -[DEBUG][time= 591] BPUStage3: in:(1 1) pc=00800000fc -[DEBUG][time= 591] BPUStage3: out:1 pc=00800000dc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000fc -[DEBUG][time= 591] BPUStage3: flushS3=0 -[DEBUG][time= 591] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 591] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 591] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 591] JBTAC: read: pc=0x008000013c, histXORAddr=0x00800006c6, bank=3, row=108, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 591] JBTAC: read_resp: pc=0x008000011c, bank=3, row=110, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 591] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 591] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 591] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 591] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 591] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 591] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 591] Ibuffer: Enque: -[DEBUG][time= 591] Ibuffer: 00000000000000010011010000000011 -[DEBUG][time= 591] Ibuffer: 00000001000000010000000100010011 -[DEBUG][time= 591] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 591] Ibuffer: 00000000110100000000010100010011 -[DEBUG][time= 591] Ibuffer: 11111100000111111111000011101111 -[DEBUG][time= 591] Ibuffer: 11111101010111111111000001101111 -[DEBUG][time= 591] Ibuffer: 00001000000001011000110001100011 -[DEBUG][time= 591] Ibuffer: 11111101000000010000000100010011 -[DEBUG][time= 591] Ibuffer: Deque: -[DEBUG][time= 591] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 591] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 591] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 591] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 591] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 591] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 591] Ibuffer: last_head_ptr= 24 last_tail_ptr= 86 -[DEBUG][time= 591] BTB: read: pc=0x008000013c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 591] BTB: read_resp: pc=0x008000011c, readIdx=142------------------------------- -[DEBUG][time= 591] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 591] BTB: bankIdxInOrder:[DEBUG][time= 591] BTB: 14 [DEBUG][time= 591] BTB: 15 [DEBUG][time= 591] BTB: 0 [DEBUG][time= 591] BTB: 1 [DEBUG][time= 591] BTB: 2 [DEBUG][time= 591] BTB: 3 [DEBUG][time= 591] BTB: 4 [DEBUG][time= 591] BTB: 5 [DEBUG][time= 591] BTB: 6 [DEBUG][time= 591] BTB: 7 [DEBUG][time= 591] BTB: 8 [DEBUG][time= 591] BTB: 9 [DEBUG][time= 591] BTB: 10 [DEBUG][time= 591] BTB: 11 [DEBUG][time= 591] BTB: 12 [DEBUG][time= 591] BTB: 13 [DEBUG][time= 591] BTB: -[INFO ][time= 591] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 591] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 591] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 591] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 591] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 591] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 591] Dispatch1: pc 0x0080000024 accepted by queue 1 0 0 -[DEBUG][time= 591] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 591] Dispatch1: pc 0x0080000024 receives nroq 3 -[DEBUG][time= 591] Dispatch1: v:1 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 591] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 591] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 591] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 591] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 591] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 591] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 591] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 591] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 591] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 591] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 591] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 591] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 591] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 591] Dispatch2: regfile 0 from 0 -[DEBUG][time= 591] Dispatch2: regfile 1 from 0 -[DEBUG][time= 591] Dispatch2: regfile 2 from 1 -[DEBUG][time= 591] Dispatch2: regfile 3 from 1 -[DEBUG][time= 591] Dispatch2: regfile 4 from 2 -[DEBUG][time= 591] Dispatch2: regfile 5 from 2 -[DEBUG][time= 591] Dispatch2: regfile 6 from 3 -[DEBUG][time= 591] Dispatch2: regfile 7 from 3 -[DEBUG][time= 591] Dispatch2: int regfile 0: addr 59, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 2: addr 58, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 4: addr 59, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 12: addr 65, state 1 -[DEBUG][time= 591] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 591] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 0 -[DEBUG][time= 591] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 591] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 591] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[INFO ][time= 591] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 591] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 591] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 591] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 591] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 591] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 591] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 591] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 591] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 591] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 591] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 591] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 591] Roq: CSR block should only happen in s_idle -[DEBUG][time= 591] Roq: (ready, valid): (1, 0) (1, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 591] Roq: dispatched 1 insts -[DEBUG][time= 591] Roq: head 0: 3 tail 1: 4 -[DEBUG][time= 591] Roq: www-vvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 591] Roq: 0080000048 w 008000004c w 0080000020 w 0080000034 - -[DEBUG][time= 591] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 591] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 591] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 591] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 591] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 591] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 591] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 591] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 5), tail = (0, 9), -[DEBUG][time= 591] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 5 -> 6) -[DEBUG][time= 591] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ec8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 591] LsExeUnit: forwarding data from stq, addr 0000000080000ec8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 591] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ec8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 591] LsExeUnit: sbuffer id 1 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 591] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 591] LsExeUnit: sbuffer id 3 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 1 ptr 4 valid 1 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 3 ptr 1 valid 1 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 591] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 591] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 591] LsExeUnit: stqinfo: stqValid.asUInt 00011011 stqHead 4 stqTail 3 stqCommited 4 emptySlot 2 -[DEBUG][time= 591] LsExeUnit: retiringStore now... -[DEBUG][time= 591] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483932------> s1 fire!!! -[DEBUG][time= 591] FakeCache: [Stage1_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 591] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 591] FakeCache: [Stage2_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 591] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 591] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 591] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 591] IFU: [IF1]if1_valid:1 || if1_npc:0x008000013c || if1_pcUpdate:1 if1_pc:0x008000011c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 591] IFU: [IF2]if2_valid:1 || if2_pc:0x008000011c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 591] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 591] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 591] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000fc if3_npc:0x008000011c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 591] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 591] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000fc -[DEBUG][time= 591] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] starPC:0x00800000dc GroupPC:0x00800000c0n -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction 00013403 pnpc:0x00800000e0 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction 01010113 pnpc:0x00800000e4 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000e8 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction 00d00513 pnpc:0x00800000ec -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction fc1ff0ef pnpc:0x00800000f0 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction fd5ff06f pnpc:0x00800000f4 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction 08058c63 pnpc:0x00800000f8 -[DEBUG][time= 591] IFU: [IFU-Out-FetchPacket] instruction fd010113 pnpc:0x00800000fc -[DEBUG][time= 591] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 591] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 591] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 591] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 591] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 591] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 591] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 591] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 591] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 591] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 591] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 591] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 591] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 591] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 591] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f <- -[DEBUG][time= 591] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 -[DEBUG][time= 591] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 591] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 591] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 591] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 591] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 591] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 591] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 591] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 591] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 591] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 591] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 591] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 591] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 591] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 591] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 591] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 591] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 591] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 591] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 591] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 591] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 591] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 591] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 591] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 591] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 591] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 591] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 591] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 591] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 591] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 591] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 591] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 591] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 591] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 591] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 591] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 591] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 591] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 591] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 591] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 591] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 591] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 591] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 591] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 591] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 591] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 591] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 591] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 591] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 591] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 591] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 591] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 591] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 591] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 591] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 591] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 591] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 591] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 591] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 591] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 591] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 591] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 591] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 54|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:36 -[DEBUG][time= 591] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 591] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 591] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 591] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 591] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 591] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 591] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 591] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 591] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 591] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 591] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 591] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 591] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 591] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 591] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 591] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 591] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 591] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 591] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 591] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 591] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 591] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 591] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 591] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 591] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 591] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 591] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 591] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 591] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 591] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 591] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 591] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 591] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 591] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 591] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 591] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 591] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 591] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 591] Brq: ---------------- -[DEBUG][time= 591] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 591] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 591] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 591] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 591] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 591] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 592] Tage: req: pc=0x008000015c, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 592] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 592] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 592] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ed0| 1 1) tlb (1 0 0080000ed0| 1 1) -[DEBUG][time= 592] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 592] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 592] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 592] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 592] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 592] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 592] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 592] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 592] BusyTable_1: 72 is busy -[DEBUG][time= 592] BusyTable_1: 73 is busy -[DEBUG][time= 592] BusyTable_1: 74 is busy -[DEBUG][time= 592] BPUStage2: in:(1 1) pc=008000013c out:(1 1) pc=008000011c -[DEBUG][time= 592] BPUStage2: validLatch=1 pc=008000011c -[DEBUG][time= 592] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 592] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 592] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 592] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 592] BPUStage1: in:(1 1) pc=008000015c ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 592] BPUStage1: outPred:(1) pc=0x008000013c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 592] BPUStage3: [RAS]:pc=0x008000011c, rasWritePosition= 2, rasWriteAddr=0x008000011c -[DEBUG][time= 592] BPUStage3: in:(1 1) pc=008000011c -[DEBUG][time= 592] BPUStage3: out:1 pc=00800000fc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000011c -[DEBUG][time= 592] BPUStage3: flushS3=0 -[DEBUG][time= 592] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 592] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 592] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 592] JBTAC: read: pc=0x008000015c, histXORAddr=0x00800006a6, bank=3, row=106, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 592] JBTAC: read_resp: pc=0x008000013c, bank=3, row=108, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 592] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 592] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 592] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 592] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 592] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 592] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 592] Ibuffer: Enque: -[DEBUG][time= 592] Ibuffer: 00000010100000010011000000100011 -[DEBUG][time= 592] Ibuffer: 00000000100100010011110000100011 -[DEBUG][time= 592] Ibuffer: 00000001001000010011100000100011 -[DEBUG][time= 592] Ibuffer: 00000001001100010011010000100011 -[DEBUG][time= 592] Ibuffer: 00000010000100010011010000100011 -[DEBUG][time= 592] Ibuffer: 11111111111101011000010010011011 -[DEBUG][time= 592] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 592] Ibuffer: 00000000000001010000100100010011 -[DEBUG][time= 592] Ibuffer: Deque: -[DEBUG][time= 592] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 592] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 592] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 592] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 592] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 592] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 592] Ibuffer: last_head_ptr= 24 last_tail_ptr=102 -[DEBUG][time= 592] BTB: read: pc=0x008000015c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 592] BTB: read_resp: pc=0x008000013c, readIdx=158------------------------------- -[DEBUG][time= 592] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 592] BTB: bankIdxInOrder:[DEBUG][time= 592] BTB: 14 [DEBUG][time= 592] BTB: 15 [DEBUG][time= 592] BTB: 0 [DEBUG][time= 592] BTB: 1 [DEBUG][time= 592] BTB: 2 [DEBUG][time= 592] BTB: 3 [DEBUG][time= 592] BTB: 4 [DEBUG][time= 592] BTB: 5 [DEBUG][time= 592] BTB: 6 [DEBUG][time= 592] BTB: 7 [DEBUG][time= 592] BTB: 8 [DEBUG][time= 592] BTB: 9 [DEBUG][time= 592] BTB: 10 [DEBUG][time= 592] BTB: 11 [DEBUG][time= 592] BTB: 12 [DEBUG][time= 592] BTB: 13 [DEBUG][time= 592] BTB: -[INFO ][time= 592] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 592] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 592] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 592] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 592] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 592] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 592] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 592] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 592] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 592] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 592] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 592] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 592] Dispatch2: int dp queue 0: 0080000024 type 0011 -[DEBUG][time= 592] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 592] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 592] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 592] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 592] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 592] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 592] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 592] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 592] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 592] Dispatch2: regfile 0 from 0 -[DEBUG][time= 592] Dispatch2: regfile 1 from 0 -[DEBUG][time= 592] Dispatch2: regfile 2 from 1 -[DEBUG][time= 592] Dispatch2: regfile 3 from 1 -[DEBUG][time= 592] Dispatch2: regfile 4 from 2 -[DEBUG][time= 592] Dispatch2: regfile 5 from 2 -[DEBUG][time= 592] Dispatch2: regfile 6 from 3 -[DEBUG][time= 592] Dispatch2: regfile 7 from 3 -[DEBUG][time= 592] Dispatch2: int regfile 0: addr 69, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 2: addr 58, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 4: addr 59, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 12: addr 65, state 1 -[DEBUG][time= 592] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 592] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 592] Dispatch2: pc 0x0080000024 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 592] Dispatch2: pc 0x0080000024 leaves Int dispatch queue with nroq 3 -[DEBUG][time= 592] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 0 -[DEBUG][time= 592] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 592] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 592] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[INFO ][time= 592] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 592] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 592] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 592] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 592] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 592] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 592] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 592] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 592] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 592] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 592] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 592] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 592] Roq: CSR block should only happen in s_idle -[DEBUG][time= 592] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 592] Roq: head 0: 4 tail 1: 4 -[DEBUG][time= 592] Roq: wwwvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 592] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 v -[DEBUG][time= 592] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 592] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 592] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 592] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 592] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 592] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 592] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 592] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 5), tail = (0, 9), -[DEBUG][time= 592] DispatchQueue: IntDpQ: num_deq = 1, head = ( 5 -> 6) -[DEBUG][time= 592] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 5), tail = (0, 6), -[DEBUG][time= 592] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ed0 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 592] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 592] LsExeUnit: sbuffer id 0 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 592] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 592] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 0 ptr 4 valid 1 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 592] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 592] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 592] LsExeUnit: stqinfo: stqValid.asUInt 00010011 stqHead 3 stqTail 4 stqCommited 3 emptySlot 2 -[DEBUG][time= 592] LsExeUnit: retiringStore now... -[INFO ][time= 592] LsExeUnit: [DMEM RESP] data 0000000000000004 -[DEBUG][time= 592] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483964------> s1 fire!!! -[DEBUG][time= 592] FakeCache: [Stage1_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 592] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 592] FakeCache: [Stage2_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 592] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 592] FakeCache: [Stage3_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 592] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 592] IFU: [IF1]if1_valid:1 || if1_npc:0x008000015c || if1_pcUpdate:1 if1_pc:0x008000013c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 592] IFU: [IF2]if2_valid:1 || if2_pc:0x008000013c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 592] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 592] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 592] IFU: [IF3]if3_valid:1 || if3_pc:0x008000011c if3_npc:0x008000013c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 592] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000fc if4_npc:0x008000011c -[DEBUG][time= 592] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000011c -[DEBUG][time= 592] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] starPC:0x00800000fc GroupPC:0x00800000e0n -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction 02813023 pnpc:0x0080000100 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction 00913c23 pnpc:0x0080000104 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction 01213823 pnpc:0x0080000108 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction 01313423 pnpc:0x008000010c -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction 02113423 pnpc:0x0080000110 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction fff5849b pnpc:0x0080000114 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x0080000118 -[DEBUG][time= 592] IFU: [IFU-Out-FetchPacket] instruction 00050913 pnpc:0x008000011c -[DEBUG][time= 592] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 592] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 592] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 592] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 592] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 592] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 592] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 592] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 592] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 592] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 592] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 592] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 69:1 0:1 0:0) Dest: 72 oldDest: 66 pc:0080000024 roqIdx:03 -[DEBUG][time= 592] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 592] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 592] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 592] IssueQueue_1: 0 |0|1| 65|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000044|3f <- -[DEBUG][time= 592] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 -[DEBUG][time= 592] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 592] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 592] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 592] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 592] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 592] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 592] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 592] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 592] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 592] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 592] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 592] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 592] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 592] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 592] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 592] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 592] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 592] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 592] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 592] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 592] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 592] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 592] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 592] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 592] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 592] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 592] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 592] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 592] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 592] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 592] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 592] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 592] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 592] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 592] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 592] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 592] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 592] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 592] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 592] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 592] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 592] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 592] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 592] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 592] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 592] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 592] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 592] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 592] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 592] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 592] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 592] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 592] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 592] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 592] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 592] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 592] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 592] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 592] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 592] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 592] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 592] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 592] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 54|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:36 -[DEBUG][time= 592] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 592] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 592] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 592] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 592] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 592] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 592] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 592] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 592] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 592] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 592] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 592] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 592] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 592] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 592] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 592] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 592] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 592] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 592] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 592] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 592] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 592] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 592] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 592] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 592] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 592] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 592] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 592] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 592] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 592] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 592] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 592] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 592] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 592] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 592] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 592] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 592] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 592] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 592] Brq: ---------------- -[DEBUG][time= 592] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 592] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 592] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 592] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 592] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 592] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 593] Tage: req: pc=0x008000017c, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 593] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 593] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 593] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ee8| 0 1) -[DEBUG][time= 593] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 593] RegfileReadPortGen: int 0: want 0100, deqChoice: 1 -[DEBUG][time= 593] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 593] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 593] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 593] RegfileReadPortGen: dynamicExuSrc 0: 0 1000 -[DEBUG][time= 593] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 593] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 593] BusyTable_1: writeback 72 -[DEBUG][time= 593] BusyTable_1: 72 is busy -[DEBUG][time= 593] BusyTable_1: 73 is busy -[DEBUG][time= 593] BusyTable_1: 74 is busy -[DEBUG][time= 593] BPUStage2: in:(1 1) pc=008000015c out:(1 1) pc=008000013c -[DEBUG][time= 593] BPUStage2: validLatch=1 pc=008000013c -[DEBUG][time= 593] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 593] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 593] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 593] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 593] WriteBackArbMtoN: out(1) pc(0x0080000024) writebacks 0x0000000000000006 to pdest( 72) ldest(10) -[DEBUG][time= 593] BPUStage1: in:(1 1) pc=008000017c ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 593] BPUStage1: outPred:(1) pc=0x008000015c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 593] BPUStage3: [RAS]:pc=0x008000013c, rasWritePosition= 2, rasWriteAddr=0x008000013c -[DEBUG][time= 593] BPUStage3: in:(1 1) pc=008000013c -[DEBUG][time= 593] BPUStage3: out:1 pc=008000011c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000013c -[DEBUG][time= 593] BPUStage3: flushS3=0 -[DEBUG][time= 593] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 593] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 593] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 593] JBTAC: read: pc=0x008000017c, histXORAddr=0x0080000686, bank=3, row=104, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 593] JBTAC: read_resp: pc=0x008000015c, bank=3, row=106, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 593] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 593] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 593] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 593] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 593] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 593] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 593] Ibuffer: Enque: -[DEBUG][time= 593] Ibuffer: 11111111111100000000100110010011 -[DEBUG][time= 593] Ibuffer: 00000010100000000000000001101111 -[DEBUG][time= 593] Ibuffer: 00000001100001000011011100000011 -[DEBUG][time= 593] Ibuffer: 00000000111001111000011001100011 -[DEBUG][time= 593] Ibuffer: 00000000110101000011100000100011 -[DEBUG][time= 593] Ibuffer: 00000001001001111000000000100011 -[DEBUG][time= 593] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 593] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 593] Ibuffer: Deque: -[DEBUG][time= 593] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 593] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 593] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 593] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 593] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 593] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 593] Ibuffer: last_head_ptr= 24 last_tail_ptr=118 -[DEBUG][time= 593] BTB: read: pc=0x008000017c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 593] BTB: read_resp: pc=0x008000015c, readIdx=174------------------------------- -[DEBUG][time= 593] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 593] BTB: bankIdxInOrder:[DEBUG][time= 593] BTB: 14 [DEBUG][time= 593] BTB: 15 [DEBUG][time= 593] BTB: 0 [DEBUG][time= 593] BTB: 1 [DEBUG][time= 593] BTB: 2 [DEBUG][time= 593] BTB: 3 [DEBUG][time= 593] BTB: 4 [DEBUG][time= 593] BTB: 5 [DEBUG][time= 593] BTB: 6 [DEBUG][time= 593] BTB: 7 [DEBUG][time= 593] BTB: 8 [DEBUG][time= 593] BTB: 9 [DEBUG][time= 593] BTB: 10 [DEBUG][time= 593] BTB: 11 [DEBUG][time= 593] BTB: 12 [DEBUG][time= 593] BTB: 13 [DEBUG][time= 593] BTB: -[DEBUG][time= 593] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 593] AluExeUnit: src1:0000000000000004 src2:0000000000000002 offset:0000000000000002 func:0000000 pc:0000000080000024 -[DEBUG][time= 593] AluExeUnit: res:0000000000000006 aluRes:00000000000000006 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000006 taken:0 -[INFO ][time= 593] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 593] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 593] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 593] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 593] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 593] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 593] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 593] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 593] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 593] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 593] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 593] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 593] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 593] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 593] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 593] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 593] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 593] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 593] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 593] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 593] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 593] Dispatch2: regfile 0 from 3 -[DEBUG][time= 593] Dispatch2: regfile 1 from 3 -[DEBUG][time= 593] Dispatch2: regfile 2 from 0 -[DEBUG][time= 593] Dispatch2: regfile 3 from 0 -[DEBUG][time= 593] Dispatch2: regfile 4 from 1 -[DEBUG][time= 593] Dispatch2: regfile 5 from 1 -[DEBUG][time= 593] Dispatch2: regfile 6 from 2 -[DEBUG][time= 593] Dispatch2: regfile 7 from 2 -[DEBUG][time= 593] Dispatch2: int regfile 0: addr 42, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 2: addr 58, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 4: addr 59, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 9: addr 65, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 12: addr 65, state 1 -[DEBUG][time= 593] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 593] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 593] Dispatch2: pc 0x0080000034 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 593] Dispatch2: pc 0x0080000034 leaves Ls dispatch queue with nroq 59 -[DEBUG][time= 593] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 593] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 593] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[DEBUG][time= 593] Dispatch2: pc 0x0080000024 reads operands from ( 0, 69, 0000000000000004), ( 1, 0, 0000000000000002), ( 0, 0, 0000000000000000) -[INFO ][time= 593] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 593] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 593] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 593] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 593] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 593] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 593] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 593] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 593] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 593] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 593] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 593] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 593] Roq: CSR block should only happen in s_idle -[DEBUG][time= 593] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 593] Roq: writebacked 2 insts -[INFO ][time= 593] Roq: writebacked pc 0x0080000024 wen 1 data 0x0000000000000006 ldst 10 pdst 72 skip 0 -[INFO ][time= 593] Roq: writebacked pc 0x0080000038 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 593] Roq: head 0: 4 tail 1: 4 -[DEBUG][time= 593] Roq: wwwvvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 593] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 v -[DEBUG][time= 593] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 593] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 593] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 593] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 593] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 593] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 593] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 593] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 5 -> 6) -[DEBUG][time= 593] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 5), tail = (0, 9), -[DEBUG][time= 593] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ee8 size 3 data 0000000000000003 mask 00ff cmd 0 -[DEBUG][time= 593] LsExeUnit: sbuffer id 0 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 593] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 593] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 0 ptr 4 valid 1 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 593] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 593] LsExeUnit: LSU fire: pc 0080000038 addr 0000000080000ee8 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 593] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 593] LsExeUnit: stqinfo: stqValid.asUInt 00010011 stqHead 3 stqTail 4 stqCommited 3 emptySlot 2 -[DEBUG][time= 593] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483996------> s1 fire!!! -[DEBUG][time= 593] FakeCache: [Stage1_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 593] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 593] FakeCache: [Stage2_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 593] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 593] FakeCache: [Stage3_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 593] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 593] IFU: [IF1]if1_valid:1 || if1_npc:0x008000017c || if1_pcUpdate:1 if1_pc:0x008000015c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 593] IFU: [IF2]if2_valid:1 || if2_pc:0x008000015c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 593] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 593] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 593] IFU: [IF3]if3_valid:1 || if3_pc:0x008000013c if3_npc:0x008000015c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 593] IFU: [IF4]if4_valid:1 || if4_pc:0x008000011c if4_npc:0x008000013c -[DEBUG][time= 593] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000013c -[DEBUG][time= 593] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] starPC:0x008000011c GroupPC:0x0080000100n -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction fff00993 pnpc:0x0080000120 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction 0280006f pnpc:0x0080000124 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction 01843703 pnpc:0x0080000128 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction 00e78663 pnpc:0x008000012c -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction 00d43823 pnpc:0x0080000130 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction 01278023 pnpc:0x0080000134 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000138 -[DEBUG][time= 593] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x008000013c -[DEBUG][time= 593] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 593] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 593] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 593] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 593] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 593] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 593] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 593] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 593] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 593] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 593] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 593] IssueQueue_1: EnqData: src1:0000000000000004 src2:0000000000000002 src3:0000000000000000 pc:0080000024 roqIdx:03(for last cycle's Ctrl) -[INFO ][time= 593] IssueQueue_1: Deq:(1 1) [ 69|0000000000000004][ 0|0000000000000002][ 0|c63124ed8740c04f] pdest: 72 pc:0080000024 roqIdx:03 -[DEBUG][time= 593] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 593] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 593] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 593] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 593] IssueQueue_1: 0 |1|1| 69|1|0000000000000004| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000024|03 -[DEBUG][time= 593] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 <- -[DEBUG][time= 593] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 593] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 593] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 593] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 593] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 593] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 593] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 593] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 593] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 593] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 593] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 593] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 593] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 593] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 593] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 593] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 593] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 593] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 593] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 593] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 593] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 593] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 593] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 593] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 593] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 593] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 593] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 593] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 593] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 593] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 593] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 593] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 593] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 593] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 593] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 593] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 593] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 593] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 593] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 593] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 593] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 593] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 593] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 593] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 593] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 593] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 593] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 593] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 593] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 593] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 593] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 593] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 593] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 593] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 593] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 593] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 593] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 593] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 593] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 593] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 593] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 593] IssueQueue_7: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 64:1 65:1 0:0) Dest: 32 oldDest: 0 pc:0080000034 roqIdx:3b -[INFO ][time= 593] IssueQueue_7: Deq:(1 1) [ 52|0000000080000ed8][ 54|0000000000000003][ 0|0000000000000000] pdest: 32 pc:0080000038 roqIdx:24 -[DEBUG][time= 593] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 593] IssueQueue_7: FireStage:Out(1 1) src1( 52|0000000080000ed8) src2( 54|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:36 -[DEBUG][time= 593] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 593] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 593] IssueQueue_7: 2 |1|1| 52|1|0000000080000ed8| 55|1|0000000000000004| 0|0|0000000000000000| 5 |008000003c|25 -[DEBUG][time= 593] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 593] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 593] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 593] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 593] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 593] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 593] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 593] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 593] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 593] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 593] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 593] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 593] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 593] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 593] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 593] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 593] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 593] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 593] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 593] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 593] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 593] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 593] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 593] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 593] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 593] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 593] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 593] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 593] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 593] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 593] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 593] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 593] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 593] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 593] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 593] Brq: ---------------- -[DEBUG][time= 593] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 593] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 593] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 593] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 593] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 593] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 594] Tage: req: pc=0x008000019c, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 594] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 594] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 594] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ed0| 0 1) tlb (1 1 0080000ed0| 0 1) -[DEBUG][time= 594] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 594] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 594] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 594] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 594] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 594] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 594] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 594] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 594] BusyTable_1: 73 is busy -[DEBUG][time= 594] BusyTable_1: 74 is busy -[DEBUG][time= 594] BPUStage2: in:(1 1) pc=008000017c out:(1 1) pc=008000015c -[DEBUG][time= 594] BPUStage2: validLatch=1 pc=008000015c -[DEBUG][time= 594] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 594] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 594] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 594] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 594] BPUStage1: in:(1 1) pc=008000019c ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 594] BPUStage1: outPred:(1) pc=0x008000017c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 594] BPUStage3: [RAS]:pc=0x008000015c, rasWritePosition= 2, rasWriteAddr=0x008000015c -[DEBUG][time= 594] BPUStage3: in:(1 1) pc=008000015c -[DEBUG][time= 594] BPUStage3: out:1 pc=008000013c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000015c -[DEBUG][time= 594] BPUStage3: flushS3=0 -[DEBUG][time= 594] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 594] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 594] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 594] JBTAC: read: pc=0x008000019c, histXORAddr=0x0080000666, bank=3, row=102, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 594] JBTAC: read_resp: pc=0x008000017c, bank=3, row=104, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 594] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 594] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 594] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 594] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 594] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 594] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 594] Ibuffer: Enque: -[DEBUG][time= 594] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 594] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 594] Ibuffer: 00000011001101001000011001100011 -[DEBUG][time= 594] Ibuffer: 00000001000001000011011110000011 -[DEBUG][time= 594] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 594] Ibuffer: 11111100000001111001101011100011 -[DEBUG][time= 594] Ibuffer: 00000000000010010000010100010011 -[DEBUG][time= 594] Ibuffer: 11110000000111111111000011101111 -[DEBUG][time= 594] Ibuffer: Deque: -[DEBUG][time= 594] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 594] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 594] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 594] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 594] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 594] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 594] Ibuffer: last_head_ptr= 24 last_tail_ptr= 6 -[DEBUG][time= 594] BTB: read: pc=0x008000019c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 594] BTB: read_resp: pc=0x008000017c, readIdx=190------------------------------- -[DEBUG][time= 594] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 594] BTB: bankIdxInOrder:[DEBUG][time= 594] BTB: 14 [DEBUG][time= 594] BTB: 15 [DEBUG][time= 594] BTB: 0 [DEBUG][time= 594] BTB: 1 [DEBUG][time= 594] BTB: 2 [DEBUG][time= 594] BTB: 3 [DEBUG][time= 594] BTB: 4 [DEBUG][time= 594] BTB: 5 [DEBUG][time= 594] BTB: 6 [DEBUG][time= 594] BTB: 7 [DEBUG][time= 594] BTB: 8 [DEBUG][time= 594] BTB: 9 [DEBUG][time= 594] BTB: 10 [DEBUG][time= 594] BTB: 11 [DEBUG][time= 594] BTB: 12 [DEBUG][time= 594] BTB: 13 [DEBUG][time= 594] BTB: -[INFO ][time= 594] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 594] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 594] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 594] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 594] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 594] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 594] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 594] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 594] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 594] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 594] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 594] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 594] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 594] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 594] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 594] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 594] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 594] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 594] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 594] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 594] Dispatch2: regfile 0 from 0 -[DEBUG][time= 594] Dispatch2: regfile 1 from 0 -[DEBUG][time= 594] Dispatch2: regfile 2 from 1 -[DEBUG][time= 594] Dispatch2: regfile 3 from 1 -[DEBUG][time= 594] Dispatch2: regfile 4 from 2 -[DEBUG][time= 594] Dispatch2: regfile 5 from 2 -[DEBUG][time= 594] Dispatch2: regfile 6 from 3 -[DEBUG][time= 594] Dispatch2: regfile 7 from 3 -[DEBUG][time= 594] Dispatch2: int regfile 0: addr 58, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 3: addr 46, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 6: addr 42, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 9: addr 66, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 12: addr 66, state 1 -[DEBUG][time= 594] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 594] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 0 -[DEBUG][time= 594] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 594] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[DEBUG][time= 594] Dispatch2: pc 0x0080000034 reads operands from ( 8, 64, 0000000080000f28), ( 9, 65, 0000000000000004), ( 0, 0, 0000000000000000) -[INFO ][time= 594] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 594] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 594] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 594] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 594] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 594] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 594] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 594] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 594] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 594] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 594] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 594] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 594] Roq: CSR block should only happen in s_idle -[DEBUG][time= 594] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 594] Roq: retired pc 0080000038 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 594] Roq: retired 1 insts -[DEBUG][time= 594] Roq: head 0: 4 tail 1: 4 -[DEBUG][time= 594] Roq: wwwwwvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 594] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 594] Roq: 0080000038 w 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 594] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 594] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 594] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 594] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 594] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 594] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 594] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 6), tail = (0, 9), -[DEBUG][time= 594] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ed0 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 594] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 594] LsExeUnit: sbuffer id 0 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 594] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 594] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 594] LsExeUnit: sbuffer id 3 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 0 ptr 4 valid 1 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 3 ptr 2 valid 1 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 594] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 594] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 594] LsExeUnit: stqinfo: stqValid.asUInt 00010111 stqHead 4 stqTail 4 stqCommited 3 emptySlot 3 -[DEBUG][time= 594] LsExeUnit: retiringStore now... -[INFO ][time= 594] LsExeUnit: [DMEM STORE REQ] addr 0x80000ed0 wdata 0x0000000000000004 size 3 -[DEBUG][time= 594] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484028------> s1 fire!!! -[DEBUG][time= 594] FakeCache: [Stage1_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 594] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 594] FakeCache: [Stage2_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 594] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 594] FakeCache: [Stage3_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 594] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 594] IFU: [IF1]if1_valid:1 || if1_npc:0x008000019c || if1_pcUpdate:1 if1_pc:0x008000017c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 594] IFU: [IF2]if2_valid:1 || if2_pc:0x008000017c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 594] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 594] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 594] IFU: [IF3]if3_valid:1 || if3_pc:0x008000015c if3_npc:0x008000017c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 594] IFU: [IF4]if4_valid:1 || if4_pc:0x008000013c if4_npc:0x008000015c -[DEBUG][time= 594] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000015c -[DEBUG][time= 594] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] starPC:0x008000013c GroupPC:0x0080000120n -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000140 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x0080000144 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction 03348663 pnpc:0x0080000148 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction 01043783 pnpc:0x008000014c -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000150 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction fc079ae3 pnpc:0x0080000154 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction 00090513 pnpc:0x0080000158 -[DEBUG][time= 594] IFU: [IFU-Out-FetchPacket] instruction f01ff0ef pnpc:0x008000015c -[DEBUG][time= 594] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 594] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 594] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 594] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 594] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 594] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 594] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 594] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 594] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 594] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 594] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 594] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 594] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 594] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 594] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 <- -[DEBUG][time= 594] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000024|03 -[DEBUG][time= 594] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 594] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 594] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 594] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 594] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 594] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 594] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 594] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 594] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 594] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 594] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 594] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 594] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 594] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 594] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 594] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 594] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 594] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 594] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 594] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 594] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 594] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 594] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 594] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 594] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 594] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 594] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 594] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 594] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 594] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 594] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 594] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 594] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 594] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 594] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 594] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 594] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 594] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 594] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 594] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 594] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 594] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 594] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 594] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 594] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 594] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 594] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 594] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 594] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 594] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 594] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 594] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 594] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 594] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 594] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 594] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 594] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 594] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 594] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 594] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 594] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 594] IssueQueue_7: EnqData: src1:0000000080000f28 src2:0000000000000004 src3:0000000000000000 pc:0080000034 roqIdx:3b(for last cycle's Ctrl) -[DEBUG][time= 594] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 594] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 55|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:37 -[DEBUG][time= 594] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 594] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 594] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 594] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 594] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 594] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 594] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 594] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 594] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 594] IssueQueue_7: 2 |1|1| 64|1|0000000080000ed8| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 594] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 594] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 594] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 594] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 594] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 594] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 594] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 594] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 594] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 594] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 594] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 594] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 594] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 594] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 594] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 594] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 594] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 594] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 594] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 594] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 594] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 594] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 594] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 594] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 594] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 594] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 594] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 594] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 594] Brq: ---------------- -[DEBUG][time= 594] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 594] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 594] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 594] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 594] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 594] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 595] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 595] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 595] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ed0| 0 1) -[DEBUG][time= 595] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 595] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 595] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 595] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 595] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 595] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 595] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 595] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 595] BusyTable_1: 73 is busy -[DEBUG][time= 595] BusyTable_1: 74 is busy -[DEBUG][time= 595] BPUStage2: in:(1 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 595] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 595] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 595] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 595] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 595] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 595] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 595] BPUStage1: outPred:(1) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 595] BPUStage3: flushS3=0 -[DEBUG][time= 595] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 595] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 595] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 595] JBTAC: read_resp: pc=0x008000019c, bank=3, row=102, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 595] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 595] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 595] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 595] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 595] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 595] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 595] Ibuffer: Deque: -[DEBUG][time= 595] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 595] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 595] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 595] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 595] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 595] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 595] BTB: read_resp: pc=0x008000019c, readIdx=206------------------------------- -[DEBUG][time= 595] BTB: read_resp[b=0][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=1][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=2][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=3][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=4][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=5][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=6][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=7][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=12][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=13][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=14][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: read_resp[b=15][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 595] BTB: bankIdxInOrder:[DEBUG][time= 595] BTB: 14 [DEBUG][time= 595] BTB: 15 [DEBUG][time= 595] BTB: 0 [DEBUG][time= 595] BTB: 1 [DEBUG][time= 595] BTB: 2 [DEBUG][time= 595] BTB: 3 [DEBUG][time= 595] BTB: 4 [DEBUG][time= 595] BTB: 5 [DEBUG][time= 595] BTB: 6 [DEBUG][time= 595] BTB: 7 [DEBUG][time= 595] BTB: 8 [DEBUG][time= 595] BTB: 9 [DEBUG][time= 595] BTB: 10 [DEBUG][time= 595] BTB: 11 [DEBUG][time= 595] BTB: 12 [DEBUG][time= 595] BTB: 13 [DEBUG][time= 595] BTB: -[INFO ][time= 595] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 595] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 595] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 595] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 595] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 595] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 595] Dispatch1: pc 0x0080000028 accepted by queue 1 0 0 -[DEBUG][time= 595] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 595] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 595] Dispatch1: pc 0x0080000028 receives nroq 4 -[DEBUG][time= 595] Dispatch1: v:1 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 595] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 595] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 595] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 595] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 595] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 595] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 595] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 595] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 595] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 595] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 595] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 595] Dispatch2: regfile 0 from 0 -[DEBUG][time= 595] Dispatch2: regfile 1 from 0 -[DEBUG][time= 595] Dispatch2: regfile 2 from 1 -[DEBUG][time= 595] Dispatch2: regfile 3 from 1 -[DEBUG][time= 595] Dispatch2: regfile 4 from 2 -[DEBUG][time= 595] Dispatch2: regfile 5 from 2 -[DEBUG][time= 595] Dispatch2: regfile 6 from 3 -[DEBUG][time= 595] Dispatch2: regfile 7 from 3 -[DEBUG][time= 595] Dispatch2: int regfile 0: addr 58, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 3: addr 46, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 6: addr 42, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 9: addr 66, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 12: addr 66, state 1 -[DEBUG][time= 595] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 595] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 0 -[DEBUG][time= 595] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 595] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[INFO ][time= 595] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 595] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 595] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 595] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 595] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 595] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 595] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 595] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 595] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 595] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 595] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 595] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 595] Roq: CSR block should only happen in s_idle -[DEBUG][time= 595] Roq: (ready, valid): (1, 0) (1, 0) (1, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 595] Roq: dispatched 1 insts -[DEBUG][time= 595] Roq: head 0: 4 tail 1: 5 -[DEBUG][time= 595] Roq: wwww-vvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 595] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 595] Roq: 0080000038 - 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 595] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 595] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 595] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 595] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 595] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 595] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 595] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 6), tail = (0, 9), -[DEBUG][time= 595] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 6 -> 7) -[DEBUG][time= 595] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ed0 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 595] LsExeUnit: forwarding data from stq, addr 0000000080000ed0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 595] LsExeUnit: sbuffer id 0 ptr 4 pc 0080000040 addr 0000000080000ed0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 595] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 595] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 595] LsExeUnit: sbuffer id 3 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 0 ptr 4 valid 1 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 3 ptr 2 valid 1 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 595] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 595] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 595] LsExeUnit: stqinfo: stqValid.asUInt 00010111 stqHead 4 stqTail 4 stqCommited 4 emptySlot 3 -[DEBUG][time= 595] LsExeUnit: retiringStore now... -[DEBUG][time= 595] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 595] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 595] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 595] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 595] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 595] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 595] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 595] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 595] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 595] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 595] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 595] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 595] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 595] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 595] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 595] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 595] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 595] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 595] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 595] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 595] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 595] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 595] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 595] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 595] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 595] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 595] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 595] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 595] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 595] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 <- -[DEBUG][time= 595] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000024|03 -[DEBUG][time= 595] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 595] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 595] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 595] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 595] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 595] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 595] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 595] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 595] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 595] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 595] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 595] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 595] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 595] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 595] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 595] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 595] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 595] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 595] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 595] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 595] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 595] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 595] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 595] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 595] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 595] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 595] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 595] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 595] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 595] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 595] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 595] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 595] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 595] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 595] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 595] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 595] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 595] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 595] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 595] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 595] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 595] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 595] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 595] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 595] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 595] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 595] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 595] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 595] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 595] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 595] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 595] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 595] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 595] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 595] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 595] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 595] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 595] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 595] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 595] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 595] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 595] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 595] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 55|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:37 -[DEBUG][time= 595] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 595] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 595] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 595] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 595] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 595] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 595] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 595] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 595] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 595] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 595] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 595] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 595] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 595] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 595] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 595] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 595] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 595] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 595] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 595] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 595] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 595] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 595] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 595] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 595] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 595] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 595] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 595] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 595] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 595] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 595] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 595] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 595] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 595] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 595] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 595] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 595] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 595] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 595] Brq: ---------------- -[DEBUG][time= 595] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 595] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 595] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 595] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 595] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 595] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 596] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 596] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 596] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ed8| 1 1) tlb (1 0 0080000ed8| 1 1) -[DEBUG][time= 596] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 596] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 596] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 596] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 596] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 596] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 596] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 596] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 596] BusyTable_1: 73 is busy -[DEBUG][time= 596] BusyTable_1: 74 is busy -[DEBUG][time= 596] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 596] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 596] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 596] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 596] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 596] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 596] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 596] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 596] BPUStage3: flushS3=0 -[DEBUG][time= 596] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 596] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 596] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 596] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 596] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 596] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 596] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 596] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 596] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 596] Ibuffer: Deque: -[DEBUG][time= 596] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 596] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 596] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 596] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 596] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 596] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[INFO ][time= 596] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 596] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 596] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 596] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 596] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 596] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 596] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 596] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 596] Dispatch1: v:0 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 596] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 596] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 596] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 596] Dispatch2: int dp queue 0: 0080000028 type 0011 -[DEBUG][time= 596] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 596] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 596] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 596] Dispatch2: dispatch to iq index 0: 3 -[DEBUG][time= 596] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 596] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 596] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 596] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 596] Dispatch2: regfile 0 from 0 -[DEBUG][time= 596] Dispatch2: regfile 1 from 0 -[DEBUG][time= 596] Dispatch2: regfile 2 from 1 -[DEBUG][time= 596] Dispatch2: regfile 3 from 1 -[DEBUG][time= 596] Dispatch2: regfile 4 from 2 -[DEBUG][time= 596] Dispatch2: regfile 5 from 2 -[DEBUG][time= 596] Dispatch2: regfile 6 from 3 -[DEBUG][time= 596] Dispatch2: regfile 7 from 3 -[DEBUG][time= 596] Dispatch2: int regfile 0: addr 69, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 3: addr 46, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 6: addr 42, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 9: addr 66, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 12: addr 66, state 1 -[DEBUG][time= 596] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 596] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 596] Dispatch2: pc 0x0080000028 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 596] Dispatch2: pc 0x0080000028 leaves Int dispatch queue with nroq 4 -[DEBUG][time= 596] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 0 -[DEBUG][time= 596] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 596] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[INFO ][time= 596] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 596] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 596] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 596] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 596] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 596] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 596] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 596] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 596] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 596] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 596] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 596] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 596] Roq: CSR block should only happen in s_idle -[DEBUG][time= 596] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 596] Roq: head 0: 5 tail 1: 5 -[DEBUG][time= 596] Roq: wwwwvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 596] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 596] Roq: 0080000028 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 596] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 596] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 596] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 596] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 596] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 596] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 596] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 6), tail = (0, 9), -[DEBUG][time= 596] DispatchQueue: IntDpQ: num_deq = 1, head = ( 6 -> 7) -[DEBUG][time= 596] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 6), tail = (0, 7), -[DEBUG][time= 596] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ed8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 596] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 596] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 596] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 596] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 596] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 596] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 596] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 596] LsExeUnit: retiringStore now... -[INFO ][time= 596] LsExeUnit: [DMEM RESP] data 0000000000000001 -[DEBUG][time= 596] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 596] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 596] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 596] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 596] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 596] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 596] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 596] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 596] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 596] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 596] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 596] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 596] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 596] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 596] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 596] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 596] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 596] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 596] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 596] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 596] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 596] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 596] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 596] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 596] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 596] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 596] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 69:1 0:1 0:0) Dest: 73 oldDest: 67 pc:0080000028 roqIdx:04 -[DEBUG][time= 596] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 596] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 596] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 596] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000020|02 <- -[DEBUG][time= 596] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000024|03 -[DEBUG][time= 596] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 596] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 596] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 596] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 596] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 596] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 596] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 596] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 596] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 596] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 596] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 596] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 596] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 596] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 596] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 596] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 596] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 596] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 596] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 596] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 596] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 596] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 596] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 596] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 596] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 596] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 596] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 596] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 596] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 596] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 596] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 596] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 596] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 596] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 596] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 596] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 596] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 596] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 596] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 596] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 596] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 596] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 596] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 596] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 596] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 596] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 596] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 596] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 596] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 596] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 596] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 596] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 596] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 596] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 596] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 596] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 596] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 596] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 596] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 596] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 596] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 596] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 596] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 55|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:37 -[DEBUG][time= 596] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 596] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 596] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 596] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 596] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 596] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 596] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 596] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 596] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 596] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 596] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 596] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 596] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 596] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 596] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 596] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 596] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 596] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 596] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 596] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 596] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 596] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 596] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 596] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 596] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 596] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 596] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 596] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 596] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 596] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 596] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 596] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 596] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 596] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 596] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 596] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 596] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 596] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 596] Brq: ---------------- -[DEBUG][time= 596] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 596] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 596] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 596] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 596] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 596] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 597] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 597] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 597] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ef0| 0 1) -[DEBUG][time= 597] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 597] RegfileReadPortGen: int 0: want 0100, deqChoice: 1 -[DEBUG][time= 597] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 597] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 597] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 597] RegfileReadPortGen: dynamicExuSrc 0: 0 1000 -[DEBUG][time= 597] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 597] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 597] BusyTable_1: writeback 73 -[DEBUG][time= 597] BusyTable_1: 73 is busy -[DEBUG][time= 597] BusyTable_1: 74 is busy -[DEBUG][time= 597] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 597] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 597] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 597] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 597] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 597] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 597] WriteBackArbMtoN: out(1) pc(0x0080000028) writebacks 0x0000000000000007 to pdest( 73) ldest(11) -[DEBUG][time= 597] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 597] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 597] BPUStage3: flushS3=0 -[DEBUG][time= 597] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 597] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 597] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 597] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 597] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 597] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 597] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 597] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 597] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 597] Ibuffer: Deque: -[DEBUG][time= 597] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 597] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 597] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 597] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 597] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 597] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 597] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 597] AluExeUnit: src1:0000000000000004 src2:0000000000000003 offset:0000000000000003 func:0000000 pc:0000000080000028 -[DEBUG][time= 597] AluExeUnit: res:0000000000000007 aluRes:00000000000000007 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000007 taken:0 -[INFO ][time= 597] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 597] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 597] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 597] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 597] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 597] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 597] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 597] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 597] Dispatch1: v:0 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 597] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 597] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 597] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 597] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 597] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 597] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 597] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 597] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 597] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 597] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 597] Dispatch2: regfile 0 from 2 -[DEBUG][time= 597] Dispatch2: regfile 1 from 2 -[DEBUG][time= 597] Dispatch2: regfile 2 from 0 -[DEBUG][time= 597] Dispatch2: regfile 3 from 0 -[DEBUG][time= 597] Dispatch2: regfile 4 from 1 -[DEBUG][time= 597] Dispatch2: regfile 5 from 1 -[DEBUG][time= 597] Dispatch2: regfile 6 from 0 -[DEBUG][time= 597] Dispatch2: regfile 7 from 0 -[DEBUG][time= 597] Dispatch2: int regfile 0: addr 42, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 2: addr 59, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 3: addr 46, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 9: addr 66, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 12: addr 66, state 1 -[DEBUG][time= 597] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 597] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 597] Dispatch2: pc 0x0080000038 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 597] Dispatch2: pc 0x0080000038 leaves Ls dispatch queue with nroq 60 -[DEBUG][time= 597] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 597] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[DEBUG][time= 597] Dispatch2: pc 0x0080000028 reads operands from ( 0, 69, 0000000000000004), ( 1, 0, 0000000000000003), ( 0, 0, 0000000000000000) -[INFO ][time= 597] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 597] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 597] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 597] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 597] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 597] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 597] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 597] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 597] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 597] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 597] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 597] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 597] Roq: CSR block should only happen in s_idle -[DEBUG][time= 597] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[INFO ][time= 597] Roq: writebacked 2 insts -[INFO ][time= 597] Roq: writebacked pc 0x0080000028 wen 1 data 0x0000000000000007 ldst 11 pdst 73 skip 0 -[INFO ][time= 597] Roq: writebacked pc 0x008000003c wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 597] Roq: head 0: 5 tail 1: 5 -[DEBUG][time= 597] Roq: wwwwvvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 597] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 597] Roq: 0080000028 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 597] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 597] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 597] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 597] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 597] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 597] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 597] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 6 -> 7) -[DEBUG][time= 597] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 6), tail = (0, 9), -[DEBUG][time= 597] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ef0 size 3 data 0000000000000004 mask 00ff cmd 0 -[DEBUG][time= 597] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 597] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 597] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 597] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 597] LsExeUnit: LSU fire: pc 008000003c addr 0000000080000ef0 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 597] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 597] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 597] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 597] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 597] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 597] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 597] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 597] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 597] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 597] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 597] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 597] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 597] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 597] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 597] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 597] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 597] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 597] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 597] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 597] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 597] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 597] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 597] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 597] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 597] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 597] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 597] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 597] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 597] IssueQueue_1: EnqData: src1:0000000000000004 src2:0000000000000003 src3:0000000000000000 pc:0080000028 roqIdx:04(for last cycle's Ctrl) -[INFO ][time= 597] IssueQueue_1: Deq:(1 1) [ 69|0000000000000004][ 0|0000000000000003][ 0|c63124ed8740c04f] pdest: 73 pc:0080000028 roqIdx:04 -[DEBUG][time= 597] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 597] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 73) -[DEBUG][time= 597] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 597] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 597] IssueQueue_1: 1 |1|1| 69|1|0000000000000004| 0|1|0000000000000001| 0|0|0000000000000000| 8 |0080000028|04 -[DEBUG][time= 597] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000024|03 <- -[DEBUG][time= 597] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 597] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 597] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 597] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 597] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 597] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 597] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 597] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 597] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 597] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 597] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 597] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 597] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 597] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 597] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 597] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 597] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 597] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 597] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 597] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 597] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 597] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 597] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 597] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 597] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 597] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 597] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 597] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 597] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 597] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 597] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 597] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 597] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 597] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 597] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 597] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 597] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 597] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 597] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 597] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 597] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 597] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 597] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 597] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 597] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 597] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 597] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 597] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 597] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 597] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 597] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 597] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 597] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 597] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 597] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 597] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 597] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 597] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 597] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 597] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 597] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 597] IssueQueue_7: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 64:1 66:1 0:0) Dest: 32 oldDest: 0 pc:0080000038 roqIdx:3c -[INFO ][time= 597] IssueQueue_7: Deq:(1 1) [ 52|0000000080000ed8][ 55|0000000000000004][ 0|0000000000000000] pdest: 32 pc:008000003c roqIdx:25 -[DEBUG][time= 597] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 597] IssueQueue_7: FireStage:Out(1 1) src1( 52|0000000080000ed8) src2( 55|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:37 -[DEBUG][time= 597] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 597] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 597] IssueQueue_7: 1 |1|1| 52|1|0000000080000ed8| 56|1|0000000000000005| 0|0|0000000000000000| 5 |0080000040|26 -[DEBUG][time= 597] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 597] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 597] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 597] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 597] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 597] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 597] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 597] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 597] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 597] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 597] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 597] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 597] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 597] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 597] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 597] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 597] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 597] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 597] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 597] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 597] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 597] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 597] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 597] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 597] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 597] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 597] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 597] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 597] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 597] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 597] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 597] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 597] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 597] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 597] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 597] Brq: ---------------- -[DEBUG][time= 597] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 597] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 597] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 597] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 597] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 597] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 598] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 598] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 598] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ed8| 0 1) tlb (1 1 0080000ed8| 0 1) -[DEBUG][time= 598] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 598] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 598] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 598] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 598] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 598] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 598] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 598] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 598] BusyTable_1: 74 is busy -[DEBUG][time= 598] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 598] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 598] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 598] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 598] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 598] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 598] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 598] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 598] BPUStage3: flushS3=0 -[DEBUG][time= 598] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 598] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 598] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 598] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 598] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 598] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 598] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 598] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 598] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 598] Ibuffer: Deque: -[DEBUG][time= 598] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 598] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 598] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 598] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 598] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 598] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[INFO ][time= 598] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 598] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 598] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 598] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 598] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 598] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 598] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 598] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 598] Dispatch1: v:0 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 598] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 598] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 598] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 598] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 598] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 598] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 598] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 598] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 598] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 598] Dispatch2: regfile 0 from 0 -[DEBUG][time= 598] Dispatch2: regfile 1 from 0 -[DEBUG][time= 598] Dispatch2: regfile 2 from 1 -[DEBUG][time= 598] Dispatch2: regfile 3 from 1 -[DEBUG][time= 598] Dispatch2: regfile 4 from 2 -[DEBUG][time= 598] Dispatch2: regfile 5 from 2 -[DEBUG][time= 598] Dispatch2: regfile 6 from 0 -[DEBUG][time= 598] Dispatch2: regfile 7 from 0 -[DEBUG][time= 598] Dispatch2: int regfile 0: addr 59, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 9: addr 67, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 12: addr 67, state 1 -[DEBUG][time= 598] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 598] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 598] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 598] Dispatch2: pc 0x0080000038 reads operands from ( 8, 64, 0000000080000f28), ( 9, 66, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 598] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 598] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 598] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 598] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 598] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 598] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 598] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 598] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 598] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 598] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 598] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 598] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 598] Roq: CSR block should only happen in s_idle -[DEBUG][time= 598] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[INFO ][time= 598] Roq: retired pc 008000003c wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 598] Roq: retired 1 insts -[DEBUG][time= 598] Roq: head 0: 5 tail 1: 5 -[DEBUG][time= 598] Roq: wwwwwwvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 598] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 598] Roq: 0080000028 w 008000003c w 0080000040 v 0080000044 w -[DEBUG][time= 598] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 598] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 598] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 598] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 598] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 598] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 598] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 7), tail = (0, 9), -[DEBUG][time= 598] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ed8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 598] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 598] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 598] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 598] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 598] LsExeUnit: sbuffer id 3 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 3 ptr 3 valid 1 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 598] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 598] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 598] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 0 stqCommited 3 emptySlot 4 -[DEBUG][time= 598] LsExeUnit: retiringStore now... -[INFO ][time= 598] LsExeUnit: [DMEM STORE REQ] addr 0x80000ed8 wdata 0x0000000000000001 size 3 -[DEBUG][time= 598] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 598] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 598] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 598] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 598] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 598] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 598] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 598] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 598] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 598] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 598] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 598] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 598] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 598] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 598] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 598] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 598] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 598] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 598] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 598] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 598] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 598] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 598] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 598] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 598] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 598] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 598] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 598] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 598] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 598] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000024|03 <- -[DEBUG][time= 598] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 -[DEBUG][time= 598] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 598] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 598] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 598] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 598] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 598] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 598] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 598] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 598] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 598] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 598] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 598] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 598] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 598] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 598] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 598] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 598] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 598] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 598] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 598] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 598] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 598] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 598] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 598] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 598] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 598] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 598] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 598] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 598] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 598] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 598] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 598] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 598] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 598] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 598] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 598] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 598] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 598] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 598] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 598] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 598] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 598] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 598] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 598] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 598] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 598] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 598] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 598] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 598] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 598] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 598] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 598] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 598] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 598] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 598] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 598] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 598] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 598] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 598] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 598] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 598] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 598] IssueQueue_7: EnqData: src1:0000000080000f28 src2:0000000000000005 src3:0000000000000000 pc:0080000038 roqIdx:3c(for last cycle's Ctrl) -[DEBUG][time= 598] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 598] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 56|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:38 -[DEBUG][time= 598] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 598] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 598] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 598] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 598] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 598] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 598] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 598] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 598] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 598] IssueQueue_7: 1 |1|1| 64|1|0000000080000ed8| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 598] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 598] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 598] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 598] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 598] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 598] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 598] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 598] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 598] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 598] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 598] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 598] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 598] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 598] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 598] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 598] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 598] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 598] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 598] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 598] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 598] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 598] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 598] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 598] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 598] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 598] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 598] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 598] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 598] Brq: ---------------- -[DEBUG][time= 598] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 598] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 598] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 598] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 598] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 598] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 599] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 599] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 599] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ed8| 0 1) -[DEBUG][time= 599] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 599] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 599] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 599] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 599] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 599] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 599] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 599] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 599] BusyTable_1: 74 is busy -[DEBUG][time= 599] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 599] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 599] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 599] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 599] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 599] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 599] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 599] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 599] BPUStage3: flushS3=0 -[DEBUG][time= 599] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 599] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 599] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 599] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 599] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 599] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 599] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 599] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 599] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 599] Ibuffer: Deque: -[DEBUG][time= 599] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 599] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 599] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 599] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 599] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 599] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[INFO ][time= 599] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 599] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 599] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 599] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 599] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 599] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 599] Dispatch1: pc 0x008000002c accepted by queue 1 0 0 -[DEBUG][time= 599] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 599] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 599] Dispatch1: v:0 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 599] Dispatch1: pc 0x008000002c receives nroq 5 -[DEBUG][time= 599] Dispatch1: v:1 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 599] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 599] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 599] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 599] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 599] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 599] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 599] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 599] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 599] Dispatch2: regfile 0 from 0 -[DEBUG][time= 599] Dispatch2: regfile 1 from 0 -[DEBUG][time= 599] Dispatch2: regfile 2 from 1 -[DEBUG][time= 599] Dispatch2: regfile 3 from 1 -[DEBUG][time= 599] Dispatch2: regfile 4 from 2 -[DEBUG][time= 599] Dispatch2: regfile 5 from 2 -[DEBUG][time= 599] Dispatch2: regfile 6 from 0 -[DEBUG][time= 599] Dispatch2: regfile 7 from 0 -[DEBUG][time= 599] Dispatch2: int regfile 0: addr 59, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 6: addr 59, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 9: addr 67, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 12: addr 67, state 1 -[DEBUG][time= 599] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 599] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 599] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 599] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 599] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 599] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 599] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 599] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 599] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 599] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 599] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 599] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 599] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 599] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 599] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 599] Roq: CSR block should only happen in s_idle -[DEBUG][time= 599] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 1) (0, 1) (0, 1) -[INFO ][time= 599] Roq: dispatched 1 insts -[DEBUG][time= 599] Roq: head 0: 5 tail 1: 6 -[DEBUG][time= 599] Roq: wwwww-vwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 599] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 599] Roq: 0080000028 w 008000003c - 0080000040 v 0080000044 w -[DEBUG][time= 599] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 599] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 599] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 599] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 599] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 599] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 599] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 7), tail = (0, 9), -[DEBUG][time= 599] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 7 -> 8) -[DEBUG][time= 599] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ed8 size 3 data 0000000000000001 mask 00ff cmd 1 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 0 data 01 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 599] LsExeUnit: forwarding data from stq, addr 0000000080000ed8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 599] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000030 addr 0000000080000ed8 data 0000000000000001 func 0b wmask 000000011111111 -[DEBUG][time= 599] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 599] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 599] LsExeUnit: sbuffer id 3 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 3 ptr 3 valid 1 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 599] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 599] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 599] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 0 stqCommited 4 emptySlot 4 -[DEBUG][time= 599] LsExeUnit: retiringStore now... -[DEBUG][time= 599] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 599] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 599] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 599] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 599] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 599] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 599] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 599] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 599] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 599] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 599] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 599] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 599] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 599] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 599] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 599] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 599] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 599] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 599] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 599] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 599] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 599] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 599] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 599] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 599] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 599] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 599] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 599] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 599] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 599] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000024|03 <- -[DEBUG][time= 599] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 -[DEBUG][time= 599] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 599] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 599] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 599] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 599] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 599] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 599] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 599] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 599] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 599] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 599] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 599] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 599] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 599] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 599] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 599] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 599] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 599] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 599] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 599] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 599] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 599] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 599] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 599] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 599] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 599] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 599] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 599] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 599] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 599] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 599] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 599] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 599] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 599] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 599] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 599] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 599] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 599] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 599] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 599] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 599] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 599] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 599] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 599] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 599] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 599] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 599] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 599] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 599] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 599] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 599] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 599] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 599] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 599] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 599] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 599] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 599] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 599] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 599] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 599] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 599] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 599] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 599] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 56|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:38 -[DEBUG][time= 599] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 599] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 599] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 599] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 599] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 599] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 599] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 599] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 599] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 599] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 599] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 599] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 599] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 599] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 599] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 599] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 599] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 599] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 599] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 599] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 599] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 599] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 599] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 599] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 599] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 599] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 599] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 599] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 599] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 599] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 599] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 599] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 599] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 599] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 599] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 599] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 599] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 599] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 599] Brq: ---------------- -[DEBUG][time= 599] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 599] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 599] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 599] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 599] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 599] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 600] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 600] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 600] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ee0| 1 1) tlb (1 0 0080000ee0| 1 1) -[DEBUG][time= 600] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 600] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 600] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 600] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 600] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 600] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 600] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 600] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 600] BusyTable_1: 74 is busy -[DEBUG][time= 600] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 600] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 600] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 600] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 600] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 600] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 600] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 600] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 600] BPUStage3: flushS3=0 -[DEBUG][time= 600] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 600] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 600] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 600] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 600] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 600] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 600] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 600] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 600] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 600] Ibuffer: Deque: -[DEBUG][time= 600] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 600] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 600] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 600] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 600] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 600] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[INFO ][time= 600] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 600] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 600] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 600] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 600] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 600] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 600] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 600] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 600] Dispatch1: v:0 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 600] Dispatch1: v:0 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 600] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 600] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 600] Dispatch2: int dp queue 0: 008000002c type 0011 -[DEBUG][time= 600] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 600] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 600] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 600] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 600] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 600] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 600] Dispatch2: regfile 0 from 0 -[DEBUG][time= 600] Dispatch2: regfile 1 from 0 -[DEBUG][time= 600] Dispatch2: regfile 2 from 1 -[DEBUG][time= 600] Dispatch2: regfile 3 from 1 -[DEBUG][time= 600] Dispatch2: regfile 4 from 2 -[DEBUG][time= 600] Dispatch2: regfile 5 from 2 -[DEBUG][time= 600] Dispatch2: regfile 6 from 0 -[DEBUG][time= 600] Dispatch2: regfile 7 from 0 -[DEBUG][time= 600] Dispatch2: int regfile 0: addr 69, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 6: addr 69, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 9: addr 67, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 12: addr 67, state 1 -[DEBUG][time= 600] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 600] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 600] Dispatch2: pc 0x008000002c with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 600] Dispatch2: pc 0x008000002c leaves Int dispatch queue with nroq 5 -[DEBUG][time= 600] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 600] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 600] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 600] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 600] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 600] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 600] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 600] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 600] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 600] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 600] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 600] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 600] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 600] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 600] Roq: CSR block should only happen in s_idle -[DEBUG][time= 600] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[DEBUG][time= 600] Roq: head 0: 6 tail 1: 6 -[DEBUG][time= 600] Roq: wwwwwvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 600] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 600] Roq: 0080000028 w 008000002c v 0080000040 v 0080000044 w -[DEBUG][time= 600] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 600] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 600] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 600] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 600] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 600] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 600] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 7), tail = (0, 9), -[DEBUG][time= 600] DispatchQueue: IntDpQ: num_deq = 1, head = ( 7 -> 8) -[DEBUG][time= 600] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 7), tail = (0, 8), -[DEBUG][time= 600] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ee0 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 600] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 600] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 600] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 600] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 600] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 600] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 600] LsExeUnit: stqinfo: stqValid.asUInt 00001110 stqHead 3 stqTail 1 stqCommited 3 emptySlot 0 -[DEBUG][time= 600] LsExeUnit: retiringStore now... -[INFO ][time= 600] LsExeUnit: [DMEM RESP] data 0000000000000002 -[DEBUG][time= 600] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 600] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 600] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 600] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 600] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 600] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 600] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 600] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 600] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 600] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 600] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 600] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 600] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 600] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 600] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 600] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 600] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 600] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 600] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 600] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 600] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 600] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 600] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 600] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 600] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 600] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 600] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 69:1 0:1 0:0) Dest: 74 oldDest: 68 pc:008000002c roqIdx:05 -[DEBUG][time= 600] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 600] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 600] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 600] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000024|03 <- -[DEBUG][time= 600] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 -[DEBUG][time= 600] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 600] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 600] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 600] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 600] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 600] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 600] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 600] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 600] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 600] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 600] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 600] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 600] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 600] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 600] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 600] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 600] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 600] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 600] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 600] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 600] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 600] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 600] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 600] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 600] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 600] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 600] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 600] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 600] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 600] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 600] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 600] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 600] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 600] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 600] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 600] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 600] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 600] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 600] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 600] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 600] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 600] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 600] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 600] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 600] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 600] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 600] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 600] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 600] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 600] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 600] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 600] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 600] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 600] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 600] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 600] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 600] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 600] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 600] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 600] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 600] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 600] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 600] IssueQueue_7: FireStage:Out(1 0) src1( 52|0000000080000ed8) src2( 56|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:38 -[DEBUG][time= 600] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 600] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 600] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 600] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 600] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 600] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 600] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 600] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 600] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 600] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 600] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 600] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 600] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 600] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 600] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 600] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 600] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 600] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 600] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 600] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 600] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 600] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 600] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 600] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 600] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 600] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 600] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 600] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 600] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 600] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 600] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 600] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 600] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 600] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 600] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 600] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 600] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 600] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 600] Brq: ---------------- -[DEBUG][time= 600] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 600] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 600] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 600] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 600] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 600] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 601] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 601] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 601] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000ef8| 0 1) -[DEBUG][time= 601] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 601] RegfileReadPortGen: int 0: want 0100, deqChoice: 1 -[DEBUG][time= 601] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 601] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 601] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 601] RegfileReadPortGen: dynamicExuSrc 0: 0 1000 -[DEBUG][time= 601] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 601] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 601] BusyTable_1: writeback 74 -[DEBUG][time= 601] BusyTable_1: 74 is busy -[DEBUG][time= 601] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 601] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 601] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 601] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 601] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 601] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 601] WriteBackArbMtoN: out(1) pc(0x008000002c) writebacks 0x0000000000000008 to pdest( 74) ldest(12) -[DEBUG][time= 601] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 601] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 601] BPUStage3: flushS3=0 -[DEBUG][time= 601] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 601] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 601] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 601] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 601] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 601] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 601] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 601] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 601] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 601] Ibuffer: Deque: -[DEBUG][time= 601] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 601] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 601] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 601] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 601] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 601] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 601] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 601] AluExeUnit: src1:0000000000000004 src2:0000000000000004 offset:0000000000000004 func:0000000 pc:000000008000002c -[DEBUG][time= 601] AluExeUnit: res:0000000000000008 aluRes:00000000000000008 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000008 taken:1 -[INFO ][time= 601] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 601] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 601] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 601] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 601] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 601] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 601] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 601] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 601] Dispatch1: v:0 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 601] Dispatch1: v:0 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 601] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 601] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 601] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 601] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 601] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 601] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 601] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 601] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 601] Dispatch2: regfile 0 from 1 -[DEBUG][time= 601] Dispatch2: regfile 1 from 1 -[DEBUG][time= 601] Dispatch2: regfile 2 from 0 -[DEBUG][time= 601] Dispatch2: regfile 3 from 0 -[DEBUG][time= 601] Dispatch2: regfile 4 from 3 -[DEBUG][time= 601] Dispatch2: regfile 5 from 3 -[DEBUG][time= 601] Dispatch2: regfile 6 from 0 -[DEBUG][time= 601] Dispatch2: regfile 7 from 0 -[DEBUG][time= 601] Dispatch2: int regfile 0: addr 42, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 4: addr 36, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 9: addr 67, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 12: addr 67, state 1 -[DEBUG][time= 601] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 601] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 601] Dispatch2: pc 0x008000003c with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 601] Dispatch2: pc 0x008000003c leaves Ls dispatch queue with nroq 61 -[DEBUG][time= 601] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 601] Dispatch2: pc 0x008000002c reads operands from ( 0, 69, 0000000000000004), ( 1, 0, 0000000000000004), ( 0, 0, 0000000000000000) -[INFO ][time= 601] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 601] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 601] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 601] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 601] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 601] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 601] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 601] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 601] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 601] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 601] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 601] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 601] Roq: CSR block should only happen in s_idle -[DEBUG][time= 601] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 601] Roq: writebacked 2 insts -[INFO ][time= 601] Roq: writebacked pc 0x008000002c wen 1 data 0x0000000000000008 ldst 12 pdst 74 skip 0 -[INFO ][time= 601] Roq: writebacked pc 0x0080000040 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 601] Roq: head 0: 6 tail 1: 6 -[DEBUG][time= 601] Roq: wwwwwvvwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 601] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 601] Roq: 0080000028 w 008000002c v 0080000040 v 0080000044 w -[DEBUG][time= 601] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 601] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 601] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 601] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 601] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 601] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 601] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 7 -> 8) -[DEBUG][time= 601] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 7), tail = (0, 9), -[DEBUG][time= 601] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000ef8 size 3 data 0000000000000005 mask 00ff cmd 0 -[DEBUG][time= 601] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 601] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 601] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 601] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 601] LsExeUnit: LSU fire: pc 0080000040 addr 0000000080000ef8 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 601] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 601] LsExeUnit: stqinfo: stqValid.asUInt 00001110 stqHead 3 stqTail 1 stqCommited 3 emptySlot 0 -[DEBUG][time= 601] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 601] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 601] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 601] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 601] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 601] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 601] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 601] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 601] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 601] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 601] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 601] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 601] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 601] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 601] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 601] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 601] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 601] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 601] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 601] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 601] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 601] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 601] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 601] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 601] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 601] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 601] IssueQueue_1: EnqData: src1:0000000000000004 src2:0000000000000004 src3:0000000000000000 pc:008000002c roqIdx:05(for last cycle's Ctrl) -[INFO ][time= 601] IssueQueue_1: Deq:(1 1) [ 69|0000000000000004][ 0|0000000000000004][ 0|c63124ed8740c04f] pdest: 74 pc:008000002c roqIdx:05 -[DEBUG][time= 601] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 601] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 74) -[DEBUG][time= 601] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 601] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 601] IssueQueue_1: 0 |1|1| 69|1|0000000000000004| 0|1|0000000000000002| 0|0|0000000000000000| 8 |008000002c|05 -[DEBUG][time= 601] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 <- -[DEBUG][time= 601] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 601] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 601] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 601] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 601] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 601] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 601] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 601] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 601] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 601] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 601] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 601] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 601] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 601] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 601] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 601] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 601] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 601] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 601] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 601] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 601] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 601] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 601] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 601] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 601] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 601] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 601] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 601] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 601] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 601] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 601] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 601] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 601] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 601] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 601] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 601] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 601] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 601] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 601] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 601] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 601] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 601] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 601] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 601] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 601] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 601] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 601] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 601] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 601] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 601] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 601] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 601] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 601] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 601] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 601] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 601] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 601] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 601] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 601] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 601] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 601] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 601] IssueQueue_7: EnqCtrl(1 1) enqSelIq:4 Psrc/Rdy( 64:1 67:1 0:0) Dest: 32 oldDest: 0 pc:008000003c roqIdx:3d -[INFO ][time= 601] IssueQueue_7: Deq:(1 1) [ 52|0000000080000ed8][ 56|0000000000000005][ 0|0000000000000000] pdest: 32 pc:0080000040 roqIdx:26 -[DEBUG][time= 601] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 601] IssueQueue_7: FireStage:Out(1 1) src1( 52|0000000080000ed8) src2( 56|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:38 -[DEBUG][time= 601] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 601] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 601] IssueQueue_7: 4 |1|1| 58|1|0000000080000f00| 57|1|0000000000000002| 0|0|0000000000000000| 6 |0080000030|2e -[DEBUG][time= 601] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 601] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 601] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 601] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 601] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 601] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 601] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 601] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 601] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 601] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 601] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 601] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 601] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 601] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 601] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 601] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 601] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 601] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 601] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 601] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 601] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 601] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 601] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 601] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 601] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 601] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 601] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 601] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 601] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 601] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 601] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 601] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 601] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 601] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 601] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 601] Brq: ---------------- -[DEBUG][time= 601] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 601] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 601] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 601] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 601] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 601] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 602] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 602] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 602] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ee0| 0 1) tlb (1 1 0080000ee0| 0 1) -[DEBUG][time= 602] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 602] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 602] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 602] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 602] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 602] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 602] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 602] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 602] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 602] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 602] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 602] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 602] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 602] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 602] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 602] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 602] BPUStage3: flushS3=0 -[DEBUG][time= 602] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 602] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 602] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 602] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 602] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 602] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 602] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 602] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 602] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 602] Ibuffer: Deque: -[DEBUG][time= 602] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 602] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 602] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 602] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 602] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[DEBUG][time= 602] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=0 -[INFO ][time= 602] Rename: pc:0080000038 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 602] Rename: pc:008000003c in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 602] Rename: pc:0080000040 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 602] Rename: pc:0080000044 in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:0 r:0 -[INFO ][time= 602] Rename: pc:0080000048 in v:1 in rdy:0 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 75 old_pdest: 70 out v:0 r:0 -[INFO ][time= 602] Rename: pc:008000004c in v:1 in rdy:0 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 602] Rename: int rat arch: ldest:15 pdest: 57 -[INFO ][time= 602] Rename: int rat arch: ldest:14 pdest: 58 -[INFO ][time= 602] Rename: int rat arch: ldest:13 pdest: 59 -[INFO ][time= 602] Rename: int rat arch: ldest:10 pdest: 60 -[DEBUG][time= 602] Dispatch1: v:0 r:0 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 602] Dispatch1: v:0 r:0 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 602] Dispatch1: v:0 r:0 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 602] Dispatch1: v:0 r:0 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 602] Dispatch1: v:1 r:0 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 602] Dispatch1: v:1 r:0 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 602] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 602] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 602] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 602] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 602] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 602] Dispatch2: regfile 0 from 0 -[DEBUG][time= 602] Dispatch2: regfile 1 from 0 -[DEBUG][time= 602] Dispatch2: regfile 2 from 3 -[DEBUG][time= 602] Dispatch2: regfile 3 from 3 -[DEBUG][time= 602] Dispatch2: regfile 4 from 1 -[DEBUG][time= 602] Dispatch2: regfile 5 from 1 -[DEBUG][time= 602] Dispatch2: regfile 6 from 0 -[DEBUG][time= 602] Dispatch2: regfile 7 from 0 -[DEBUG][time= 602] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 12: addr 68, state 1 -[DEBUG][time= 602] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 602] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[DEBUG][time= 602] Dispatch2: pc 0x008000003c reads operands from ( 8, 64, 0000000080000f28), ( 9, 67, 0000000000000006), ( 0, 0, 0000000000000000) -[INFO ][time= 602] DecodeBuffer: in v:1 r:0 pc=0080000050 -[INFO ][time= 602] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 602] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 602] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 602] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 602] DecodeBuffer: in v:0 r:0 pc=0080000050 -[INFO ][time= 602] DecodeBuffer: out v:1 r:0 pc=0080000038 -[INFO ][time= 602] DecodeBuffer: out v:1 r:0 pc=008000003c -[INFO ][time= 602] DecodeBuffer: out v:1 r:0 pc=0080000040 -[INFO ][time= 602] DecodeBuffer: out v:1 r:0 pc=0080000044 -[INFO ][time= 602] DecodeBuffer: out v:1 r:0 pc=0080000048 -[INFO ][time= 602] DecodeBuffer: out v:1 r:0 pc=008000004c -[ERROR][time= 602] Roq: CSR block should only happen in s_idle -[DEBUG][time= 602] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 602] Roq: retired pc 0080000040 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 602] Roq: retired pc 0080000044 wen 1 ldst 15 data 0000000000000002 -[INFO ][time= 602] Roq: retired pc 0080000048 wen 1 ldst 14 data 0000000080000f00 -[INFO ][time= 602] Roq: retired pc 008000004c wen 0 ldst 0 data 0000000000000040 -[INFO ][time= 602] Roq: retired pc 0080000020 wen 1 ldst 13 data 0000000000000003 -[INFO ][time= 602] Roq: retired pc 0080000024 wen 1 ldst 10 data 0000000000000004 -[INFO ][time= 602] Roq: retired 6 insts -[DEBUG][time= 602] Roq: head 0: 6 tail 1: 6 -[DEBUG][time= 602] Roq: wwwwwwwwwwwwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 602] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 602] Roq: 0080000028 w 008000002c w 0080000040 w 0080000044 w -[DEBUG][time= 602] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 602] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 602] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 602] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 602] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 602] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 602] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 8), tail = (0, 9), -[DEBUG][time= 602] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ee0 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 602] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 602] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 602] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 602] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 602] LsExeUnit: sbuffer id 3 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 3 ptr 0 valid 1 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 602] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 602] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 602] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 1 stqCommited 3 emptySlot 4 -[DEBUG][time= 602] LsExeUnit: retiringStore now... -[INFO ][time= 602] LsExeUnit: [DMEM STORE REQ] addr 0x80000ee0 wdata 0x0000000000000002 size 3 -[DEBUG][time= 602] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 602] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 602] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 602] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 602] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 602] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 602] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 602] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 602] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 602] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 602] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 602] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 602] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 602] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 602] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 602] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 602] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 602] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 602] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 602] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 602] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 602] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 602] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 602] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 602] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 602] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 602] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 602] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 602] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 602] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 <- -[DEBUG][time= 602] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 8 |008000002c|05 -[DEBUG][time= 602] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 602] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 602] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 602] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 602] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 602] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 602] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 602] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 602] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 602] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 602] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 602] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 602] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 602] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 602] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 602] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 602] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 602] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 602] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 602] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 602] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 602] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 602] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 602] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 602] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 602] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 602] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 602] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 602] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 602] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 602] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 602] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 602] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 602] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 602] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 602] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 602] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 602] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 602] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 602] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 602] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 602] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 602] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 602] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 602] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 602] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 602] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 602] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 602] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 602] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 602] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 602] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 602] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 602] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 602] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 602] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 602] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 602] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 602] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 602] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 602] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 602] IssueQueue_7: EnqData: src1:0000000080000f28 src2:0000000000000006 src3:0000000000000000 pc:008000003c roqIdx:3d(for last cycle's Ctrl) -[DEBUG][time= 602] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 602] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 57|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:46 -[DEBUG][time= 602] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 602] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 602] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 602] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 602] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 602] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 602] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 602] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 602] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 602] IssueQueue_7: 4 |1|1| 64|1|0000000080000f00| 67|1|0000000000000002| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 602] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 602] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 602] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 602] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 602] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 602] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 602] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 602] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 602] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 602] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 602] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 602] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 602] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 602] FreeList_1: dealloc preg: 51 -[DEBUG][time= 602] FreeList_1: dealloc preg: 52 -[DEBUG][time= 602] FreeList_1: dealloc preg: 53 -[DEBUG][time= 602] FreeList_1: dealloc preg: 54 -[DEBUG][time= 602] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 602] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 602] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 602] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 602] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 602] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 602] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 602] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 602] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 602] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 602] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 602] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 602] FreeList_1: head:0: 43 tail:1: 25 -[DEBUG][time= 602] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 602] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 602] Brq: ---------------- -[DEBUG][time= 602] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 602] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 602] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 602] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 602] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 602] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 603] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 603] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 603] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ee0| 0 1) -[DEBUG][time= 603] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 603] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 603] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 603] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 603] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 603] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 603] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 603] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 603] BusyTable_1: Allocate 75 -[DEBUG][time= 603] BusyTable_1: Allocate 76 -[DEBUG][time= 603] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 603] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 603] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 603] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 603] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 603] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 603] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 603] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 603] BPUStage3: flushS3=0 -[DEBUG][time= 603] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 603] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 603] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 603] Frontend: inst:00000513 pc:0080000050 -[INFO ][time= 603] Frontend: inst:00008067 pc:0080000054 -[INFO ][time= 603] Frontend: inst:0540006f pc:0080000058 -[INFO ][time= 603] Frontend: inst:ff010113 pc:008000005c -[INFO ][time= 603] Frontend: inst:00113423 pc:0080000060 -[INFO ][time= 603] Frontend: inst:00050593 pc:0080000064 -[DEBUG][time= 603] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000050 instr:00000513 -[DEBUG][time= 603] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000054 instr:00008067 -[DEBUG][time= 603] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000058 instr:0540006f -[DEBUG][time= 603] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000005c instr:ff010113 -[DEBUG][time= 603] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 603] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000064 instr:00050593 -[DEBUG][time= 603] Ibuffer: Deque: -[DEBUG][time= 603] Ibuffer: 00000000000000000000010100010011 PC=0080000050 v=1 r=1 -[DEBUG][time= 603] Ibuffer: 00000000000000001000000001100111 PC=0080000054 v=1 r=1 -[DEBUG][time= 603] Ibuffer: 00000101010000000000000001101111 PC=0080000058 v=1 r=1 -[DEBUG][time= 603] Ibuffer: 11111111000000010000000100010011 PC=008000005c v=1 r=1 -[DEBUG][time= 603] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=1 -[DEBUG][time= 603] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=1 r=1 -[INFO ][time= 603] Rename: pc:0080000038 in v:1 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2:10 -> psrc2: 72 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 603] Rename: pc:008000003c in v:1 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2:11 -> psrc2: 73 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 603] Rename: pc:0080000040 in v:1 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 603] Rename: pc:0080000044 in v:1 in rdy:1 lsrc1:13 -> psrc1: 71 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 75 old_pdest: 69 out v:1 r:1 -[INFO ][time= 603] Rename: pc:0080000048 in v:1 in rdy:1 lsrc1:14 -> psrc1: 70 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 76 old_pdest: 70 out v:1 r:1 -[INFO ][time= 603] Rename: pc:008000004c in v:1 in rdy:1 lsrc1:13 -> psrc1: 71 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 603] Rename: int rat arch: ldest:11 pdest: 61 -[INFO ][time= 603] Rename: int rat arch: ldest:12 pdest: 62 -[INFO ][time= 603] Dispatch1: pc 0x0080000030 accepted by queue 0 0 1 -[INFO ][time= 603] Dispatch1: pc 0x0080000034 accepted by queue 0 0 1 -[DEBUG][time= 603] Dispatch1: v:0 r:1 pc 0x0080000020 of type 0011 is in 0-th slot -[DEBUG][time= 603] Dispatch1: v:0 r:1 pc 0x0080000024 of type 0011 is in 1-th slot -[DEBUG][time= 603] Dispatch1: v:0 r:1 pc 0x0080000028 of type 0011 is in 2-th slot -[DEBUG][time= 603] Dispatch1: v:0 r:1 pc 0x008000002c of type 0011 is in 3-th slot -[DEBUG][time= 603] Dispatch1: pc 0x0080000030 receives nroq 6 -[DEBUG][time= 603] Dispatch1: v:1 r:1 pc 0x0080000030 of type 1101 is in 4-th slot -[DEBUG][time= 603] Dispatch1: pc 0x0080000034 receives nroq 7 -[DEBUG][time= 603] Dispatch1: v:1 r:1 pc 0x0080000034 of type 1101 is in 5-th slot -[DEBUG][time= 603] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 603] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 603] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 603] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 603] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 603] Dispatch2: regfile 0 from 0 -[DEBUG][time= 603] Dispatch2: regfile 1 from 0 -[DEBUG][time= 603] Dispatch2: regfile 2 from 3 -[DEBUG][time= 603] Dispatch2: regfile 3 from 3 -[DEBUG][time= 603] Dispatch2: regfile 4 from 1 -[DEBUG][time= 603] Dispatch2: regfile 5 from 1 -[DEBUG][time= 603] Dispatch2: regfile 6 from 0 -[DEBUG][time= 603] Dispatch2: regfile 7 from 0 -[DEBUG][time= 603] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 12: addr 68, state 1 -[DEBUG][time= 603] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 603] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[INFO ][time= 603] DecodeBuffer: in v:1 r:1 pc=0080000050 -[INFO ][time= 603] DecodeBuffer: in v:1 r:1 pc=0080000054 -[INFO ][time= 603] DecodeBuffer: in v:1 r:1 pc=0080000058 -[INFO ][time= 603] DecodeBuffer: in v:1 r:1 pc=008000005c -[INFO ][time= 603] DecodeBuffer: in v:1 r:1 pc=0080000060 -[INFO ][time= 603] DecodeBuffer: in v:1 r:1 pc=0080000064 -[INFO ][time= 603] DecodeBuffer: out v:1 r:1 pc=0080000038 -[INFO ][time= 603] DecodeBuffer: out v:1 r:1 pc=008000003c -[INFO ][time= 603] DecodeBuffer: out v:1 r:1 pc=0080000040 -[INFO ][time= 603] DecodeBuffer: out v:1 r:1 pc=0080000044 -[INFO ][time= 603] DecodeBuffer: out v:1 r:1 pc=0080000048 -[INFO ][time= 603] DecodeBuffer: out v:1 r:1 pc=008000004c -[ERROR][time= 603] Roq: CSR block should only happen in s_idle -[DEBUG][time= 603] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 1) (1, 1) -[INFO ][time= 603] Roq: dispatched 2 insts -[INFO ][time= 603] Roq: retired pc 0080000028 wen 1 ldst 11 data 0000000000000005 -[INFO ][time= 603] Roq: retired pc 008000002c wen 1 ldst 12 data 0000000000000006 -[INFO ][time= 603] Roq: retired 2 insts -[DEBUG][time= 603] Roq: head 0: 6 tail 1:12 -[DEBUG][time= 603] Roq: wwwwww------wwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 603] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 603] Roq: 0080000028 w 008000002c w 0080000040 - 0080000044 - -[DEBUG][time= 603] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 603] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 603] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 603] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 603] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 603] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 603] DispatchQueue_2: LsDpQ: num_enq = 2, tail = ( 9 -> 11) -[DEBUG][time= 603] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 8), tail = (0, 9), -[DEBUG][time= 603] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ee0 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 603] LsExeUnit: forwarding data from stq, addr 0000000080000ee0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 603] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000034 addr 0000000080000ee0 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 603] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 603] LsExeUnit: sbuffer id 2 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 603] LsExeUnit: sbuffer id 3 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 3 ptr 0 valid 1 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 603] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 603] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 603] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 1 stqCommited 4 emptySlot 4 -[DEBUG][time= 603] LsExeUnit: retiringStore now... -[DEBUG][time= 603] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 603] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 603] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 603] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 603] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 603] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 603] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 603] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 603] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 603] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 603] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 603] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 603] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 603] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 603] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 603] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 603] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 603] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 603] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 603] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 603] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 603] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 603] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 603] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 603] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 603] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 603] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 603] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 603] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 603] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 <- -[DEBUG][time= 603] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 8 |008000002c|05 -[DEBUG][time= 603] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 603] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 603] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 603] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 603] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 603] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 603] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 603] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 603] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 603] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 603] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 603] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 603] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 603] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 603] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 603] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 603] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 603] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 603] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 603] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 603] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 603] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 603] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 603] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 603] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 603] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 603] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 603] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 603] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 603] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 603] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 603] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 603] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 603] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 603] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 603] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 603] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 603] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 603] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 603] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 603] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 603] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 603] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 603] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 603] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 603] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 603] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 603] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 603] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 603] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 603] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 603] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 603] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 603] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 603] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 603] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 603] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 603] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 603] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 603] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 603] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 603] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 603] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 57|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:46 -[DEBUG][time= 603] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 603] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 603] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 603] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 603] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 603] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 603] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 603] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 603] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 603] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 603] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 603] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 603] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 603] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 603] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 603] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 603] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 603] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 603] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 603] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 603] FreeList: do checkPt at BrqIdx= 8 headPtr:0: 0 -[DEBUG][time= 603] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 603] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 603] FreeList_1: dealloc preg: 55 -[DEBUG][time= 603] FreeList_1: dealloc preg: 56 -[DEBUG][time= 603] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 603] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 603] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 603] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 603] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 43 -[DEBUG][time= 603] FreeList_1: req:0 canAlloc:1 pdest: 75 headNext:0: 43 -[DEBUG][time= 603] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 44 -[DEBUG][time= 603] FreeList_1: req:1 canAlloc:1 pdest: 75 headNext:0: 44 -[DEBUG][time= 603] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 45 -[DEBUG][time= 603] FreeList_1: req:1 canAlloc:1 pdest: 76 headNext:0: 45 -[DEBUG][time= 603] FreeList_1: do checkPt at BrqIdx= 8 headPtr:0: 45 -[DEBUG][time= 603] FreeList_1: req:0 canAlloc:1 pdest: 77 headNext:0: 45 -[DEBUG][time= 603] FreeList_1: head:0: 43 tail:1: 29 -[DEBUG][time= 603] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 603] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v: 9 -[DEBUG][time= 603] Brq: ---------------- -[DEBUG][time= 603] Brq: enq v:0 rdy:1 pc:0080000050 brTag:f:0 v: 9 -[DEBUG][time= 603] Brq: enq v:1 rdy:1 pc:0080000054 brTag:f:0 v: 9 -[DEBUG][time= 603] Brq: enq v:1 rdy:1 pc:0080000058 brTag:f:0 v:10 -[DEBUG][time= 603] Brq: enq v:0 rdy:1 pc:008000005c brTag:f:0 v:11 -[DEBUG][time= 603] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:11 -[DEBUG][time= 603] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v:11 -[DEBUG][time= 604] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 604] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 604] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ee8| 1 1) tlb (1 0 0080000ee8| 1 1) -[DEBUG][time= 604] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 604] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 604] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 604] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 604] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 604] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 604] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 604] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 604] BusyTable_1: Allocate 77 -[DEBUG][time= 604] BusyTable_1: Allocate 78 -[DEBUG][time= 604] BusyTable_1: Allocate 79 -[DEBUG][time= 604] BusyTable_1: 75 is busy -[DEBUG][time= 604] BusyTable_1: 76 is busy -[DEBUG][time= 604] BPUStage2: in:(0 0) pc=008000019c out:(1 0) pc=008000017c -[DEBUG][time= 604] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 604] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 604] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 604] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 604] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 604] BPUStage1: in:(0 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 604] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 604] BPUStage3: flushS3=0 -[DEBUG][time= 604] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 604] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 604] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 604] Frontend: inst:00050513 pc:0080000068 -[INFO ][time= 604] Frontend: inst:0005006b pc:008000006c -[INFO ][time= 604] Frontend: inst:00001517 pc:0080000070 -[INFO ][time= 604] Frontend: inst:c5050513 pc:0080000074 -[INFO ][time= 604] Frontend: inst:3d5000ef pc:0080000078 -[INFO ][time= 604] Frontend: inst:0000006f pc:008000007c -[DEBUG][time= 604] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000068 instr:00050513 -[DEBUG][time= 604] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000006c instr:0005006b -[DEBUG][time= 604] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 604] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 604] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 604] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:008000007c instr:0000006f -[DEBUG][time= 604] Ibuffer: Deque: -[DEBUG][time= 604] Ibuffer: 00000000000001010000010100010011 PC=0080000068 v=1 r=1 -[DEBUG][time= 604] Ibuffer: 00000000000001010000000001101011 PC=008000006c v=1 r=1 -[DEBUG][time= 604] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=1 -[DEBUG][time= 604] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=1 -[DEBUG][time= 604] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=1 -[DEBUG][time= 604] Ibuffer: 00000000000000000000000001101111 PC=008000007c v=1 r=1 -[INFO ][time= 604] Rename: pc:0080000050 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 77 old_pdest: 72 out v:1 r:1 -[INFO ][time= 604] Rename: pc:0080000054 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 42 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 604] Rename: pc:0080000058 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 604] Rename: pc:008000005c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 78 old_pdest: 36 out v:1 r:1 -[INFO ][time= 604] Rename: pc:0080000060 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 78 lsrc2: 1 -> psrc2: 42 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 604] Rename: pc:0080000064 in v:1 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 79 old_pdest: 73 out v:1 r:1 -[INFO ][time= 604] Dispatch1: pc 0x0080000038 accepted by queue 0 0 1 -[INFO ][time= 604] Dispatch1: pc 0x008000003c accepted by queue 0 0 1 -[INFO ][time= 604] Dispatch1: pc 0x0080000040 accepted by queue 0 0 1 -[INFO ][time= 604] Dispatch1: pc 0x0080000044 accepted by queue 1 0 0 -[INFO ][time= 604] Dispatch1: pc 0x0080000048 accepted by queue 1 0 0 -[INFO ][time= 604] Dispatch1: pc 0x008000004c accepted by queue 1 0 0 -[DEBUG][time= 604] Dispatch1: pc 0x0080000038 receives nroq 8 -[DEBUG][time= 604] Dispatch1: v:1 r:1 pc 0x0080000038 of type 1101 is in 0-th slot -[DEBUG][time= 604] Dispatch1: pc 0x008000003c receives nroq 9 -[DEBUG][time= 604] Dispatch1: v:1 r:1 pc 0x008000003c of type 1101 is in 1-th slot -[DEBUG][time= 604] Dispatch1: pc 0x0080000040 receives nroq 10 -[DEBUG][time= 604] Dispatch1: v:1 r:1 pc 0x0080000040 of type 1101 is in 2-th slot -[DEBUG][time= 604] Dispatch1: pc 0x0080000044 receives nroq 11 -[DEBUG][time= 604] Dispatch1: v:1 r:1 pc 0x0080000044 of type 0011 is in 3-th slot -[DEBUG][time= 604] Dispatch1: pc 0x0080000048 receives nroq 12 -[DEBUG][time= 604] Dispatch1: v:1 r:1 pc 0x0080000048 of type 0011 is in 4-th slot -[DEBUG][time= 604] Dispatch1: pc 0x008000004c receives nroq 13 -[DEBUG][time= 604] Dispatch1: v:1 r:1 pc 0x008000004c of type 0011 is in 5-th slot -[DEBUG][time= 604] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 604] Dispatch2: ls dp queue 1: 0080000030 type 1101 -[DEBUG][time= 604] Dispatch2: ls dp queue 2: 0080000034 type 1101 -[DEBUG][time= 604] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 604] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 604] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 604] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 604] Dispatch2: regfile 0 from 0 -[DEBUG][time= 604] Dispatch2: regfile 1 from 0 -[DEBUG][time= 604] Dispatch2: regfile 2 from 3 -[DEBUG][time= 604] Dispatch2: regfile 3 from 3 -[DEBUG][time= 604] Dispatch2: regfile 4 from 1 -[DEBUG][time= 604] Dispatch2: regfile 5 from 1 -[DEBUG][time= 604] Dispatch2: regfile 6 from 0 -[DEBUG][time= 604] Dispatch2: regfile 7 from 0 -[DEBUG][time= 604] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 12: addr 68, state 1 -[DEBUG][time= 604] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 604] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[DEBUG][time= 604] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 1 -[DEBUG][time= 604] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 2 -[INFO ][time= 604] DecodeBuffer: in v:1 r:1 pc=0080000068 -[INFO ][time= 604] DecodeBuffer: in v:1 r:1 pc=008000006c -[INFO ][time= 604] DecodeBuffer: in v:1 r:1 pc=0080000070 -[INFO ][time= 604] DecodeBuffer: in v:1 r:1 pc=0080000074 -[INFO ][time= 604] DecodeBuffer: in v:1 r:1 pc=0080000078 -[INFO ][time= 604] DecodeBuffer: in v:1 r:1 pc=008000007c -[INFO ][time= 604] DecodeBuffer: out v:1 r:1 pc=0080000050 -[INFO ][time= 604] DecodeBuffer: out v:1 r:1 pc=0080000054 -[INFO ][time= 604] DecodeBuffer: out v:1 r:1 pc=0080000058 -[INFO ][time= 604] DecodeBuffer: out v:1 r:1 pc=008000005c -[INFO ][time= 604] DecodeBuffer: out v:1 r:1 pc=0080000060 -[INFO ][time= 604] DecodeBuffer: out v:1 r:1 pc=0080000064 -[ERROR][time= 604] Roq: CSR block should only happen in s_idle -[DEBUG][time= 604] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 604] Roq: dispatched 6 insts -[DEBUG][time= 604] Roq: head 0: 8 tail 1:14 -[DEBUG][time= 604] Roq: wwwwwwvv------vvvvvwwwwwwwvvvvvw -[DEBUG][time= 604] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 604] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 604] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 604] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 604] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 604] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 604] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 604] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 604] DispatchQueue_2: LsDpQ: num_enq = 3, tail = (11 -> 14) -[DEBUG][time= 604] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 8), tail = (0, 11), -[DEBUG][time= 604] DispatchQueue: IntDpQ: num_enq = 3, tail = ( 8 -> 11) -[DEBUG][time= 604] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ee8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 604] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 604] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 604] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 604] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 604] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 604] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 604] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 604] LsExeUnit: retiringStore now... -[INFO ][time= 604] LsExeUnit: [DMEM RESP] data 0000000000000003 -[DEBUG][time= 604] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484060 -[DEBUG][time= 604] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 604] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 604] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 604] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 604] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 604] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 604] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:0 if1_pc:0x008000019c || if2_ready:0 -[DEBUG][time= 604] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:0 -[DEBUG][time= 604] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 604] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 604] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:0 -[DEBUG][time= 604] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 604] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 604] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 604] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 604] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 604] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 604] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 604] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 604] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 604] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 604] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 604] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 604] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 604] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 604] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 604] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 604] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 604] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 <- -[DEBUG][time= 604] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 8 |008000002c|05 -[DEBUG][time= 604] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 604] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 604] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 604] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 604] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 604] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 604] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 604] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 604] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 604] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 604] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 604] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 604] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 604] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 604] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 604] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 604] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 604] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 604] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 604] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 604] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 604] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 604] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 604] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 604] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 604] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 604] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 604] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 604] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 604] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 604] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 604] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 604] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 604] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 604] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 604] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 604] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 604] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 604] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 604] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 604] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 604] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 604] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 604] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 604] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 604] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 604] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 604] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 604] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 604] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 604] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 604] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 604] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 604] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 604] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 604] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 604] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 604] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 604] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 604] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 604] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 604] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 604] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 57|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:46 -[DEBUG][time= 604] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 604] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 604] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 604] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 604] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 604] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 604] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 604] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 604] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 604] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 604] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 604] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 604] FreeList: do checkPt at BrqIdx= 9 headPtr:0: 0 -[DEBUG][time= 604] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 604] FreeList: do checkPt at BrqIdx=10 headPtr:0: 0 -[DEBUG][time= 604] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 604] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 604] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 604] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 604] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 604] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 604] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 604] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 604] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 46 -[DEBUG][time= 604] FreeList_1: req:1 canAlloc:1 pdest: 77 headNext:0: 46 -[DEBUG][time= 604] FreeList_1: do checkPt at BrqIdx= 9 headPtr:0: 46 -[DEBUG][time= 604] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 604] FreeList_1: do checkPt at BrqIdx=10 headPtr:0: 46 -[DEBUG][time= 604] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 604] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 47 -[DEBUG][time= 604] FreeList_1: req:1 canAlloc:1 pdest: 78 headNext:0: 47 -[DEBUG][time= 604] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 47 -[DEBUG][time= 604] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 604] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 604] FreeList_1: req:1 canAlloc:1 pdest: 79 headNext:0: 48 -[DEBUG][time= 604] FreeList_1: head:0: 45 tail:1: 31 -[DEBUG][time= 604] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 604] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v:11 -[DEBUG][time= 604] Brq: ---------------- -[DEBUG][time= 604] Brq: enq v:0 rdy:1 pc:0080000068 brTag:f:0 v:11 -[DEBUG][time= 604] Brq: enq v:0 rdy:1 pc:008000006c brTag:f:0 v:11 -[DEBUG][time= 604] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v:11 -[DEBUG][time= 604] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 604] Brq: enq v:1 rdy:1 pc:0080000078 brTag:f:0 v:11 -[DEBUG][time= 604] Brq: enq v:1 rdy:1 pc:008000007c brTag:f:0 v:12 -[DEBUG][time= 605] Tage: req: pc=0x00800001bc, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 605] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 605] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 605] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f00| 0 1) -[DEBUG][time= 605] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 605] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 605] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 605] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 605] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 605] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 605] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 605] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 605] BusyTable_1: 75 is busy -[DEBUG][time= 605] BusyTable_1: 76 is busy -[DEBUG][time= 605] BusyTable_1: 77 is busy -[DEBUG][time= 605] BusyTable_1: 78 is busy -[DEBUG][time= 605] BusyTable_1: 79 is busy -[DEBUG][time= 605] BPUStage2: in:(0 1) pc=008000019c out:(1 1) pc=008000017c -[DEBUG][time= 605] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 605] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 605] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 605] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 605] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 605] BPUStage1: in:(1 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 605] BPUStage1: outPred:(0) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 605] BPUStage3: [RAS]:pc=0x008000017c, rasWritePosition= 2, rasWriteAddr=0x008000017c -[DEBUG][time= 605] BPUStage3: in:(1 1) pc=008000017c -[DEBUG][time= 605] BPUStage3: out:1 pc=008000015c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000017c -[DEBUG][time= 605] BPUStage3: flushS3=0 -[DEBUG][time= 605] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 605] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 605] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 605] JBTAC: read: pc=0x00800001bc, histXORAddr=0x0080000646, bank=3, row=100, hist=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 605] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 605] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 605] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 605] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 605] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 605] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 605] Ibuffer: Enque: -[DEBUG][time= 605] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 605] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 605] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 605] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 605] Ibuffer: 11111101001101001001111011100011 -[DEBUG][time= 605] Ibuffer: 00000010100000010011000010000011 -[DEBUG][time= 605] Ibuffer: 00000010000000010011010000000011 -[DEBUG][time= 605] Ibuffer: 00000001100000010011010010000011 -[DEBUG][time= 605] Ibuffer: Deque: -[DEBUG][time= 605] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 605] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 605] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 605] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 605] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 605] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 605] Ibuffer: last_head_ptr= 48 last_tail_ptr= 22 -[DEBUG][time= 605] BTB: read: pc=0x00800001bc, baseBank=14, realMask=1111111111111111 -[INFO ][time= 605] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 605] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 605] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 605] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 605] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 605] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 605] Dispatch1: v:1 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 605] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 605] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 605] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 605] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 605] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 605] Dispatch2: int dp queue 0: 0080000044 type 0011 -[DEBUG][time= 605] Dispatch2: int dp queue 1: 0080000048 type 0011 -[DEBUG][time= 605] Dispatch2: int dp queue 2: 008000004c type 0011 -[DEBUG][time= 605] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 605] Dispatch2: ls dp queue 1: 0080000030 type 1101 -[DEBUG][time= 605] Dispatch2: ls dp queue 2: 0080000034 type 1101 -[DEBUG][time= 605] Dispatch2: ls dp queue 3: 0080000038 type 1101 -[DEBUG][time= 605] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 605] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 605] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 605] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 605] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 605] Dispatch2: regfile 0 from 0 -[DEBUG][time= 605] Dispatch2: regfile 1 from 0 -[DEBUG][time= 605] Dispatch2: regfile 2 from 1 -[DEBUG][time= 605] Dispatch2: regfile 3 from 1 -[DEBUG][time= 605] Dispatch2: regfile 4 from 2 -[DEBUG][time= 605] Dispatch2: regfile 5 from 2 -[DEBUG][time= 605] Dispatch2: regfile 6 from 3 -[DEBUG][time= 605] Dispatch2: regfile 7 from 3 -[DEBUG][time= 605] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 2: addr 70, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 6: addr 36, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 8: addr 64, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 9: addr 68, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 12: addr 68, state 1 -[DEBUG][time= 605] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 605] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 605] Dispatch2: pc 0x0080000044 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 605] Dispatch2: pc 0x0080000048 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 605] Dispatch2: pc 0x008000004c with type 0011 srcState(1 1 0) enters reservation station 3 from 2 -[INFO ][time= 605] Dispatch2: pc 0x0080000040 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 605] Dispatch2: pc 0x0080000044 leaves Int dispatch queue with nroq 11 -[INFO ][time= 605] Dispatch2: pc 0x0080000048 leaves Int dispatch queue with nroq 12 -[INFO ][time= 605] Dispatch2: pc 0x008000004c leaves Int dispatch queue with nroq 13 -[INFO ][time= 605] Dispatch2: pc 0x0080000040 leaves Ls dispatch queue with nroq 62 -[DEBUG][time= 605] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 1 -[DEBUG][time= 605] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 2 -[DEBUG][time= 605] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 3 -[INFO ][time= 605] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 605] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 605] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 605] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 605] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 605] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 605] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 605] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 605] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 605] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 605] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 605] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 605] Roq: CSR block should only happen in s_idle -[DEBUG][time= 605] Roq: (ready, valid): (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 605] Roq: writebacked 1 insts -[INFO ][time= 605] Roq: writebacked pc 0x0080000030 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 605] Roq: head 0:14 tail 1:14 -[DEBUG][time= 605] Roq: wwwwwwvvvvvvvvvvvvvwwwwwwwvvvvvw -[DEBUG][time= 605] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 605] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 605] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 605] Roq: 0080000048 v 008000004c v 0080000030 v 0080000034 v -[DEBUG][time= 605] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 605] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 605] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 605] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 605] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 8 -> 9) -[DEBUG][time= 605] DispatchQueue_2: LsDpQ: valid_entries = 6, head = (0, 8), tail = (0, 14), -[DEBUG][time= 605] DispatchQueue: IntDpQ: num_deq = 3, head = ( 8 -> 11) -[DEBUG][time= 605] DispatchQueue: IntDpQ: valid_entries = 3, head = (0, 8), tail = (0, 11), -[DEBUG][time= 605] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f00 size 3 data 0000000000000002 mask 00ff cmd 0 -[DEBUG][time= 605] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 605] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 605] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 605] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 605] LsExeUnit: LSU fire: pc 0080000030 addr 0000000080000f00 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 605] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 605] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 605] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484060------> s1 fire!!! -[DEBUG][time= 605] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 605] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 605] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 605] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 605] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 605] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 605] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:1 if1_pc:0x008000019c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 605] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 605] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 605] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 605] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 605] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 605] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 605] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] starPC:0x008000015c GroupPC:0x0080000140n -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000160 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x0080000164 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000168 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x008000016c -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction fd349ee3 pnpc:0x0080000170 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction 02813083 pnpc:0x0080000174 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction 02013403 pnpc:0x0080000178 -[DEBUG][time= 605] IFU: [IFU-Out-FetchPacket] instruction 01813483 pnpc:0x008000017c -[DEBUG][time= 605] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 605] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 605] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 605] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 605] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 605] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 605] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 605] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 605] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 605] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 605] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 605] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 71:1 0:1 0:0) Dest: 75 oldDest: 69 pc:0080000044 roqIdx:0b -[DEBUG][time= 605] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 605] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 605] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 605] IssueQueue_1: 1 |0|1| 69|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000028|04 <- -[DEBUG][time= 605] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 8 |008000002c|05 -[DEBUG][time= 605] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 605] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 605] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 605] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 605] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 605] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 605] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 70:1 0:1 0:0) Dest: 76 oldDest: 70 pc:0080000048 roqIdx:0c -[DEBUG][time= 605] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 605] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 605] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 605] IssueQueue_2: 0 |0|1| 63|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 7 |0080000024|37 <- -[DEBUG][time= 605] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 -[DEBUG][time= 605] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 605] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 605] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 605] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 605] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 605] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 605] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 71:1 46:1 0:0) Dest: 32 oldDest: 0 pc:008000004c roqIdx:0d -[DEBUG][time= 605] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 605] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 605] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 605] IssueQueue_3: 2 |0|1| 63|1|0000000000000003| 0|1|0000000000000003| 0|0|0000000000000000| 7 |0080000028|38 <- -[DEBUG][time= 605] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 -[DEBUG][time= 605] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 605] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 605] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 605] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 605] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 605] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 605] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 605] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 605] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 605] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 605] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 605] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 605] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 605] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 605] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 605] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 605] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 605] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 605] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 605] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 605] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 605] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 605] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 605] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 605] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 605] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 605] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 605] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 605] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 605] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 605] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 605] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 605] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 605] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 605] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 605] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 605] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 605] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 605] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 605] IssueQueue_7: EnqCtrl(1 1) enqSelIq:5 Psrc/Rdy( 64:1 68:1 0:0) Dest: 32 oldDest: 0 pc:0080000040 roqIdx:3e -[INFO ][time= 605] IssueQueue_7: Deq:(1 1) [ 58|0000000080000f00][ 57|0000000000000002][ 0|0000000000000000] pdest: 32 pc:0080000030 roqIdx:2e -[DEBUG][time= 605] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 605] IssueQueue_7: FireStage:Out(1 1) src1( 58|0000000080000f00) src2( 57|0000000000000002) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:46 -[DEBUG][time= 605] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 605] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 605] IssueQueue_7: 5 |1|1| 58|1|0000000080000f00| 59|1|0000000000000003| 0|0|0000000000000000| 6 |0080000034|2f -[DEBUG][time= 605] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 605] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 605] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 605] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 605] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 605] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 605] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 605] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 605] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 605] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 605] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 605] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 605] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 605] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 605] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 605] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 605] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 605] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 605] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 605] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 605] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 605] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 605] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 605] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 605] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v:13 -[DEBUG][time= 605] Brq: ---------------- -[DEBUG][time= 605] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 605] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 605] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 605] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 605] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 605] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 606] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 606] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 606] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ee8| 0 1) tlb (1 1 0080000ee8| 0 1) -[DEBUG][time= 606] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 606] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 606] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 606] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 606] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 606] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 606] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 606] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 606] BusyTable_1: writeback 75 -[DEBUG][time= 606] BusyTable_1: writeback 76 -[DEBUG][time= 606] BusyTable_1: 75 is busy -[DEBUG][time= 606] BusyTable_1: 76 is busy -[DEBUG][time= 606] BusyTable_1: 77 is busy -[DEBUG][time= 606] BusyTable_1: 78 is busy -[DEBUG][time= 606] BusyTable_1: 79 is busy -[DEBUG][time= 606] BPUStage2: in:(1 1) pc=00800001bc out:(0 0) pc=008000017c -[DEBUG][time= 606] BPUStage2: validLatch=0 pc=008000017c -[DEBUG][time= 606] DispatchGen: priority: data(0) = 1, priority = 1 -[DEBUG][time= 606] DispatchGen: priority: data(1) = 1, priority = 2 -[DEBUG][time= 606] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 606] DispatchGen: priority: data(3) = 0, priority = 0 -[INFO ][time= 606] WriteBackArbMtoN: out(1) pc(0x0080000044) writebacks 0x0000000000000005 to pdest( 75) ldest(15) -[INFO ][time= 606] WriteBackArbMtoN: out(2) pc(0x0080000048) writebacks 0x0000000080000f78 to pdest( 76) ldest(14) -[DEBUG][time= 606] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 606] BPUStage1: outPred:(1) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 606] BPUStage3: flushS3=0 -[DEBUG][time= 606] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 606] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 606] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 606] JBTAC: read_resp: pc=0x00800001bc, bank=3, row=100, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 606] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 606] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 606] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 606] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 606] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 606] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 606] Ibuffer: Deque: -[DEBUG][time= 606] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 606] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 606] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 606] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 606] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 606] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 606] BTB: read_resp: pc=0x00800001bc, readIdx=222------------------------------- -[DEBUG][time= 606] BTB: read_resp[b=0][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=1][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=2][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=3][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=4][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=5][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=6][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=7][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b= 8][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b= 9][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=10][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=11][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=12][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=13][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=14][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: read_resp[b=15][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 606] BTB: bankIdxInOrder:[DEBUG][time= 606] BTB: 14 [DEBUG][time= 606] BTB: 15 [DEBUG][time= 606] BTB: 0 [DEBUG][time= 606] BTB: 1 [DEBUG][time= 606] BTB: 2 [DEBUG][time= 606] BTB: 3 [DEBUG][time= 606] BTB: 4 [DEBUG][time= 606] BTB: 5 [DEBUG][time= 606] BTB: 6 [DEBUG][time= 606] BTB: 7 [DEBUG][time= 606] BTB: 8 [DEBUG][time= 606] BTB: 9 [DEBUG][time= 606] BTB: 10 [DEBUG][time= 606] BTB: 11 [DEBUG][time= 606] BTB: 12 [DEBUG][time= 606] BTB: 13 [DEBUG][time= 606] BTB: -[DEBUG][time= 606] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 606] AluExeUnit: src1:0000000000000005 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000044 -[DEBUG][time= 606] AluExeUnit: res:0000000000000005 aluRes:00000000000000005 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000005 taken:0 -[DEBUG][time= 606] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 606] AluExeUnit_1: src1:0000000080000f50 src2:0000000000000028 offset:0000000000000028 func:0000000 pc:0000000080000048 -[DEBUG][time= 606] AluExeUnit_1: res:0000000080000f78 aluRes:00000000080000f78 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000f78 taken:0 -[DEBUG][time= 606] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 606] AluExeUnit_2: src1:0000000000000005 src2:0000000000000005 offset:ffffffffffffffd4 func:0010001 pc:000000008000004c -[DEBUG][time= 606] AluExeUnit_2: res:00000000000000a0 aluRes:000000000000000a0 isRVC:0 isBru:1 isBranch:1 isJump:0 target:0080000020 taken:0 -[INFO ][time= 606] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 606] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 606] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 606] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 606] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 606] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 606] Dispatch1: v:1 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 606] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 606] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 606] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 606] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 606] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 606] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 606] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 606] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 606] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 606] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 606] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 606] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 606] Dispatch2: dispatch to iq index 4: 0 -[DEBUG][time= 606] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 606] Dispatch2: regfile 0 from 1 -[DEBUG][time= 606] Dispatch2: regfile 1 from 1 -[DEBUG][time= 606] Dispatch2: regfile 2 from 2 -[DEBUG][time= 606] Dispatch2: regfile 3 from 2 -[DEBUG][time= 606] Dispatch2: regfile 4 from 3 -[DEBUG][time= 606] Dispatch2: regfile 5 from 3 -[DEBUG][time= 606] Dispatch2: regfile 6 from 0 -[DEBUG][time= 606] Dispatch2: regfile 7 from 0 -[DEBUG][time= 606] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 6: addr 36, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 9: addr 69, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 12: addr 69, state 1 -[DEBUG][time= 606] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 606] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 606] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 606] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 606] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[DEBUG][time= 606] Dispatch2: pc 0x0080000044 reads operands from ( 0, 71, 0000000000000005), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 606] Dispatch2: pc 0x0080000048 reads operands from ( 2, 70, 0000000080000f50), ( 3, 0, 0000000000000028), ( 0, 0, 0000000000000000) -[DEBUG][time= 606] Dispatch2: pc 0x008000004c reads operands from ( 4, 71, 0000000000000005), ( 5, 46, 0000000000000005), ( 0, 0, 0000000000000000) -[DEBUG][time= 606] Dispatch2: pc 0x0080000040 reads operands from ( 8, 64, 0000000080000f28), ( 9, 68, 0000000000000007), ( 0, 0, 0000000000000000) -[INFO ][time= 606] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 606] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 606] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 606] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 606] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 606] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 606] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 606] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 606] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 606] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 606] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 606] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 606] Roq: CSR block should only happen in s_idle -[DEBUG][time= 606] Roq: (ready, valid): (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 606] Roq: writebacked 2 insts -[INFO ][time= 606] Roq: writebacked pc 0x0080000044 wen 1 data 0x0000000000000005 ldst 15 pdst 75 skip 0 -[INFO ][time= 606] Roq: writebacked pc 0x0080000048 wen 1 data 0x0000000080000f78 ldst 14 pdst 76 skip 0 -[INFO ][time= 606] Roq: retired pc 0080000030 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 606] Roq: retired 1 insts -[DEBUG][time= 606] Roq: head 0:14 tail 1:14 -[DEBUG][time= 606] Roq: wwwwwwvvvvvvvvwvvvvwwwwwwwvvvvvw -[DEBUG][time= 606] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 606] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 606] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 v -[DEBUG][time= 606] Roq: 0080000048 v 008000004c v 0080000030 w 0080000034 v -[DEBUG][time= 606] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 606] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 606] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 606] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 606] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 9), tail = (0, 14), -[DEBUG][time= 606] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ee8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 606] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 606] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 606] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 606] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 606] LsExeUnit: sbuffer id 3 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 3 ptr 1 valid 1 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 606] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 606] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 606] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 2 stqCommited 3 emptySlot 4 -[DEBUG][time= 606] LsExeUnit: retiringStore now... -[INFO ][time= 606] LsExeUnit: [DMEM STORE REQ] addr 0x80000ee8 wdata 0x0000000000000003 size 3 -[DEBUG][time= 606] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 606] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 606] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 606] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 606] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 606] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 606] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 606] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 606] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 606] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 606] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 606] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 606] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 606] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 606] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 606] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 606] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 606] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 606] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 606] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 606] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 606] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 606] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 606] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 606] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 606] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 606] IssueQueue_1: EnqData: src1:0000000000000005 src2:0000000000000000 src3:0000000000000000 pc:0080000044 roqIdx:0b(for last cycle's Ctrl) -[INFO ][time= 606] IssueQueue_1: Deq:(1 1) [ 71|0000000000000005][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 75 pc:0080000044 roqIdx:0b -[DEBUG][time= 606] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 606] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 75) -[DEBUG][time= 606] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 606] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 606] IssueQueue_1: 1 |1|1| 71|1|0000000000000004| 0|1|0000000000000003| 0|0|0000000000000000| 8 |0080000044|0b -[DEBUG][time= 606] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 8 |008000002c|05 <- -[DEBUG][time= 606] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 606] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 606] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 606] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 606] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 606] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 606] IssueQueue_2: EnqData: src1:0000000080000f50 src2:0000000000000028 src3:0000000000000000 pc:0080000048 roqIdx:0c(for last cycle's Ctrl) -[INFO ][time= 606] IssueQueue_2: Deq:(1 1) [ 70|0000000080000f50][ 0|0000000000000028][ 0|56e780095a4543a2] pdest: 76 pc:0080000048 roqIdx:0c -[DEBUG][time= 606] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 606] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 76) -[DEBUG][time= 606] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 606] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 606] IssueQueue_2: 0 |1|1| 70|1|0000000000000003| 0|1|0000000000000002| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 606] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 606] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 606] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 606] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 606] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 606] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 606] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 606] IssueQueue_3: EnqData: src1:0000000000000005 src2:0000000000000005 src3:0000000000000000 pc:008000004c roqIdx:0d(for last cycle's Ctrl) -[INFO ][time= 606] IssueQueue_3: Deq:(1 1) [ 71|0000000000000005][ 46|0000000000000005][ 0|04ea9d532908f0c8] pdest: 32 pc:008000004c roqIdx:0d -[DEBUG][time= 606] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 606] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 32) -[DEBUG][time= 606] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 606] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 606] IssueQueue_3: 2 |1|1| 71|1|0000000000000003| 46|1|0000000000000003| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 606] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 606] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 606] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 606] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 606] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 606] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 606] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 606] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 606] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 606] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 606] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 606] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 606] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 606] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 606] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 606] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 606] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 606] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 606] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 606] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 606] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 606] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 606] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 606] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 606] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 606] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 606] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 606] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 606] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 606] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 606] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 606] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 606] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 606] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 606] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 606] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 606] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 606] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 606] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 606] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 606] IssueQueue_7: EnqData: src1:0000000080000f28 src2:0000000000000007 src3:0000000000000000 pc:0080000040 roqIdx:3e(for last cycle's Ctrl) -[DEBUG][time= 606] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 606] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 59|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:47 -[DEBUG][time= 606] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 606] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 606] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 606] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 606] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 606] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 606] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 606] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 606] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 606] IssueQueue_7: 5 |1|1| 64|1|0000000080000f00| 68|1|0000000000000003| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 606] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 606] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 606] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 606] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 606] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 606] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 606] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 606] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 606] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 606] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 606] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 606] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 606] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 606] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 606] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 606] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 606] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 606] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v:13 -[DEBUG][time= 606] Brq: ---------------- -[INFO ][time= 606] Brq: exu write back: brTag:f:0 v: 8 pc=008000004c pnpc=0080000050 target=0080000050 -[DEBUG][time= 606] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 606] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 606] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 606] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 606] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 606] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 607] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 607] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 607] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ee8| 0 1) -[DEBUG][time= 607] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 607] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 607] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 607] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 607] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 607] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 607] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 607] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 607] BusyTable_1: 77 is busy -[DEBUG][time= 607] BusyTable_1: 78 is busy -[DEBUG][time= 607] BusyTable_1: 79 is busy -[DEBUG][time= 607] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=00800001bc -[DEBUG][time= 607] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 607] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 607] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 607] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 607] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 607] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 607] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 607] BPUStage3: flushS3=0 -[DEBUG][time= 607] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 607] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 607] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 607] JBTAC: [JBTAC]update_req: fetchPC=0x0080000040, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000011111111, bank=7, row= 27, target=0x0080000050, offset= 6, type=0x0 -[DEBUG][time= 607] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 607] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 607] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 607] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 607] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 607] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 607] Ibuffer: Deque: -[DEBUG][time= 607] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 607] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 607] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 607] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 607] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 607] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 607] BTB: update_req: pc=0x008000004c, hit=1, misPred=0, oldCtr=3, taken=0, target=0x0080000020, btbType=0 -[DEBUG][time= 607] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 6, row= 2, newCtr=2 -[INFO ][time= 607] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 607] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 607] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 607] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 607] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 607] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 607] Dispatch1: pc 0x0080000050 accepted by queue 1 0 0 -[DEBUG][time= 607] Dispatch1: pc 0x0080000050 receives nroq 14 -[DEBUG][time= 607] Dispatch1: v:1 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 607] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 607] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 607] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 607] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 607] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 607] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 607] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 607] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 607] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 607] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 607] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 607] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 607] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 607] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 607] Dispatch2: regfile 0 from 0 -[DEBUG][time= 607] Dispatch2: regfile 1 from 0 -[DEBUG][time= 607] Dispatch2: regfile 2 from 1 -[DEBUG][time= 607] Dispatch2: regfile 3 from 1 -[DEBUG][time= 607] Dispatch2: regfile 4 from 2 -[DEBUG][time= 607] Dispatch2: regfile 5 from 2 -[DEBUG][time= 607] Dispatch2: regfile 6 from 3 -[DEBUG][time= 607] Dispatch2: regfile 7 from 3 -[DEBUG][time= 607] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 6: addr 63, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 9: addr 69, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 12: addr 69, state 1 -[DEBUG][time= 607] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 607] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 607] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 607] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 607] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 607] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 607] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 607] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 607] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 607] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 607] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 607] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 607] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 607] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 607] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 607] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 607] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 607] Roq: CSR block should only happen in s_idle -[DEBUG][time= 607] Roq: (ready, valid): (1, 1) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 607] Roq: dispatched 1 insts -[INFO ][time= 607] Roq: writebacked 1 insts -[INFO ][time= 607] Roq: writebacked pc 0x008000004c wen 0 data 0x00000000000000a0 ldst 0 pdst 32 skip 0 -[DEBUG][time= 607] Roq: head 0:14 tail 1:15 -[DEBUG][time= 607] Roq: wwwwwwvvvvvwwv-vvvvwwwwwwwvvvvvw -[DEBUG][time= 607] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 607] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 607] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 607] Roq: 0080000048 w 008000004c v 0080000030 - 0080000034 v -[DEBUG][time= 607] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 607] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 607] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 607] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 607] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 9), tail = (0, 14), -[DEBUG][time= 607] DispatchQueue: IntDpQ: num_enq = 1, tail = (11 -> 12) -[DEBUG][time= 607] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ee8 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 607] LsExeUnit: forwarding data from stq, addr 0000000080000ee8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 607] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000038 addr 0000000080000ee8 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 607] LsExeUnit: sbuffer id 1 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 607] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 607] LsExeUnit: sbuffer id 3 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 3 ptr 1 valid 1 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 607] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 607] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 607] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 2 stqCommited 4 emptySlot 4 -[DEBUG][time= 607] LsExeUnit: retiringStore now... -[DEBUG][time= 607] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 607] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 607] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 607] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 607] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 607] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 607] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 607] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 607] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 607] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 607] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 607] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 607] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 607] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 607] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 607] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 607] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 607] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 607] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 607] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 607] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 607] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 607] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 607] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 607] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 607] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 607] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 607] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 607] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 607] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 8 |008000002c|05 <- -[DEBUG][time= 607] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b -[DEBUG][time= 607] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 607] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 607] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 607] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 607] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 607] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 607] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 607] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 607] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 607] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 607] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 607] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 607] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 607] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 607] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 607] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 607] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 607] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 607] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 607] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 607] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 607] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 607] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 607] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 607] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 607] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 607] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 607] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 607] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 607] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 607] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 607] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 607] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 607] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 607] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 607] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 607] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 607] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 607] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 607] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 607] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 607] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 607] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 607] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 607] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 607] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 607] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 607] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 607] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 607] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 607] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 607] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 607] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 607] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 607] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 607] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 607] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 607] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 607] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 607] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 607] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 607] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 607] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 59|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:47 -[DEBUG][time= 607] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 607] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 607] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 607] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 607] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 607] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 607] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 607] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 607] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 607] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 607] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 607] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 607] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 607] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 607] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 607] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 607] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 607] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 607] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 607] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 607] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 607] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 607] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 607] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 607] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 607] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 607] Brq: headIdx: 8 commitIdx: 8 -[DEBUG][time= 607] Brq: headPtr:f:0 v: 8 tailPtr:f:0 v:13 -[DEBUG][time= 607] Brq: --------w------- -[INFO ][time= 607] Brq: commit branch to roq, mispred:0 pc=008000004c -[DEBUG][time= 607] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 607] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 607] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 607] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 607] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 607] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 608] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 608] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 608] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ef0| 1 1) tlb (1 0 0080000ef0| 1 1) -[DEBUG][time= 608] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 608] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 608] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 608] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 608] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 608] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 608] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 608] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 608] BusyTable_1: 77 is busy -[DEBUG][time= 608] BusyTable_1: 78 is busy -[DEBUG][time= 608] BusyTable_1: 79 is busy -[DEBUG][time= 608] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=00800001bc -[DEBUG][time= 608] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 608] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 608] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 608] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 608] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 608] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 608] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 608] BPUStage3: flushS3=0 -[DEBUG][time= 608] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 608] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 608] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 608] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 608] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 608] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 608] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 608] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 608] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 608] Ibuffer: Deque: -[DEBUG][time= 608] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 608] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 608] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 608] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 608] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 608] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[INFO ][time= 608] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 608] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 608] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 608] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 608] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 608] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 608] Dispatch1: v:0 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 608] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 608] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 608] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 608] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 608] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 608] Dispatch2: int dp queue 0: 0080000050 type 0011 -[DEBUG][time= 608] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 608] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 608] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 608] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 608] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 608] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 608] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 608] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 608] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 608] Dispatch2: regfile 0 from 0 -[DEBUG][time= 608] Dispatch2: regfile 1 from 0 -[DEBUG][time= 608] Dispatch2: regfile 2 from 1 -[DEBUG][time= 608] Dispatch2: regfile 3 from 1 -[DEBUG][time= 608] Dispatch2: regfile 4 from 2 -[DEBUG][time= 608] Dispatch2: regfile 5 from 2 -[DEBUG][time= 608] Dispatch2: regfile 6 from 3 -[DEBUG][time= 608] Dispatch2: regfile 7 from 3 -[DEBUG][time= 608] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 6: addr 63, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 9: addr 69, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 12: addr 69, state 1 -[DEBUG][time= 608] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 608] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 608] Dispatch2: pc 0x0080000050 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 608] Dispatch2: pc 0x0080000050 leaves Int dispatch queue with nroq 14 -[DEBUG][time= 608] Dispatch2: pc 0x0080000030 waits at Ls dispatch queue with index 0 -[DEBUG][time= 608] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 608] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 608] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[INFO ][time= 608] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 608] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 608] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 608] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 608] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 608] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 608] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 608] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 608] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 608] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 608] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 608] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 608] Roq: CSR block should only happen in s_idle -[DEBUG][time= 608] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 608] Roq: head 0:15 tail 1:15 -[DEBUG][time= 608] Roq: wwwwwwvvvvvwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 608] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 608] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 608] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 608] Roq: 0080000048 w 008000004c w 0080000050 v 0080000034 v -[DEBUG][time= 608] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 608] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 608] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 608] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 608] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 9), tail = (0, 14), -[DEBUG][time= 608] DispatchQueue: IntDpQ: num_deq = 1, head = (11 -> 12) -[DEBUG][time= 608] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 11), tail = (0, 12), -[DEBUG][time= 608] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ef0 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 608] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 608] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 608] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 608] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 608] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 608] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 608] LsExeUnit: stqinfo: stqValid.asUInt 00001011 stqHead 3 stqTail 3 stqCommited 3 emptySlot 2 -[DEBUG][time= 608] LsExeUnit: retiringStore now... -[INFO ][time= 608] LsExeUnit: [DMEM RESP] data 0000000000000004 -[DEBUG][time= 608] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 608] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 608] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 608] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 608] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 608] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 608] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 608] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 608] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 608] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 608] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 608] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 608] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 608] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 608] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 608] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 608] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 608] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 608] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 608] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 608] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 608] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 608] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 608] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 608] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 608] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 608] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 77 oldDest: 72 pc:0080000050 roqIdx:0e -[DEBUG][time= 608] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 608] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 608] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 608] IssueQueue_1: 0 |0|1| 69|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 8 |008000002c|05 <- -[DEBUG][time= 608] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b -[DEBUG][time= 608] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 608] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 608] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 608] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 608] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 608] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 608] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 608] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 608] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 608] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 608] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 608] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 608] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 608] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 608] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 608] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 608] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 608] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 608] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 608] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 608] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 608] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 608] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 608] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 608] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 608] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 608] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 608] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 608] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 608] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 608] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 608] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 608] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 608] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 608] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 608] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 608] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 608] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 608] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 608] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 608] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 608] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 608] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 608] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 608] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 608] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 608] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 608] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 608] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 608] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 608] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 608] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 608] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 608] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 608] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 608] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 608] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 608] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 608] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 608] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 608] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 608] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 608] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 59|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:47 -[DEBUG][time= 608] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 608] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 608] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 608] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 608] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 608] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 608] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 608] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 608] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 608] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 608] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 608] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 608] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 608] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 608] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 608] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 608] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 608] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 608] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 608] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 608] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 608] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 608] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 608] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 608] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 608] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 608] Brq: headIdx: 9 commitIdx: 9 -[DEBUG][time= 608] Brq: headPtr:f:0 v: 9 tailPtr:f:0 v:13 -[DEBUG][time= 608] Brq: ---------------- -[DEBUG][time= 608] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 608] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 608] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 608] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 608] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 608] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 609] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 609] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 609] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f08| 0 1) -[DEBUG][time= 609] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 609] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 609] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 609] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 609] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 609] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 609] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 609] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 609] BusyTable_1: writeback 77 -[DEBUG][time= 609] BusyTable_1: 77 is busy -[DEBUG][time= 609] BusyTable_1: 78 is busy -[DEBUG][time= 609] BusyTable_1: 79 is busy -[DEBUG][time= 609] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=00800001bc -[DEBUG][time= 609] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 609] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 609] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 609] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 609] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 609] WriteBackArbMtoN: out(1) pc(0x0080000050) writebacks 0x0000000000000000 to pdest( 77) ldest(10) -[DEBUG][time= 609] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 609] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 609] BPUStage3: flushS3=0 -[DEBUG][time= 609] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 609] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 609] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 609] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 609] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 609] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 609] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 609] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 609] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 609] Ibuffer: Deque: -[DEBUG][time= 609] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 609] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 609] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 609] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 609] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 609] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 609] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 2 -[DEBUG][time= 609] AluExeUnit: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000050 -[DEBUG][time= 609] AluExeUnit: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[INFO ][time= 609] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 609] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 609] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 609] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 609] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 609] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 609] Dispatch1: v:0 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 609] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 609] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 609] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 609] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 609] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 609] Dispatch2: ls dp queue 0: 0080000030 type 1101 -[DEBUG][time= 609] Dispatch2: ls dp queue 1: 0080000034 type 1101 -[DEBUG][time= 609] Dispatch2: ls dp queue 2: 0080000038 type 1101 -[DEBUG][time= 609] Dispatch2: ls dp queue 3: 008000003c type 1101 -[DEBUG][time= 609] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 609] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 609] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 609] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 609] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 609] Dispatch2: regfile 0 from 3 -[DEBUG][time= 609] Dispatch2: regfile 1 from 3 -[DEBUG][time= 609] Dispatch2: regfile 2 from 0 -[DEBUG][time= 609] Dispatch2: regfile 3 from 0 -[DEBUG][time= 609] Dispatch2: regfile 4 from 1 -[DEBUG][time= 609] Dispatch2: regfile 5 from 1 -[DEBUG][time= 609] Dispatch2: regfile 6 from 2 -[DEBUG][time= 609] Dispatch2: regfile 7 from 2 -[DEBUG][time= 609] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 6: addr 63, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 9: addr 69, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 12: addr 69, state 1 -[DEBUG][time= 609] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 609] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 609] Dispatch2: pc 0x0080000030 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 609] Dispatch2: pc 0x0080000030 leaves Ls dispatch queue with nroq 6 -[DEBUG][time= 609] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 1 -[DEBUG][time= 609] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 2 -[DEBUG][time= 609] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 3 -[DEBUG][time= 609] Dispatch2: pc 0x0080000050 reads operands from ( 0, 0, 0000000000000000), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 609] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 609] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 609] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 609] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 609] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 609] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 609] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 609] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 609] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 609] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 609] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 609] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 609] Roq: CSR block should only happen in s_idle -[DEBUG][time= 609] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 609] Roq: writebacked 2 insts -[INFO ][time= 609] Roq: writebacked pc 0x0080000050 wen 1 data 0x0000000000000000 ldst 10 pdst 77 skip 0 -[INFO ][time= 609] Roq: writebacked pc 0x0080000034 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 609] Roq: head 0:15 tail 1:15 -[DEBUG][time= 609] Roq: wwwwwwvvvvvwwwvvvvvwwwwwwwvvvvvw -[DEBUG][time= 609] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 609] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 609] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 609] Roq: 0080000048 w 008000004c w 0080000050 v 0080000034 v -[DEBUG][time= 609] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 609] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 609] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 609] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 609] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 9 -> 10) -[DEBUG][time= 609] DispatchQueue_2: LsDpQ: valid_entries = 5, head = (0, 9), tail = (0, 14), -[DEBUG][time= 609] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 0 -[DEBUG][time= 609] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 609] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 609] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 609] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 609] LsExeUnit: LSU fire: pc 0080000034 addr 0000000080000f08 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 609] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 609] LsExeUnit: stqinfo: stqValid.asUInt 00001011 stqHead 3 stqTail 3 stqCommited 3 emptySlot 2 -[DEBUG][time= 609] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 609] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 609] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 609] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 609] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 609] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 609] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 609] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 609] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 609] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 609] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 609] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 609] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 609] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 609] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 609] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 609] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 609] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 609] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 609] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 609] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 609] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 609] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 609] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 609] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 609] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 609] IssueQueue_1: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000050 roqIdx:0e(for last cycle's Ctrl) -[INFO ][time= 609] IssueQueue_1: Deq:(1 1) [ 0|0000000000000000][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 77 pc:0080000050 roqIdx:0e -[DEBUG][time= 609] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 609] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 77) -[DEBUG][time= 609] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 609] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 609] IssueQueue_1: 0 |1|1| 0|1|0000000000000004| 0|1|0000000000000004| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 609] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 609] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 609] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 609] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 609] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 609] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 609] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 609] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 609] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 609] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 609] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 609] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 609] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 609] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 609] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 609] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 609] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 609] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 609] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 609] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 609] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 609] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 609] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 609] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 609] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 609] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 609] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 609] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 609] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 609] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 609] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 609] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 609] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 609] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 609] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 609] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 609] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 609] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 609] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 609] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 609] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 609] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 609] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 609] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 609] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 609] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 609] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 609] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 609] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 609] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 609] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 609] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 609] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 609] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 609] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 609] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 609] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 609] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 609] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 609] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 609] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 609] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 609] IssueQueue_7: EnqCtrl(1 1) enqSelIq:6 Psrc/Rdy( 70:1 69:1 0:0) Dest: 32 oldDest: 0 pc:0080000030 roqIdx:06 -[INFO ][time= 609] IssueQueue_7: Deq:(1 1) [ 58|0000000080000f00][ 59|0000000000000003][ 0|0000000000000000] pdest: 32 pc:0080000034 roqIdx:2f -[DEBUG][time= 609] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 609] IssueQueue_7: FireStage:Out(1 1) src1( 58|0000000080000f00) src2( 59|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:47 -[DEBUG][time= 609] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 609] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 609] IssueQueue_7: 6 |1|1| 58|1|0000000080000f00| 60|1|0000000000000004| 0|0|0000000000000000| 6 |0080000038|30 -[DEBUG][time= 609] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 609] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 609] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 609] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 609] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 609] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 609] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 609] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 609] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 609] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 609] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 609] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 609] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 609] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 609] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 609] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 609] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 609] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 609] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 609] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 609] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 609] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 609] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 609] Brq: headIdx: 9 commitIdx: 9 -[DEBUG][time= 609] Brq: headPtr:f:0 v: 9 tailPtr:f:0 v:13 -[DEBUG][time= 609] Brq: ---------------- -[DEBUG][time= 609] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 609] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 609] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 609] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 609] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 609] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 610] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 610] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 610] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ef0| 0 1) tlb (1 1 0080000ef0| 0 1) -[DEBUG][time= 610] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 610] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 610] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 610] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 610] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 610] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 610] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 610] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 610] BusyTable_1: 78 is busy -[DEBUG][time= 610] BusyTable_1: 79 is busy -[DEBUG][time= 610] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=00800001bc -[DEBUG][time= 610] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 610] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 610] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 610] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 610] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 610] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 610] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 610] BPUStage3: flushS3=0 -[DEBUG][time= 610] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 610] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 610] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 610] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 610] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 610] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 610] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 610] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 610] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 610] Ibuffer: Deque: -[DEBUG][time= 610] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 610] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 610] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 610] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 610] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 610] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[INFO ][time= 610] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 610] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 610] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 610] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 610] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 610] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 610] Dispatch1: v:0 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 610] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 610] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 610] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 610] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 610] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 610] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 610] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 610] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 610] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 610] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 610] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 610] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 610] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 610] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 610] Dispatch2: regfile 0 from 0 -[DEBUG][time= 610] Dispatch2: regfile 1 from 0 -[DEBUG][time= 610] Dispatch2: regfile 2 from 1 -[DEBUG][time= 610] Dispatch2: regfile 3 from 1 -[DEBUG][time= 610] Dispatch2: regfile 4 from 2 -[DEBUG][time= 610] Dispatch2: regfile 5 from 2 -[DEBUG][time= 610] Dispatch2: regfile 6 from 3 -[DEBUG][time= 610] Dispatch2: regfile 7 from 3 -[DEBUG][time= 610] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 6: addr 63, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 9: addr 71, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 12: addr 71, state 1 -[DEBUG][time= 610] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 610] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 0 -[DEBUG][time= 610] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 610] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 610] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[DEBUG][time= 610] Dispatch2: pc 0x0080000030 reads operands from ( 8, 70, 0000000080000f50), ( 9, 69, 0000000000000004), ( 0, 0, 0000000000000000) -[INFO ][time= 610] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 610] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 610] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 610] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 610] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 610] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 610] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 610] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 610] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 610] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 610] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 610] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 610] Roq: CSR block should only happen in s_idle -[DEBUG][time= 610] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 610] Roq: retired pc 0080000034 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 610] Roq: retired 1 insts -[DEBUG][time= 610] Roq: head 0:15 tail 1:15 -[DEBUG][time= 610] Roq: wwwwwwvvvvvwwwwwvvvwwwwwwwvvvvvw -[DEBUG][time= 610] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 610] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 610] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 610] Roq: 0080000048 w 008000004c w 0080000050 w 0080000034 w -[DEBUG][time= 610] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 610] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 610] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 610] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 610] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 10), tail = (0, 14), -[DEBUG][time= 610] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ef0 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 610] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 610] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 610] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 610] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 610] LsExeUnit: sbuffer id 3 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 3 ptr 2 valid 1 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 610] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 610] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 610] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 3 stqCommited 3 emptySlot 4 -[DEBUG][time= 610] LsExeUnit: retiringStore now... -[INFO ][time= 610] LsExeUnit: [DMEM STORE REQ] addr 0x80000ef0 wdata 0x0000000000000004 size 3 -[DEBUG][time= 610] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 610] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 610] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 610] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 610] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 610] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 610] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 610] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 610] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 610] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 610] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 610] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 610] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 610] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 610] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 610] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 610] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 610] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 610] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 610] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 610] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 610] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 610] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 610] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 610] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 610] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 610] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 610] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 610] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 610] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 610] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 610] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 610] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 610] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 610] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 610] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 610] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 610] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 610] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 610] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 610] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 610] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 610] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 610] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 610] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 610] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 610] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 610] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 610] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 610] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 610] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 610] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 610] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 610] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 610] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 610] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 610] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 610] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 610] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 610] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 610] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 610] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 610] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 610] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 610] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 610] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 610] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 610] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 610] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 610] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 610] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 610] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 610] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 610] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 610] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 610] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 610] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 610] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 610] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 610] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 610] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 610] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 610] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 610] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 610] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 610] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 610] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 610] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 610] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 610] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 610] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 610] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 610] IssueQueue_7: EnqData: src1:0000000080000f50 src2:0000000000000004 src3:0000000000000000 pc:0080000030 roqIdx:06(for last cycle's Ctrl) -[DEBUG][time= 610] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 610] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 60|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:48 -[DEBUG][time= 610] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 610] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 610] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 610] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 610] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 610] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 610] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 610] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 610] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 610] IssueQueue_7: 6 |1|1| 70|1|0000000080000f00| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 610] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 610] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 610] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 610] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 610] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 610] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 610] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 610] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 610] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 610] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 610] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 610] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 610] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 610] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 610] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 610] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 610] Brq: headIdx: 9 commitIdx: 9 -[DEBUG][time= 610] Brq: headPtr:f:0 v: 9 tailPtr:f:0 v:13 -[DEBUG][time= 610] Brq: ---------------- -[DEBUG][time= 610] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 610] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 610] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 610] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 610] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 610] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 611] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 611] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 611] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ef0| 0 1) -[DEBUG][time= 611] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 611] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 611] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 611] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 611] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 611] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 611] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 611] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 611] BusyTable_1: 78 is busy -[DEBUG][time= 611] BusyTable_1: 79 is busy -[DEBUG][time= 611] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=00800001bc -[DEBUG][time= 611] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 611] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 611] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 611] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 611] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 611] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 611] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 611] BPUStage3: flushS3=0 -[DEBUG][time= 611] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 611] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 611] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 611] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 611] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 611] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 611] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 611] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 611] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 611] Ibuffer: Deque: -[DEBUG][time= 611] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 611] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 611] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 611] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 611] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 611] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[INFO ][time= 611] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 611] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 611] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 611] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 611] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 611] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 611] Dispatch1: pc 0x0080000054 accepted by queue 1 0 0 -[DEBUG][time= 611] Dispatch1: v:0 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 611] Dispatch1: pc 0x0080000054 receives nroq 15 -[DEBUG][time= 611] Dispatch1: v:1 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 611] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 611] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 611] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 611] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 611] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 611] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 611] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 611] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 611] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 611] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 611] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 611] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 611] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 611] Dispatch2: regfile 0 from 0 -[DEBUG][time= 611] Dispatch2: regfile 1 from 0 -[DEBUG][time= 611] Dispatch2: regfile 2 from 1 -[DEBUG][time= 611] Dispatch2: regfile 3 from 1 -[DEBUG][time= 611] Dispatch2: regfile 4 from 2 -[DEBUG][time= 611] Dispatch2: regfile 5 from 2 -[DEBUG][time= 611] Dispatch2: regfile 6 from 3 -[DEBUG][time= 611] Dispatch2: regfile 7 from 3 -[DEBUG][time= 611] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 6: addr 63, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 9: addr 71, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 12: addr 71, state 1 -[DEBUG][time= 611] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 611] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 0 -[DEBUG][time= 611] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 611] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 611] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[INFO ][time= 611] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 611] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 611] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 611] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 611] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 611] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 611] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 611] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 611] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 611] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 611] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 611] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 611] Roq: CSR block should only happen in s_idle -[DEBUG][time= 611] Roq: (ready, valid): (1, 0) (1, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 611] Roq: dispatched 1 insts -[DEBUG][time= 611] Roq: head 0:15 tail 1:16 -[DEBUG][time= 611] Roq: wwwwwwvvvvvwwww-vvvwwwwwwwvvvvvw -[DEBUG][time= 611] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 611] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 611] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 611] Roq: 0080000048 w 008000004c w 0080000050 w 0080000034 - -[DEBUG][time= 611] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 611] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 611] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 611] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 611] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 10), tail = (0, 14), -[DEBUG][time= 611] DispatchQueue: IntDpQ: num_enq = 1, tail = (12 -> 13) -[DEBUG][time= 611] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ef0 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 611] LsExeUnit: forwarding data from stq, addr 0000000080000ef0 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 611] LsExeUnit: sbuffer id 0 ptr 3 pc 008000003c addr 0000000080000ef0 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 611] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 611] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 611] LsExeUnit: sbuffer id 3 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 3 ptr 2 valid 1 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 611] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 611] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 611] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 3 stqCommited 4 emptySlot 4 -[DEBUG][time= 611] LsExeUnit: retiringStore now... -[DEBUG][time= 611] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 611] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 611] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 611] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 611] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 611] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 611] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 611] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 611] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 611] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 611] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 611] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 611] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 611] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 611] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 611] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 611] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 611] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 611] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 611] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 611] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 611] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 611] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 611] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 611] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 611] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 611] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 611] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 611] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 611] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 611] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 611] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 611] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 611] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 611] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 611] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 611] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 611] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 611] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 611] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 611] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 611] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 611] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 611] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 611] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 611] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 611] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 611] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 611] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 611] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 611] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 611] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 611] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 611] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 611] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 611] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 611] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 611] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 611] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 611] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 611] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 611] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 611] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 611] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 611] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 611] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 611] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 611] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 611] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 611] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 611] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 611] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 611] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 611] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 611] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 611] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 611] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 611] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 611] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 611] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 611] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 611] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 611] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 611] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 611] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 611] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 611] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 611] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 611] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 611] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 611] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 611] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 611] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 611] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 60|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:48 -[DEBUG][time= 611] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 611] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 611] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 611] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 611] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 611] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 611] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 611] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 611] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 611] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 611] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 611] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 611] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 611] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 611] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 611] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 611] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 611] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 611] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 611] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 611] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 611] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 611] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 611] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 611] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 611] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 611] Brq: headIdx: 9 commitIdx: 9 -[DEBUG][time= 611] Brq: headPtr:f:0 v: 9 tailPtr:f:0 v:13 -[DEBUG][time= 611] Brq: ---------------- -[DEBUG][time= 611] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 611] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 611] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 611] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 611] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 611] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 612] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 612] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 612] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000ef8| 1 1) tlb (1 0 0080000ef8| 1 1) -[DEBUG][time= 612] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 612] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 612] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 612] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 612] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 612] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 612] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 612] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 612] BusyTable_1: 78 is busy -[DEBUG][time= 612] BusyTable_1: 79 is busy -[DEBUG][time= 612] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=00800001bc -[DEBUG][time= 612] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 612] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 612] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 612] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 612] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 612] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 612] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 612] BPUStage3: flushS3=0 -[DEBUG][time= 612] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 612] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 612] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 612] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 612] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 612] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 612] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 612] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 612] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 612] Ibuffer: Deque: -[DEBUG][time= 612] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 612] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 612] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 612] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 612] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 612] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[INFO ][time= 612] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 612] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 612] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 612] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 612] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 612] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 612] Dispatch1: v:0 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 612] Dispatch1: v:0 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 612] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 612] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 612] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 612] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 612] Dispatch2: int dp queue 0: 0080000054 type 0000 -[DEBUG][time= 612] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 612] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 612] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 612] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 612] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 612] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 612] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 612] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 612] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 612] Dispatch2: regfile 0 from 1 -[DEBUG][time= 612] Dispatch2: regfile 1 from 1 -[DEBUG][time= 612] Dispatch2: regfile 2 from 2 -[DEBUG][time= 612] Dispatch2: regfile 3 from 2 -[DEBUG][time= 612] Dispatch2: regfile 4 from 3 -[DEBUG][time= 612] Dispatch2: regfile 5 from 3 -[DEBUG][time= 612] Dispatch2: regfile 6 from 0 -[DEBUG][time= 612] Dispatch2: regfile 7 from 0 -[DEBUG][time= 612] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 6: addr 42, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 9: addr 71, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 12: addr 71, state 1 -[DEBUG][time= 612] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 612] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 612] Dispatch2: pc 0x0080000054 with type 0000 srcState(1 1 0) enters reservation station 0 from 0 -[INFO ][time= 612] Dispatch2: pc 0x0080000054 leaves Int dispatch queue with nroq 15 -[DEBUG][time= 612] Dispatch2: pc 0x0080000034 waits at Ls dispatch queue with index 0 -[DEBUG][time= 612] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 612] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 612] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[INFO ][time= 612] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 612] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 612] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 612] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 612] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 612] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 612] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 612] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 612] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 612] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 612] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 612] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 612] Roq: CSR block should only happen in s_idle -[DEBUG][time= 612] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 612] Roq: head 0:16 tail 1:16 -[DEBUG][time= 612] Roq: wwwwwwvvvvvwwwwvvvvwwwwwwwvvvvvw -[DEBUG][time= 612] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 612] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 612] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 612] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 v -[DEBUG][time= 612] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 612] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 612] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 612] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 612] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 10), tail = (0, 14), -[DEBUG][time= 612] DispatchQueue: IntDpQ: num_deq = 1, head = (12 -> 13) -[DEBUG][time= 612] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 12), tail = (0, 13), -[DEBUG][time= 612] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000ef8 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 612] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 612] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 612] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 612] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 612] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 612] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 612] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 612] LsExeUnit: retiringStore now... -[INFO ][time= 612] LsExeUnit: [DMEM RESP] data 0000000000000005 -[DEBUG][time= 612] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 612] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 612] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 612] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 612] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 612] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 612] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 612] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 612] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 612] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 612] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 612] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 612] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 612] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 612] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[INFO ][time= 612] IssueQueue: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 42:1 0:1 0:0) Dest: 0 oldDest: 0 pc:0080000054 roqIdx:0f -[DEBUG][time= 612] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 612] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 612] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 612] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 6 |0080000054|2b <- -[DEBUG][time= 612] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 -[DEBUG][time= 612] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 612] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 612] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 612] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 612] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 612] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 612] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 612] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 612] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 612] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 612] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 612] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 612] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 612] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 612] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 612] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 612] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 612] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 612] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 612] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 612] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 612] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 612] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 612] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 612] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 612] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 612] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 612] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 612] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 612] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 612] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 612] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 612] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 612] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 612] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 612] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 612] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 612] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 612] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 612] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 612] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 612] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 612] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 612] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 612] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 612] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 612] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 612] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 612] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 612] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 612] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 612] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 612] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 612] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 612] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 612] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 612] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 612] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 612] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 612] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 612] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 612] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 612] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 612] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 612] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 612] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 612] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 612] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 612] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 612] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 612] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 612] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 612] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 612] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 60|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:48 -[DEBUG][time= 612] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 612] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 612] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 612] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 612] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 612] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 612] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 612] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 612] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 612] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 612] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 612] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 612] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 612] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 612] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 612] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 612] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 612] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 612] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 612] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 612] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 612] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 612] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 612] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 612] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 612] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 612] Brq: headIdx: 9 commitIdx: 9 -[DEBUG][time= 612] Brq: headPtr:f:0 v: 9 tailPtr:f:0 v:13 -[DEBUG][time= 612] Brq: ---------------- -[DEBUG][time= 612] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 612] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 612] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 612] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 612] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 612] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 613] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 613] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 613] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f10| 0 1) -[DEBUG][time= 613] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 613] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 613] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 613] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 613] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 613] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 613] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 613] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 613] BusyTable_1: 78 is busy -[DEBUG][time= 613] BusyTable_1: 79 is busy -[DEBUG][time= 613] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=00800001bc -[DEBUG][time= 613] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 613] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 613] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 613] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 613] DispatchGen: priority: data(3) = 0, priority = 3 -[INFO ][time= 613] WriteBackArbMtoN: out(0) pc(0x0080000054) writebacks 0x0000000080000058 to pdest( 0) ldest( 0) -[DEBUG][time= 613] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000001111111101 -[DEBUG][time= 613] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 613] BPUStage3: flushS3=0 -[DEBUG][time= 613] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 613] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 613] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 613] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 613] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 613] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 613] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 613] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 613] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 613] Ibuffer: Deque: -[DEBUG][time= 613] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 613] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 613] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 613] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 613] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 613] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=0 -[DEBUG][time= 613] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:2 -[DEBUG][time= 613] Jump: src1:0000000080000098 offset:0000000000000000 func:0011100 type:JUMP pc:0000000080000054 res:0000000080000058 -[INFO ][time= 613] Rename: pc:0080000068 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 80 old_pdest: 77 out v:0 r:0 -[INFO ][time= 613] Rename: pc:008000006c in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 613] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 613] Rename: pc:0080000074 in v:0 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:0 -[INFO ][time= 613] Rename: pc:0080000078 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:0 -[INFO ][time= 613] Rename: pc:008000007c in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 613] Dispatch1: v:0 r:0 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 613] Dispatch1: v:0 r:0 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 613] Dispatch1: v:1 r:0 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 613] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 613] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 613] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 613] Dispatch2: ls dp queue 0: 0080000034 type 1101 -[DEBUG][time= 613] Dispatch2: ls dp queue 1: 0080000038 type 1101 -[DEBUG][time= 613] Dispatch2: ls dp queue 2: 008000003c type 1101 -[DEBUG][time= 613] Dispatch2: ls dp queue 3: 0080000040 type 1101 -[DEBUG][time= 613] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 613] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 613] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 613] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 613] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 613] Dispatch2: regfile 0 from 0 -[DEBUG][time= 613] Dispatch2: regfile 1 from 0 -[DEBUG][time= 613] Dispatch2: regfile 2 from 1 -[DEBUG][time= 613] Dispatch2: regfile 3 from 1 -[DEBUG][time= 613] Dispatch2: regfile 4 from 2 -[DEBUG][time= 613] Dispatch2: regfile 5 from 2 -[DEBUG][time= 613] Dispatch2: regfile 6 from 3 -[DEBUG][time= 613] Dispatch2: regfile 7 from 3 -[DEBUG][time= 613] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 9: addr 71, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 12: addr 71, state 1 -[DEBUG][time= 613] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 613] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 613] Dispatch2: pc 0x0080000034 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 613] Dispatch2: pc 0x0080000034 leaves Ls dispatch queue with nroq 7 -[DEBUG][time= 613] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 1 -[DEBUG][time= 613] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 2 -[DEBUG][time= 613] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 3 -[DEBUG][time= 613] Dispatch2: pc 0x0080000054 reads operands from ( 6, 42, 0000000080000098), ( 7, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 613] DecodeBuffer: in v:1 r:0 pc=0080000080 -[INFO ][time= 613] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 613] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 613] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 613] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 613] DecodeBuffer: in v:0 r:0 pc=0080000080 -[INFO ][time= 613] DecodeBuffer: out v:1 r:0 pc=0080000068 -[INFO ][time= 613] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 613] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 613] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 613] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 613] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 613] Roq: CSR block should only happen in s_idle -[DEBUG][time= 613] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 613] Roq: writebacked 1 insts -[INFO ][time= 613] Roq: writebacked pc 0x0080000038 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 613] Roq: head 0:16 tail 1:16 -[DEBUG][time= 613] Roq: wwwwwwvvvvvwwwwvvvvwwwwwwwvvvvvw -[DEBUG][time= 613] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 613] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 613] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 613] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 v -[DEBUG][time= 613] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 613] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 613] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 613] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 613] DispatchQueue_2: LsDpQ: num_deq = 1, head = (10 -> 11) -[DEBUG][time= 613] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (0, 10), tail = (0, 14), -[DEBUG][time= 613] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f10 size 3 data 0000000000000004 mask 00ff cmd 0 -[DEBUG][time= 613] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 613] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 613] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 613] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 613] LsExeUnit: LSU fire: pc 0080000038 addr 0000000080000f10 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 613] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 613] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 613] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 613] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 613] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 613] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 613] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 613] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 613] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 613] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 613] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 613] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 613] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 613] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 613] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 613] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 613] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[INFO ][time= 613] IssueQueue: EnqData: src1:0000000080000098 src2:0000000000000000 src3:0000000000000000 pc:0080000054 roqIdx:0f(for last cycle's Ctrl) -[INFO ][time= 613] IssueQueue: Deq:(1 1) [ 42|0000000080000098][ 0|0000000000000000][ 0|26f7d2634708bafb] pdest: 0 pc:0080000054 roqIdx:0f -[DEBUG][time= 613] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 613] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 613] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 613] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 613] IssueQueue: 1 |1|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 613] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 613] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 613] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 613] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 613] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 613] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 613] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 613] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 613] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 613] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 613] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 613] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 613] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 613] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 613] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 613] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 613] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 613] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 613] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 613] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 613] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 613] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 613] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 613] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 613] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 613] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 613] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 613] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 613] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 613] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 613] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 613] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 613] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 613] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 613] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 613] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 613] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 613] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 613] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 613] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 613] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 613] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 613] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 613] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 613] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 613] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 613] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 613] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 613] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 613] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 613] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 613] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 613] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 613] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 613] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 613] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 613] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 613] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 613] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 613] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 613] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 613] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 613] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 613] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 613] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 613] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 613] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 613] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 613] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 613] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 613] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 613] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 613] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 613] IssueQueue_7: EnqCtrl(1 1) enqSelIq:7 Psrc/Rdy( 70:1 71:1 0:0) Dest: 32 oldDest: 0 pc:0080000034 roqIdx:07 -[INFO ][time= 613] IssueQueue_7: Deq:(1 1) [ 58|0000000080000f00][ 60|0000000000000004][ 0|0000000000000000] pdest: 32 pc:0080000038 roqIdx:30 -[DEBUG][time= 613] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 613] IssueQueue_7: FireStage:Out(1 1) src1( 58|0000000080000f00) src2( 60|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:48 -[DEBUG][time= 613] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 613] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 613] IssueQueue_7: 7 |1|1| 58|1|0000000080000f00| 61|1|0000000000000005| 0|0|0000000000000000| 6 |008000003c|31 -[DEBUG][time= 613] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 613] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 613] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 613] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 613] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 613] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 613] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 613] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 613] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 613] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 613] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 613] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 613] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 613] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 613] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 613] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 613] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 613] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 613] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 613] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 613] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 613] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 613] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 613] Brq: headIdx: 9 commitIdx: 9 -[DEBUG][time= 613] Brq: headPtr:f:0 v: 9 tailPtr:f:0 v:13 -[DEBUG][time= 613] Brq: ---------------- -[INFO ][time= 613] Brq: exu write back: brTag:f:0 v: 9 pc=0080000054 pnpc=0080000058 target=0080000098 -[DEBUG][time= 613] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 613] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 613] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 613] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 613] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 613] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 614] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 614] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 614] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000ef8| 0 1) tlb (1 1 0080000ef8| 0 1) -[DEBUG][time= 614] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 614] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 614] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 614] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 614] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 614] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 614] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 614] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 614] BusyTable_1: 78 is busy -[DEBUG][time= 614] BusyTable_1: 79 is busy -[DEBUG][time= 614] BPUStage2: in:(0 0) pc=00800001bc out:(0 0) pc=00800001bc -[DEBUG][time= 614] BPUStage2: validLatch=1 pc=00800001bc -[DEBUG][time= 614] BPUStage2: flush!!! -[DEBUG][time= 614] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 614] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 614] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 614] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 614] BPUStage1: in:(0 1) pc=0080000098 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 614] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 614] BPUStage1: flush from backend: pc=0080000054 tgt=0080000098 brTgt=0080000098 btbType=11 taken=1 oldHist=0000000000000000000000000000000000000000000000000000000011111111 fetchIdx=5 isExcpt=0 -[DEBUG][time= 614] BPUStage3: flushS3=0 -[DEBUG][time= 614] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 614] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 614] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 614] JBTAC: [JBTAC]update_req: fetchPC=0x0080000040, writeValid=0, hist=0000000000000000000000000000000000000000000000000000000011111111, bank=7, row= 27, target=0x0080000098, offset=10, type=0x3 -[INFO ][time= 614] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 614] BTB: update_req: pc=0x0080000054, hit=1, misPred=1, oldCtr=0, taken=1, target=0x0080000098, btbType=3 -[DEBUG][time= 614] BTB: update: noNeedToUpdate=1, writeValid=0, bank=10, row= 2, newCtr=1 -[DEBUG][time= 614] MulExeUnit: redirect: f:0 v: 9 -[DEBUG][time= 614] MulExeUnit_1: redirect: f:0 v: 9 -[INFO ][time= 614] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 614] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 614] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 614] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 614] Rename: pc:0080000078 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:1 -[INFO ][time= 614] Rename: pc:008000007c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 614] Dispatch1: pc=0080000050 brTag:f:0 v: 9 -[DEBUG][time= 614] Dispatch1: pc=0080000054 brTag:f:0 v: 9 -[DEBUG][time= 614] Dispatch1: pc=0080000058 brTag:f:0 v: 9 -[DEBUG][time= 614] Dispatch1: pc=008000005c brTag:f:0 v: 9 -[DEBUG][time= 614] Dispatch1: pc=0080000060 brTag:f:0 v: 9 -[DEBUG][time= 614] Dispatch1: pc=0080000064 brTag:f:0 v: 9 -[INFO ][time= 614] Dispatch1: pc 0x0080000058 with brTag 9 cancelled -[INFO ][time= 614] Dispatch1: pc 0x008000005c with brTag 9 cancelled -[INFO ][time= 614] Dispatch1: pc 0x0080000060 with brTag 9 cancelled -[INFO ][time= 614] Dispatch1: pc 0x0080000064 with brTag 9 cancelled -[DEBUG][time= 614] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 614] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 614] Dispatch1: v:1 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 614] Dispatch1: v:1 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 614] Dispatch1: v:1 r:1 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 614] Dispatch1: v:1 r:1 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 614] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 614] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 614] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 614] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 614] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 614] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 614] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 614] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 614] Dispatch2: regfile 0 from 0 -[DEBUG][time= 614] Dispatch2: regfile 1 from 0 -[DEBUG][time= 614] Dispatch2: regfile 2 from 1 -[DEBUG][time= 614] Dispatch2: regfile 3 from 1 -[DEBUG][time= 614] Dispatch2: regfile 4 from 2 -[DEBUG][time= 614] Dispatch2: regfile 5 from 2 -[DEBUG][time= 614] Dispatch2: regfile 6 from 3 -[DEBUG][time= 614] Dispatch2: regfile 7 from 3 -[DEBUG][time= 614] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 9: addr 72, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 12: addr 72, state 1 -[DEBUG][time= 614] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 614] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 0 -[DEBUG][time= 614] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 614] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[DEBUG][time= 614] Dispatch2: pc 0x0080000034 reads operands from ( 8, 70, 0000000080000f50), ( 9, 71, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 614] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 614] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 614] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 614] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 614] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 614] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 614] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 614] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 614] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 614] DecodeBuffer: out v:0 r:1 pc=0080000074 -[INFO ][time= 614] DecodeBuffer: out v:0 r:1 pc=0080000078 -[INFO ][time= 614] DecodeBuffer: out v:0 r:1 pc=008000007c -[ERROR][time= 614] Roq: CSR block should only happen in s_idle -[DEBUG][time= 614] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 614] Roq: writebacked 1 insts -[INFO ][time= 614] Roq: writebacked pc 0x0080000054 wen 1 data 0x0000000080000058 ldst 0 pdst 0 skip 0 -[INFO ][time= 614] Roq: retired pc 0080000038 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 614] Roq: retired 1 insts -[DEBUG][time= 614] Roq: roq full, switched to s_extrawalk. needExtraSpaceForMPR: 111100 -[DEBUG][time= 614] Roq: head 0:16 tail 1:16 -[DEBUG][time= 614] Roq: wwwwwwvvvvvwwwwvwvvwwwwwwwvvvvvw -[DEBUG][time= 614] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 614] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 614] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 614] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 v -[DEBUG][time= 614] Roq: 0080000038 w 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 614] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 614] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 614] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[INFO ][time= 614] DispatchQueue_1: FpDpQ: valid entry(5)(pc = 712aa3d907) cancelled with brTag 9 -[INFO ][time= 614] DispatchQueue_1: FpDpQ: valid entry(14)(pc = 75609e2bae) cancelled with brTag 9 -[DEBUG][time= 614] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 11), tail = (0, 14), -[DEBUG][time= 614] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000ef8 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 614] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 614] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 614] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 614] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 614] LsExeUnit: sbuffer id 3 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 3 ptr 3 valid 1 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 614] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 614] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 614] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 0 stqCommited 3 emptySlot 4 -[DEBUG][time= 614] LsExeUnit: retiringStore now... -[INFO ][time= 614] LsExeUnit: [DMEM STORE REQ] addr 0x80000ef8 wdata 0x0000000000000005 size 3 -[DEBUG][time= 614] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 614] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 614] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 614] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 614] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 614] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 614] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 614] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000098 || if1_pcUpdate:1 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 614] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 614] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 614] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 614] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 614] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 614] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 614] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 614] IFU: [IFU-REDIRECT] target:0x0080000098 -[INFO ][time= 614] IssueQueue: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 614] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 614] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 614] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 614] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 614] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 614] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 614] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 614] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 614] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 614] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 614] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 614] IssueQueue_1: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 614] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 614] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 614] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 614] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 614] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 614] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 614] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 614] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 614] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 614] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 614] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 614] IssueQueue_2: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 614] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 614] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 614] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 614] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 614] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 614] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 614] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 614] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 614] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 614] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 614] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 614] IssueQueue_3: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:11111000 redIdHitVec:11111000 enqHit:0 selIsRed:0 -[DEBUG][time= 614] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 614] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 614] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 614] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 614] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 614] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 614] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 614] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 614] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 614] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 614] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 614] IssueQueue_4: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 614] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 614] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 614] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 614] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 614] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 614] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 614] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 614] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 614] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 614] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 614] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 614] IssueQueue_5: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 614] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 614] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 614] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 614] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 614] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 614] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 614] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 614] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 614] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 614] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 614] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 614] IssueQueue_6: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:11111111 redIdHitVec:11111111 enqHit:0 selIsRed:0 -[DEBUG][time= 614] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 614] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 614] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 614] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 614] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 614] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 614] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 614] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 614] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 614] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 614] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 614] IssueQueue_7: Redirect: valid:1 isExp:0 brTag: 9 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[INFO ][time= 614] IssueQueue_7: EnqData: src1:0000000080000f50 src2:0000000000000005 src3:0000000000000000 pc:0080000034 roqIdx:07(for last cycle's Ctrl) -[DEBUG][time= 614] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 614] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 61|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:49 -[DEBUG][time= 614] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 614] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 614] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 614] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 614] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 614] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 614] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 614] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 614] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 614] IssueQueue_7: 7 |1|1| 70|1|0000000080000f00| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 614] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 614] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 614] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 614] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 614] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 614] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 614] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 614] FreeList: redirect: brqIdx= 9 -[DEBUG][time= 614] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 614] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 614] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 614] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 614] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 614] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 614] FreeList_1: head:0: 48 tail:1: 31 -[DEBUG][time= 614] FreeList_1: redirect: brqIdx= 9 -[DEBUG][time= 614] Brq: headIdx: 9 commitIdx: 9 -[DEBUG][time= 614] Brq: headPtr:f:0 v: 9 tailPtr:f:0 v:13 -[DEBUG][time= 614] Brq: ---------w------ -[INFO ][time= 614] Brq: commit branch to roq, mispred:1 pc=0080000054 -[INFO ][time= 614] Brq: brq redirect, target:0080000098 -[DEBUG][time= 615] Tage: req: pc=0x00800000b8, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 615] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 615] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 615] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000ef8| 0 1) -[DEBUG][time= 615] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 615] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 615] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 615] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 615] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 615] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 615] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 615] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 615] BusyTable_1: writeback 79 -[DEBUG][time= 615] BusyTable_1: writeback 78 -[DEBUG][time= 615] BusyTable_1: 78 is busy -[DEBUG][time= 615] BusyTable_1: 79 is busy -[DEBUG][time= 615] BPUStage2: in:(0 1) pc=00800001bc out:(0 1) pc=00800001bc -[DEBUG][time= 615] BPUStage2: validLatch=0 pc=00800001bc -[DEBUG][time= 615] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 615] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 615] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 615] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 615] BPUStage1: in:(1 1) pc=00800000b8 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 615] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 615] BPUStage3: flushS3=1 -[DEBUG][time= 615] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 615] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 615] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 615] JBTAC: read: pc=0x00800000b8, histXORAddr=0x0080000346, bank=3, row= 52, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 615] BTB: read: pc=0x00800000b8, baseBank=12, realMask=1111111111111111 -[INFO ][time= 615] Rename: int walk: pc:0080000064 ldst:11 old_pdest: 73 -[INFO ][time= 615] Rename: int walk: pc:008000005c ldst: 2 old_pdest: 36 -[DEBUG][time= 615] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 615] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 615] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 615] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 615] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 615] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 615] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 615] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 615] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 615] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 615] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 615] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 615] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 615] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 615] Dispatch2: regfile 0 from 0 -[DEBUG][time= 615] Dispatch2: regfile 1 from 0 -[DEBUG][time= 615] Dispatch2: regfile 2 from 1 -[DEBUG][time= 615] Dispatch2: regfile 3 from 1 -[DEBUG][time= 615] Dispatch2: regfile 4 from 2 -[DEBUG][time= 615] Dispatch2: regfile 5 from 2 -[DEBUG][time= 615] Dispatch2: regfile 6 from 3 -[DEBUG][time= 615] Dispatch2: regfile 7 from 3 -[DEBUG][time= 615] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 9: addr 72, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 12: addr 72, state 1 -[DEBUG][time= 615] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 615] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 0 -[DEBUG][time= 615] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 615] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[INFO ][time= 615] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 615] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 615] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 615] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 615] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 615] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 615] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 615] DecodeBuffer: out v:0 r:0 pc=008000006c -[INFO ][time= 615] DecodeBuffer: out v:0 r:0 pc=0080000070 -[INFO ][time= 615] DecodeBuffer: out v:0 r:0 pc=0080000074 -[INFO ][time= 615] DecodeBuffer: out v:0 r:0 pc=0080000078 -[INFO ][time= 615] DecodeBuffer: out v:0 r:0 pc=008000007c -[ERROR][time= 615] Roq: CSR block should only happen in s_idle -[DEBUG][time= 615] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 615] Roq: use extra space walked pc 0080000064 wen 1 ldst 11 -[INFO ][time= 615] Roq: use extra space walked pc 0080000060 wen 0 ldst 0 -[INFO ][time= 615] Roq: use extra space walked pc 008000005c wen 1 ldst 2 -[INFO ][time= 615] Roq: use extra space walked pc 0080000058 wen 1 ldst 0 -[DEBUG][time= 615] Roq: head 0:16 tail 1:17 -[DEBUG][time= 615] Roq: wwwwwwvvvvvwwwww-vvwwwwwwwvvvvvw -[DEBUG][time= 615] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 615] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 615] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 615] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 615] Roq: 0080000038 - 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 615] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 615] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 615] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 615] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 11), tail = (0, 14), -[DEBUG][time= 615] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000ef8 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 615] LsExeUnit: forwarding data from stq, addr 0000000080000ef8 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 615] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000ef8 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 615] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 615] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 615] LsExeUnit: sbuffer id 3 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 3 ptr 3 valid 1 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 615] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 615] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 615] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 0 stqCommited 4 emptySlot 4 -[DEBUG][time= 615] LsExeUnit: retiringStore now... -[DEBUG][time= 615] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483800------> s1 fire!!! -[DEBUG][time= 615] FakeCache: [Stage1_data] instr1:0xfc5ff0ef instr2:0x406007b7 -[DEBUG][time= 615] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 615] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 615] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 615] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 615] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 615] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000b8 || if1_pcUpdate:1 if1_pc:0x0080000098 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 615] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000098 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 615] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 615] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 615] IFU: [IF3]if3_valid:0 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:1 -[DEBUG][time= 615] IFU: [IF4]if4_valid:0 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 615] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 615] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 615] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 615] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 615] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 615] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 615] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 615] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 615] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 615] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 615] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 615] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 615] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 615] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 615] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 615] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 615] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 615] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 615] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 615] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 615] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 615] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 615] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 615] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 615] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 615] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 615] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 615] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 615] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 615] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 615] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 615] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 615] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 615] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 615] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 615] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 615] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 615] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 615] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 615] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 615] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 615] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 615] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 615] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 615] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 615] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 615] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 615] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 615] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 615] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 615] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 615] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 615] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 615] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 615] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 615] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 615] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 615] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 615] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 615] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 615] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 615] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 615] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 615] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 615] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 615] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 615] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 615] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 615] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 615] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 615] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 615] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 615] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 615] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 615] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 615] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 615] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 615] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 615] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 615] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 615] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 61|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:49 -[DEBUG][time= 615] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 615] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 615] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 615] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 615] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 615] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 615] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 615] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 615] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 615] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 615] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 615] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 615] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 615] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 615] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 615] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 615] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 615] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 615] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 615] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 615] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 615] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 615] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 615] FreeList_1: head:0: 46 tail:1: 31 -[DEBUG][time= 615] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 615] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:10 -[DEBUG][time= 615] Brq: ---------------- -[DEBUG][time= 615] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 615] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 615] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 615] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 615] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 615] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 616] Tage: req: pc=0x00800000d8, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 616] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 616] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 616] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f00| 1 1) tlb (1 0 0080000f00| 1 1) -[DEBUG][time= 616] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 616] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 616] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 616] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 616] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 616] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 616] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 616] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 616] BPUStage2: in:(1 1) pc=00800000b8 out:(0 1) pc=00800001bc -[DEBUG][time= 616] BPUStage2: validLatch=0 pc=00800001bc -[DEBUG][time= 616] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 616] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 616] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 616] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 616] BPUStage1: in:(1 1) pc=00800000d8 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 616] BPUStage1: outPred:(1) pc=0x00800000b8, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 616] BPUStage3: flushS3=1 -[DEBUG][time= 616] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 616] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 616] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 616] JBTAC: read: pc=0x00800000d8, histXORAddr=0x0080000326, bank=3, row= 50, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 616] JBTAC: read_resp: pc=0x00800000b8, bank=3, row= 52, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 616] BTB: read: pc=0x00800000d8, baseBank=12, realMask=1111111111111111 -[DEBUG][time= 616] BTB: read_resp: pc=0x00800000b8, readIdx= 92------------------------------- -[DEBUG][time= 616] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 616] BTB: bankIdxInOrder:[DEBUG][time= 616] BTB: 12 [DEBUG][time= 616] BTB: 13 [DEBUG][time= 616] BTB: 14 [DEBUG][time= 616] BTB: 15 [DEBUG][time= 616] BTB: 0 [DEBUG][time= 616] BTB: 1 [DEBUG][time= 616] BTB: 2 [DEBUG][time= 616] BTB: 3 [DEBUG][time= 616] BTB: 4 [DEBUG][time= 616] BTB: 5 [DEBUG][time= 616] BTB: 6 [DEBUG][time= 616] BTB: 7 [DEBUG][time= 616] BTB: 8 [DEBUG][time= 616] BTB: 9 [DEBUG][time= 616] BTB: 10 [DEBUG][time= 616] BTB: 11 [DEBUG][time= 616] BTB: -[INFO ][time= 616] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 616] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 616] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 616] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 616] Rename: pc:0080000078 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:1 -[INFO ][time= 616] Rename: pc:008000007c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 616] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 616] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 616] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 616] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 616] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 616] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 616] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 616] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 616] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 616] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 616] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 616] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 616] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 616] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 616] Dispatch2: regfile 0 from 0 -[DEBUG][time= 616] Dispatch2: regfile 1 from 0 -[DEBUG][time= 616] Dispatch2: regfile 2 from 1 -[DEBUG][time= 616] Dispatch2: regfile 3 from 1 -[DEBUG][time= 616] Dispatch2: regfile 4 from 2 -[DEBUG][time= 616] Dispatch2: regfile 5 from 2 -[DEBUG][time= 616] Dispatch2: regfile 6 from 3 -[DEBUG][time= 616] Dispatch2: regfile 7 from 3 -[DEBUG][time= 616] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 9: addr 72, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 12: addr 72, state 1 -[DEBUG][time= 616] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 616] Dispatch2: pc 0x0080000038 waits at Ls dispatch queue with index 0 -[DEBUG][time= 616] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 616] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[INFO ][time= 616] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 616] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 616] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 616] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 616] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 616] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 616] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 616] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 616] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 616] DecodeBuffer: out v:0 r:1 pc=0080000074 -[INFO ][time= 616] DecodeBuffer: out v:0 r:1 pc=0080000078 -[INFO ][time= 616] DecodeBuffer: out v:0 r:1 pc=008000007c -[ERROR][time= 616] Roq: CSR block should only happen in s_idle -[DEBUG][time= 616] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 616] Roq: rolling back: head 16 tail 17 walk 0:15 -[DEBUG][time= 616] Roq: head 0:16 tail 1:17 -[DEBUG][time= 616] Roq: wwwwwwvvvvvwwwww-vvwwwwwwwvvvvvw -[DEBUG][time= 616] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 616] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 616] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 616] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 616] Roq: 0080000038 - 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 616] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 616] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 616] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 616] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 11), tail = (0, 14), -[DEBUG][time= 616] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f00 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 616] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 616] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 616] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 616] LsExeUnit: sbuffer id 2 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 616] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 616] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 616] LsExeUnit: stqinfo: stqValid.asUInt 00001110 stqHead 3 stqTail 1 stqCommited 3 emptySlot 0 -[DEBUG][time= 616] LsExeUnit: retiringStore now... -[INFO ][time= 616] LsExeUnit: [DMEM RESP] data 0000000000000002 -[DEBUG][time= 616] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483832------> s1 fire!!! -[DEBUG][time= 616] FakeCache: [Stage1_data] instr1:0x00a00793 instr2:0x00050413 -[DEBUG][time= 616] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 616] FakeCache: [Stage2_data] instr1:0xfc5ff0ef instr2:0x406007b7 -[DEBUG][time= 616] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 616] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 616] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 616] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000d8 || if1_pcUpdate:1 if1_pc:0x00800000b8 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 616] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000b8 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 616] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 616] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 616] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000098 if3_npc:0x00800000b8 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 616] IFU: [IF4]if4_valid:0 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 616] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 616] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 616] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 616] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 616] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 616] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 616] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 616] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 616] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 616] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 616] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 616] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 616] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 616] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 616] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 616] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 616] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 616] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 616] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 616] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 616] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 616] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 616] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 616] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 616] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 616] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 616] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 616] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 616] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 616] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 616] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 616] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 616] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 616] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 616] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 616] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 616] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 616] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 616] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 616] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 616] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 616] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 616] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 616] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 616] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 616] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 616] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 616] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 616] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 616] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 616] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 616] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 616] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 616] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 616] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 616] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 616] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 616] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 616] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 616] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 616] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 616] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 616] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 616] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 616] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 616] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 616] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 616] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 616] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 616] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 616] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 616] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 616] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 616] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 616] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 616] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 616] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 616] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 616] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 616] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 616] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 61|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:49 -[DEBUG][time= 616] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 616] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 616] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 616] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 616] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 616] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 616] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 616] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 616] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 616] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 616] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 616] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 616] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 616] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 616] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 616] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 616] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 616] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 616] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 616] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 616] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 616] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 616] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 616] FreeList_1: head:0: 46 tail:1: 31 -[DEBUG][time= 616] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 616] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:10 -[DEBUG][time= 616] Brq: ---------------- -[DEBUG][time= 616] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 616] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 616] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 616] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 616] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 616] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 617] Tage: req: pc=0x00800000f8, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 617] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 617] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 617] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f18| 0 1) -[DEBUG][time= 617] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 617] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 617] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 617] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 617] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 617] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 617] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 617] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 617] BPUStage2: in:(1 1) pc=00800000d8 out:(1 1) pc=00800000b8 -[DEBUG][time= 617] BPUStage2: validLatch=1 pc=00800000b8 -[DEBUG][time= 617] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 617] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 617] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 617] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 617] BPUStage1: in:(1 1) pc=00800000f8 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 617] BPUStage1: outPred:(1) pc=0x00800000d8, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 617] BPUStage3: [RAS]:pc=0x00800000b8, rasWritePosition= 1, rasWriteAddr=0x008000019c -[DEBUG][time= 617] BPUStage3: in:(1 1) pc=00800000b8 -[DEBUG][time= 617] BPUStage3: flushS3=1 -[DEBUG][time= 617] BPUStage3: validLatch=0 predecode.valid=1 -[DEBUG][time= 617] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=4 -[DEBUG][time= 617] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 617] JBTAC: read: pc=0x00800000f8, histXORAddr=0x0080000306, bank=3, row= 48, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 617] JBTAC: read_resp: pc=0x00800000d8, bank=3, row= 50, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 617] Ibuffer: Enque: -[DEBUG][time= 617] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 617] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 617] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 617] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 617] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 617] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 617] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 617] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 617] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 617] BTB: read: pc=0x00800000f8, baseBank=12, realMask=1111111111111111 -[DEBUG][time= 617] BTB: read_resp: pc=0x00800000d8, readIdx=108------------------------------- -[DEBUG][time= 617] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 617] BTB: bankIdxInOrder:[DEBUG][time= 617] BTB: 12 [DEBUG][time= 617] BTB: 13 [DEBUG][time= 617] BTB: 14 [DEBUG][time= 617] BTB: 15 [DEBUG][time= 617] BTB: 0 [DEBUG][time= 617] BTB: 1 [DEBUG][time= 617] BTB: 2 [DEBUG][time= 617] BTB: 3 [DEBUG][time= 617] BTB: 4 [DEBUG][time= 617] BTB: 5 [DEBUG][time= 617] BTB: 6 [DEBUG][time= 617] BTB: 7 [DEBUG][time= 617] BTB: 8 [DEBUG][time= 617] BTB: 9 [DEBUG][time= 617] BTB: 10 [DEBUG][time= 617] BTB: 11 [DEBUG][time= 617] BTB: -[INFO ][time= 617] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 617] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 617] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 617] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 617] Rename: pc:0080000078 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:1 -[INFO ][time= 617] Rename: pc:008000007c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 617] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 617] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 617] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 617] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 617] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 617] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 617] Dispatch2: ls dp queue 0: 0080000038 type 1101 -[DEBUG][time= 617] Dispatch2: ls dp queue 1: 008000003c type 1101 -[DEBUG][time= 617] Dispatch2: ls dp queue 2: 0080000040 type 1101 -[DEBUG][time= 617] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 617] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 617] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 617] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 617] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 617] Dispatch2: regfile 0 from 0 -[DEBUG][time= 617] Dispatch2: regfile 1 from 0 -[DEBUG][time= 617] Dispatch2: regfile 2 from 1 -[DEBUG][time= 617] Dispatch2: regfile 3 from 1 -[DEBUG][time= 617] Dispatch2: regfile 4 from 2 -[DEBUG][time= 617] Dispatch2: regfile 5 from 2 -[DEBUG][time= 617] Dispatch2: regfile 6 from 3 -[DEBUG][time= 617] Dispatch2: regfile 7 from 3 -[DEBUG][time= 617] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 9: addr 72, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 12: addr 72, state 1 -[DEBUG][time= 617] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 617] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 617] Dispatch2: pc 0x0080000038 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 617] Dispatch2: pc 0x0080000038 leaves Ls dispatch queue with nroq 8 -[DEBUG][time= 617] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 1 -[DEBUG][time= 617] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 2 -[INFO ][time= 617] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 617] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 617] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 617] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 617] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 617] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 617] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 617] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 617] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 617] DecodeBuffer: out v:0 r:1 pc=0080000074 -[INFO ][time= 617] DecodeBuffer: out v:0 r:1 pc=0080000078 -[INFO ][time= 617] DecodeBuffer: out v:0 r:1 pc=008000007c -[ERROR][time= 617] Roq: CSR block should only happen in s_idle -[DEBUG][time= 617] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 617] Roq: writebacked 1 insts -[INFO ][time= 617] Roq: writebacked pc 0x008000003c wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 617] Roq: head 0:16 tail 1:17 -[DEBUG][time= 617] Roq: wwwwwwvvvvvwwwww-vvwwwwwwwvvvvvw -[DEBUG][time= 617] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 617] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 617] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 617] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 617] Roq: 0080000038 - 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 617] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 617] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 617] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 617] DispatchQueue_2: LsDpQ: num_deq = 1, head = (11 -> 12) -[DEBUG][time= 617] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (0, 11), tail = (0, 14), -[DEBUG][time= 617] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f18 size 3 data 0000000000000005 mask 00ff cmd 0 -[DEBUG][time= 617] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 617] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 617] LsExeUnit: sbuffer id 2 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 617] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 617] LsExeUnit: LSU fire: pc 008000003c addr 0000000080000f18 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 617] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 617] LsExeUnit: stqinfo: stqValid.asUInt 00001110 stqHead 3 stqTail 1 stqCommited 3 emptySlot 0 -[DEBUG][time= 617] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483864------> s1 fire!!! -[DEBUG][time= 617] FakeCache: [Stage1_data] instr1:0x00813083 instr2:0x00013403 -[DEBUG][time= 617] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 617] FakeCache: [Stage2_data] instr1:0x00a00793 instr2:0x00050413 -[DEBUG][time= 617] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 617] FakeCache: [Stage3_data] instr1:0xfc5ff0ef instr2:0x406007b7 -[DEBUG][time= 617] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 617] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000f8 || if1_pcUpdate:1 if1_pc:0x00800000d8 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 617] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000d8 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 617] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 617] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 617] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000b8 if3_npc:0x00800000d8 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 617] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000098 if4_npc:0x00800000b8 -[DEBUG][time= 617] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 617] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] starPC:0x0080000098 GroupPC:0x0080000080n -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 617] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 617] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 617] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 617] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 617] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 617] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 617] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 617] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 617] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 617] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 617] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 617] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 617] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 617] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 617] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 617] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 617] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 617] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 617] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 617] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 617] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 617] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 617] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 617] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 617] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 617] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 617] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 617] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 617] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 617] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 617] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 617] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 617] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 617] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 617] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 617] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 617] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 617] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 617] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 617] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 617] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 617] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 617] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 617] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 617] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 617] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 617] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 617] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 617] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 617] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 617] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 617] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 617] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 617] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 617] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 617] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 617] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 617] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 617] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 617] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 617] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 617] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 617] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 617] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 617] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 617] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 617] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 617] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 617] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 617] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 617] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 617] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 617] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 617] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 617] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 617] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 617] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 617] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 617] IssueQueue_7: EnqCtrl(1 1) enqSelIq:3 Psrc/Rdy( 70:1 72:1 0:0) Dest: 32 oldDest: 0 pc:0080000038 roqIdx:08 -[INFO ][time= 617] IssueQueue_7: Deq:(1 1) [ 58|0000000080000f00][ 61|0000000000000005][ 0|0000000000000000] pdest: 32 pc:008000003c roqIdx:31 -[DEBUG][time= 617] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 617] IssueQueue_7: FireStage:Out(1 1) src1( 58|0000000080000f00) src2( 61|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:49 -[DEBUG][time= 617] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 617] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 617] IssueQueue_7: 3 |1|1| 58|1|0000000080000f00| 62|1|0000000000000006| 0|0|0000000000000000| 6 |0080000040|32 -[DEBUG][time= 617] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 617] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 617] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 617] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 617] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 617] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 617] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 617] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 617] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 617] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 617] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 617] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 617] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 617] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 617] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 617] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 617] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 617] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 617] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 617] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 617] FreeList_1: head:0: 46 tail:1: 31 -[DEBUG][time= 617] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 617] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:10 -[DEBUG][time= 617] Brq: ---------------- -[DEBUG][time= 617] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 617] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 617] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 617] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 617] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 617] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:10 -[DEBUG][time= 618] Tage: req: pc=0x0080000118, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 618] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 618] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 618] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f00| 0 1) tlb (1 1 0080000f00| 0 1) -[DEBUG][time= 618] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 618] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 618] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 618] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 618] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 618] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 618] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 618] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 618] BPUStage2: in:(1 1) pc=00800000f8 out:(1 1) pc=00800000d8 -[DEBUG][time= 618] BPUStage2: validLatch=1 pc=00800000d8 -[DEBUG][time= 618] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 618] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 618] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 618] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 618] BPUStage1: in:(1 1) pc=0080000118 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 618] BPUStage1: outPred:(1) pc=0x00800000f8, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 618] BPUStage3: [RAS]:pc=0x00800000d8, rasWritePosition= 1, rasWriteAddr=0x00800000d8 -[DEBUG][time= 618] BPUStage3: in:(1 1) pc=00800000d8 -[DEBUG][time= 618] BPUStage3: out:1 pc=00800000b8 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000d8 -[DEBUG][time= 618] BPUStage3: flushS3=0 -[DEBUG][time= 618] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 618] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 618] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 618] JBTAC: read: pc=0x0080000118, histXORAddr=0x00800002e6, bank=3, row= 46, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 618] JBTAC: read_resp: pc=0x00800000f8, bank=3, row= 48, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 618] Frontend: inst:fc5ff0ef pc:0080000098 -[INFO ][time= 618] Frontend: inst:406007b7 pc:008000009c -[INFO ][time= 618] Frontend: inst:00300713 pc:00800000a0 -[INFO ][time= 618] Frontend: inst:00e78623 pc:00800000a4 -[INFO ][time= 618] Frontend: inst:00008067 pc:00800000a8 -[INFO ][time= 618] Frontend: inst:ff010113 pc:00800000ac -[DEBUG][time= 618] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000098 instr:fc5ff0ef -[DEBUG][time= 618] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 618] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a0 instr:00300713 -[DEBUG][time= 618] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a4 instr:00e78623 -[DEBUG][time= 618] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000a8 instr:00008067 -[DEBUG][time= 618] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000ac instr:ff010113 -[DEBUG][time= 618] Ibuffer: Enque: -[DEBUG][time= 618] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 618] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 618] Ibuffer: 00000010111101010000010001100011 -[DEBUG][time= 618] Ibuffer: 01000000011000000000011100110111 -[DEBUG][time= 618] Ibuffer: 00000000100001110100011110000011 -[DEBUG][time= 618] Ibuffer: 00000000100001111111011110010011 -[DEBUG][time= 618] Ibuffer: 11111110000001111001110011100011 -[DEBUG][time= 618] Ibuffer: 00000000100001110000001000100011 -[DEBUG][time= 618] Ibuffer: Deque: -[DEBUG][time= 618] Ibuffer: 11111100010111111111000011101111 PC=0080000098 v=1 r=1 -[DEBUG][time= 618] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=1 -[DEBUG][time= 618] Ibuffer: 00000000001100000000011100010011 PC=00800000a0 v=1 r=1 -[DEBUG][time= 618] Ibuffer: 00000000111001111000011000100011 PC=00800000a4 v=1 r=1 -[DEBUG][time= 618] Ibuffer: 00000000000000001000000001100111 PC=00800000a8 v=1 r=1 -[DEBUG][time= 618] Ibuffer: 11111111000000010000000100010011 PC=00800000ac v=1 r=1 -[DEBUG][time= 618] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 618] BTB: read: pc=0x0080000118, baseBank=12, realMask=1111111111111111 -[DEBUG][time= 618] BTB: read_resp: pc=0x00800000f8, readIdx=124------------------------------- -[DEBUG][time= 618] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 618] BTB: bankIdxInOrder:[DEBUG][time= 618] BTB: 12 [DEBUG][time= 618] BTB: 13 [DEBUG][time= 618] BTB: 14 [DEBUG][time= 618] BTB: 15 [DEBUG][time= 618] BTB: 0 [DEBUG][time= 618] BTB: 1 [DEBUG][time= 618] BTB: 2 [DEBUG][time= 618] BTB: 3 [DEBUG][time= 618] BTB: 4 [DEBUG][time= 618] BTB: 5 [DEBUG][time= 618] BTB: 6 [DEBUG][time= 618] BTB: 7 [DEBUG][time= 618] BTB: 8 [DEBUG][time= 618] BTB: 9 [DEBUG][time= 618] BTB: 10 [DEBUG][time= 618] BTB: 11 [DEBUG][time= 618] BTB: -[INFO ][time= 618] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 618] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 618] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 618] Rename: pc:0080000074 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 77 out v:0 r:1 -[INFO ][time= 618] Rename: pc:0080000078 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 32 old_pdest: 42 out v:0 r:1 -[INFO ][time= 618] Rename: pc:008000007c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 618] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 618] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 618] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 618] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 618] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 618] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 618] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 618] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 618] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 618] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 618] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 618] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 618] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 618] Dispatch2: regfile 0 from 0 -[DEBUG][time= 618] Dispatch2: regfile 1 from 0 -[DEBUG][time= 618] Dispatch2: regfile 2 from 1 -[DEBUG][time= 618] Dispatch2: regfile 3 from 1 -[DEBUG][time= 618] Dispatch2: regfile 4 from 2 -[DEBUG][time= 618] Dispatch2: regfile 5 from 2 -[DEBUG][time= 618] Dispatch2: regfile 6 from 3 -[DEBUG][time= 618] Dispatch2: regfile 7 from 3 -[DEBUG][time= 618] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 618] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 618] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 618] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 618] Dispatch2: pc 0x0080000038 reads operands from ( 8, 70, 0000000080000f50), ( 9, 72, 0000000000000006), ( 0, 0, 0000000000000000) -[INFO ][time= 618] DecodeBuffer: in v:1 r:1 pc=0080000098 -[INFO ][time= 618] DecodeBuffer: in v:1 r:1 pc=008000009c -[INFO ][time= 618] DecodeBuffer: in v:1 r:1 pc=00800000a0 -[INFO ][time= 618] DecodeBuffer: in v:1 r:1 pc=00800000a4 -[INFO ][time= 618] DecodeBuffer: in v:1 r:1 pc=00800000a8 -[INFO ][time= 618] DecodeBuffer: in v:1 r:1 pc=00800000ac -[INFO ][time= 618] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 618] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 618] DecodeBuffer: out v:0 r:1 pc=0080000070 -[INFO ][time= 618] DecodeBuffer: out v:0 r:1 pc=0080000074 -[INFO ][time= 618] DecodeBuffer: out v:0 r:1 pc=0080000078 -[INFO ][time= 618] DecodeBuffer: out v:0 r:1 pc=008000007c -[ERROR][time= 618] Roq: CSR block should only happen in s_idle -[DEBUG][time= 618] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 618] Roq: retired pc 008000003c wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 618] Roq: retired 1 insts -[DEBUG][time= 618] Roq: head 0:16 tail 1:17 -[DEBUG][time= 618] Roq: wwwwwwvvvvvwwwww-wvwwwwwwwvvvvvw -[DEBUG][time= 618] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 618] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 618] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 618] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 618] Roq: 0080000038 - 008000003c w 0080000040 v 0080000044 w -[DEBUG][time= 618] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 618] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 618] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 618] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 618] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f00 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 618] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 618] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 618] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 618] LsExeUnit: sbuffer id 2 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 618] LsExeUnit: sbuffer id 3 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 3 ptr 0 valid 1 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 618] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 618] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 618] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 1 stqCommited 3 emptySlot 4 -[DEBUG][time= 618] LsExeUnit: retiringStore now... -[INFO ][time= 618] LsExeUnit: [DMEM STORE REQ] addr 0x80000f00 wdata 0x0000000000000002 size 3 -[DEBUG][time= 618] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483896------> s1 fire!!! -[DEBUG][time= 618] FakeCache: [Stage1_data] instr1:0xfd010113 instr2:0x02813023 -[DEBUG][time= 618] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 618] FakeCache: [Stage2_data] instr1:0x00813083 instr2:0x00013403 -[DEBUG][time= 618] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 618] FakeCache: [Stage3_data] instr1:0x00a00793 instr2:0x00050413 -[DEBUG][time= 618] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 618] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000118 || if1_pcUpdate:1 if1_pc:0x00800000f8 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 618] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000f8 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 618] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 618] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 618] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000d8 if3_npc:0x00800000f8 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 618] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000b8 if4_npc:0x00800000d8 -[DEBUG][time= 618] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000d8 -[DEBUG][time= 618] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] starPC:0x00800000b8 GroupPC:0x00800000a0n -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction 02f50463 pnpc:0x00800000c4 -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction 40600737 pnpc:0x00800000c8 -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction 00874783 pnpc:0x00800000cc -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction 0087f793 pnpc:0x00800000d0 -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction fe079ce3 pnpc:0x00800000d4 -[DEBUG][time= 618] IFU: [IFU-Out-FetchPacket] instruction 00870223 pnpc:0x00800000d8 -[DEBUG][time= 618] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 618] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 618] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 618] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 618] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 618] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 618] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 618] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 618] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 618] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 618] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 618] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 618] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 618] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 618] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 618] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 618] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 618] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 618] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 618] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 618] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 618] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 618] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 618] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 618] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 618] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 618] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 618] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 618] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 618] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 618] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 618] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 618] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 618] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 618] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 618] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 618] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 618] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 618] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 618] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 618] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 618] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 618] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 618] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 618] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 618] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 618] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 618] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 618] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 618] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 618] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 618] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 618] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 618] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 618] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 618] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 618] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 618] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 618] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 618] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 618] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 618] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 618] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 618] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 618] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 618] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 618] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 618] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 618] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 618] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 618] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 618] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 618] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 618] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 618] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 618] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 618] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 618] IssueQueue_7: EnqData: src1:0000000080000f50 src2:0000000000000006 src3:0000000000000000 pc:0080000038 roqIdx:08(for last cycle's Ctrl) -[DEBUG][time= 618] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 618] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 618] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 618] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 618] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 618] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 618] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 618] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 618] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 618] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 618] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 618] IssueQueue_7: 3 |1|1| 70|1|0000000080000f00| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 618] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 618] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 618] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 618] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 618] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 618] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 618] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 618] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 618] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 618] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 618] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 618] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 618] FreeList_1: req:0 canAlloc:1 pdest: 78 headNext:0: 46 -[DEBUG][time= 618] FreeList_1: head:0: 46 tail:1: 31 -[DEBUG][time= 618] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 618] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:10 -[DEBUG][time= 618] Brq: ---------------- -[DEBUG][time= 618] Brq: enq v:1 rdy:1 pc:0080000098 brTag:f:0 v:10 -[DEBUG][time= 618] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v:11 -[DEBUG][time= 618] Brq: enq v:0 rdy:1 pc:00800000a0 brTag:f:0 v:11 -[DEBUG][time= 618] Brq: enq v:0 rdy:1 pc:00800000a4 brTag:f:0 v:11 -[DEBUG][time= 618] Brq: enq v:1 rdy:1 pc:00800000a8 brTag:f:0 v:11 -[DEBUG][time= 618] Brq: enq v:0 rdy:1 pc:00800000ac brTag:f:0 v:12 -[DEBUG][time= 619] Tage: req: pc=0x0080000138, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 619] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 619] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 619] XSCore: c-mem(0 0 80000ec0| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f00| 0 1) -[DEBUG][time= 619] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 619] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 619] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 619] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 619] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 619] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 619] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 619] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 619] BusyTable_1: Allocate 78 -[DEBUG][time= 619] BusyTable_1: Allocate 79 -[DEBUG][time= 619] BusyTable_1: Allocate 80 -[DEBUG][time= 619] BusyTable_1: Allocate 81 -[DEBUG][time= 619] BPUStage2: in:(1 1) pc=0080000118 out:(1 1) pc=00800000f8 -[DEBUG][time= 619] BPUStage2: validLatch=1 pc=00800000f8 -[DEBUG][time= 619] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 619] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 619] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 619] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 619] BPUStage1: in:(1 1) pc=0080000138 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 619] BPUStage1: outPred:(1) pc=0x0080000118, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 619] BPUStage3: [RAS]:pc=0x00800000f8, rasWritePosition= 1, rasWriteAddr=0x00800000f8 -[DEBUG][time= 619] BPUStage3: in:(1 1) pc=00800000f8 -[DEBUG][time= 619] BPUStage3: out:1 pc=00800000d8 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000f8 -[DEBUG][time= 619] BPUStage3: flushS3=0 -[DEBUG][time= 619] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 619] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 619] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 619] JBTAC: read: pc=0x0080000138, histXORAddr=0x00800002c6, bank=3, row= 44, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 619] JBTAC: read_resp: pc=0x0080000118, bank=3, row= 46, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 619] Frontend: inst:00813023 pc:00800000b0 -[INFO ][time= 619] Frontend: inst:00113423 pc:00800000b4 -[INFO ][time= 619] Frontend: inst:00a00793 pc:00800000b8 -[INFO ][time= 619] Frontend: inst:00050413 pc:00800000bc -[INFO ][time= 619] Frontend: inst:02f50463 pc:00800000c0 -[INFO ][time= 619] Frontend: inst:40600737 pc:00800000c4 -[DEBUG][time= 619] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b0 instr:00813023 -[DEBUG][time= 619] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 619] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b8 instr:00a00793 -[DEBUG][time= 619] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000bc instr:00050413 -[DEBUG][time= 619] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000c0 instr:02f50463 -[DEBUG][time= 619] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000c4 instr:40600737 -[DEBUG][time= 619] Ibuffer: Enque: -[DEBUG][time= 619] Ibuffer: 00000000100000010011000010000011 -[DEBUG][time= 619] Ibuffer: 00000000000000010011010000000011 -[DEBUG][time= 619] Ibuffer: 00000001000000010000000100010011 -[DEBUG][time= 619] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 619] Ibuffer: 00000000110100000000010100010011 -[DEBUG][time= 619] Ibuffer: 11111100000111111111000011101111 -[DEBUG][time= 619] Ibuffer: 11111101010111111111000001101111 -[DEBUG][time= 619] Ibuffer: 00001000000001011000110001100011 -[DEBUG][time= 619] Ibuffer: Deque: -[DEBUG][time= 619] Ibuffer: 00000000100000010011000000100011 PC=00800000b0 v=1 r=1 -[DEBUG][time= 619] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=1 -[DEBUG][time= 619] Ibuffer: 00000000101000000000011110010011 PC=00800000b8 v=1 r=1 -[DEBUG][time= 619] Ibuffer: 00000000000001010000010000010011 PC=00800000bc v=1 r=1 -[DEBUG][time= 619] Ibuffer: 00000010111101010000010001100011 PC=00800000c0 v=1 r=1 -[DEBUG][time= 619] Ibuffer: 01000000011000000000011100110111 PC=00800000c4 v=1 r=1 -[DEBUG][time= 619] Ibuffer: last_head_ptr= 12 last_tail_ptr= 32 -[DEBUG][time= 619] BTB: read: pc=0x0080000138, baseBank=12, realMask=1111111111111111 -[DEBUG][time= 619] BTB: read_resp: pc=0x0080000118, readIdx=140------------------------------- -[DEBUG][time= 619] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 619] BTB: bankIdxInOrder:[DEBUG][time= 619] BTB: 12 [DEBUG][time= 619] BTB: 13 [DEBUG][time= 619] BTB: 14 [DEBUG][time= 619] BTB: 15 [DEBUG][time= 619] BTB: 0 [DEBUG][time= 619] BTB: 1 [DEBUG][time= 619] BTB: 2 [DEBUG][time= 619] BTB: 3 [DEBUG][time= 619] BTB: 4 [DEBUG][time= 619] BTB: 5 [DEBUG][time= 619] BTB: 6 [DEBUG][time= 619] BTB: 7 [DEBUG][time= 619] BTB: 8 [DEBUG][time= 619] BTB: 9 [DEBUG][time= 619] BTB: 10 [DEBUG][time= 619] BTB: 11 [DEBUG][time= 619] BTB: -[INFO ][time= 619] Rename: pc:0080000098 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 78 old_pdest: 42 out v:1 r:1 -[INFO ][time= 619] Rename: pc:008000009c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 79 old_pdest: 75 out v:1 r:1 -[INFO ][time= 619] Rename: pc:00800000a0 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 80 old_pdest: 76 out v:1 r:1 -[INFO ][time= 619] Rename: pc:00800000a4 in v:1 in rdy:1 lsrc1:15 -> psrc1: 79 lsrc2:14 -> psrc2: 80 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 619] Rename: pc:00800000a8 in v:1 in rdy:1 lsrc1: 1 -> psrc1: 78 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 619] Rename: pc:00800000ac in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 81 old_pdest: 36 out v:1 r:1 -[DEBUG][time= 619] Dispatch1: v:0 r:1 pc 0x0080000050 of type 0011 is in 0-th slot -[DEBUG][time= 619] Dispatch1: v:0 r:1 pc 0x0080000054 of type 0000 is in 1-th slot -[DEBUG][time= 619] Dispatch1: v:0 r:1 pc 0x0080000058 of type 0000 is in 2-th slot -[DEBUG][time= 619] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 3-th slot -[DEBUG][time= 619] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 4-th slot -[DEBUG][time= 619] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 5-th slot -[DEBUG][time= 619] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 619] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 619] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 619] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 619] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 619] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 619] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 619] Dispatch2: regfile 0 from 0 -[DEBUG][time= 619] Dispatch2: regfile 1 from 0 -[DEBUG][time= 619] Dispatch2: regfile 2 from 1 -[DEBUG][time= 619] Dispatch2: regfile 3 from 1 -[DEBUG][time= 619] Dispatch2: regfile 4 from 2 -[DEBUG][time= 619] Dispatch2: regfile 5 from 2 -[DEBUG][time= 619] Dispatch2: regfile 6 from 3 -[DEBUG][time= 619] Dispatch2: regfile 7 from 3 -[DEBUG][time= 619] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 619] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 619] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 619] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 619] DecodeBuffer: in v:1 r:1 pc=00800000b0 -[INFO ][time= 619] DecodeBuffer: in v:1 r:1 pc=00800000b4 -[INFO ][time= 619] DecodeBuffer: in v:1 r:1 pc=00800000b8 -[INFO ][time= 619] DecodeBuffer: in v:1 r:1 pc=00800000bc -[INFO ][time= 619] DecodeBuffer: in v:1 r:1 pc=00800000c0 -[INFO ][time= 619] DecodeBuffer: in v:1 r:1 pc=00800000c4 -[INFO ][time= 619] DecodeBuffer: out v:1 r:1 pc=0080000098 -[INFO ][time= 619] DecodeBuffer: out v:1 r:1 pc=008000009c -[INFO ][time= 619] DecodeBuffer: out v:1 r:1 pc=00800000a0 -[INFO ][time= 619] DecodeBuffer: out v:1 r:1 pc=00800000a4 -[INFO ][time= 619] DecodeBuffer: out v:1 r:1 pc=00800000a8 -[INFO ][time= 619] DecodeBuffer: out v:1 r:1 pc=00800000ac -[ERROR][time= 619] Roq: CSR block should only happen in s_idle -[DEBUG][time= 619] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 619] Roq: head 0:16 tail 1:18 -[DEBUG][time= 619] Roq: wwwwwwvvvvvwwwww--vwwwwwwwvvvvvw -[DEBUG][time= 619] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 619] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 619] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 619] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 619] Roq: 0080000038 - 008000003c - 0080000040 v 0080000044 w -[DEBUG][time= 619] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 619] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 619] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 619] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 619] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f00 size 3 data 0000000000000002 mask 00ff cmd 1 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 0 data 02 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 619] LsExeUnit: forwarding data from stq, addr 0000000080000f00 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 619] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f00 data 0000000000000002 func 0b wmask 000000011111111 -[DEBUG][time= 619] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 619] LsExeUnit: sbuffer id 2 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 619] LsExeUnit: sbuffer id 3 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 2 ptr 3 valid 1 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 3 ptr 0 valid 1 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 619] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 619] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 619] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 1 stqCommited 4 emptySlot 4 -[DEBUG][time= 619] LsExeUnit: retiringStore now... -[DEBUG][time= 619] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483928------> s1 fire!!! -[DEBUG][time= 619] FakeCache: [Stage1_data] instr1:0x00050913 instr2:0xfff00993 -[DEBUG][time= 619] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 619] FakeCache: [Stage2_data] instr1:0xfd010113 instr2:0x02813023 -[DEBUG][time= 619] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 619] FakeCache: [Stage3_data] instr1:0x00813083 instr2:0x00013403 -[DEBUG][time= 619] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 619] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000138 || if1_pcUpdate:1 if1_pc:0x0080000118 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 619] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000118 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 619] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 619] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 619] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000f8 if3_npc:0x0080000118 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 619] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000d8 if4_npc:0x00800000f8 -[DEBUG][time= 619] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000f8 -[DEBUG][time= 619] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] starPC:0x00800000d8 GroupPC:0x00800000c0n -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction 00813083 pnpc:0x00800000dc -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction 00013403 pnpc:0x00800000e0 -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction 01010113 pnpc:0x00800000e4 -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000e8 -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction 00d00513 pnpc:0x00800000ec -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction fc1ff0ef pnpc:0x00800000f0 -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction fd5ff06f pnpc:0x00800000f4 -[DEBUG][time= 619] IFU: [IFU-Out-FetchPacket] instruction 08058c63 pnpc:0x00800000f8 -[DEBUG][time= 619] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 619] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 619] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 619] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 619] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 619] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 619] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 619] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 619] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 619] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 619] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 619] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 619] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 619] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 619] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 619] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 619] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 619] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 619] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 619] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 619] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 619] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 619] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 619] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 619] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 619] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 619] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 619] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 619] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 619] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 619] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 619] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 619] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 619] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 619] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 619] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 619] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 619] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 619] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 619] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 619] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 619] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 619] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 619] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 619] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 619] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 619] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 619] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 619] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 619] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 619] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 619] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 619] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 619] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 619] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 619] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 619] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 619] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 619] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 619] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 619] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 619] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 619] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 619] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 619] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 619] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 619] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 619] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 619] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 619] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 619] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 619] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 619] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 619] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 619] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 619] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 619] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 619] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 619] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 619] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 619] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 619] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 619] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 619] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 619] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 619] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 619] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 619] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 619] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 619] FreeList: do checkPt at BrqIdx=10 headPtr:0: 0 -[DEBUG][time= 619] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 619] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 619] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 619] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 619] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 619] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 619] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 619] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 619] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 619] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 619] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 619] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 619] FreeList_1: do checkPt at BrqIdx=10 headPtr:0: 47 -[DEBUG][time= 619] FreeList_1: req:1 canAlloc:1 pdest: 78 headNext:0: 47 -[DEBUG][time= 619] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 619] FreeList_1: req:1 canAlloc:1 pdest: 79 headNext:0: 48 -[DEBUG][time= 619] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 49 -[DEBUG][time= 619] FreeList_1: req:1 canAlloc:1 pdest: 80 headNext:0: 49 -[DEBUG][time= 619] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 49 -[DEBUG][time= 619] FreeList_1: req:0 canAlloc:1 pdest: 81 headNext:0: 49 -[DEBUG][time= 619] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 49 -[DEBUG][time= 619] FreeList_1: req:0 canAlloc:1 pdest: 81 headNext:0: 49 -[DEBUG][time= 619] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 619] FreeList_1: req:1 canAlloc:1 pdest: 81 headNext:0: 50 -[DEBUG][time= 619] FreeList_1: head:0: 46 tail:1: 31 -[DEBUG][time= 619] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 619] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:12 -[DEBUG][time= 619] Brq: ---------------- -[DEBUG][time= 619] Brq: enq v:0 rdy:1 pc:00800000b0 brTag:f:0 v:12 -[DEBUG][time= 619] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:12 -[DEBUG][time= 619] Brq: enq v:0 rdy:1 pc:00800000b8 brTag:f:0 v:12 -[DEBUG][time= 619] Brq: enq v:0 rdy:1 pc:00800000bc brTag:f:0 v:12 -[DEBUG][time= 619] Brq: enq v:1 rdy:1 pc:00800000c0 brTag:f:0 v:12 -[DEBUG][time= 619] Brq: enq v:0 rdy:1 pc:00800000c4 brTag:f:0 v:13 -[DEBUG][time= 620] Tage: req: pc=0x0080000158, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 620] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 620] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 620] XSCore: c-mem(0 0 00000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 620] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 620] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 620] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 620] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 620] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 620] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 620] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 620] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 620] BusyTable_1: 78 is busy -[DEBUG][time= 620] BusyTable_1: 79 is busy -[DEBUG][time= 620] BusyTable_1: 80 is busy -[DEBUG][time= 620] BusyTable_1: 81 is busy -[DEBUG][time= 620] BPUStage2: in:(1 1) pc=0080000138 out:(1 1) pc=0080000118 -[DEBUG][time= 620] BPUStage2: validLatch=1 pc=0080000118 -[DEBUG][time= 620] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 620] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 620] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 620] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 620] BPUStage1: in:(1 1) pc=0080000158 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 620] BPUStage1: outPred:(1) pc=0x0080000138, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 620] BPUStage3: [RAS]:pc=0x0080000118, rasWritePosition= 1, rasWriteAddr=0x0080000118 -[DEBUG][time= 620] BPUStage3: in:(1 1) pc=0080000118 -[DEBUG][time= 620] BPUStage3: out:1 pc=00800000f8 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000118 -[DEBUG][time= 620] BPUStage3: flushS3=0 -[DEBUG][time= 620] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 620] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 620] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 620] JBTAC: read: pc=0x0080000158, histXORAddr=0x00800002a6, bank=3, row= 42, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 620] JBTAC: read_resp: pc=0x0080000138, bank=3, row= 44, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 620] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 620] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 620] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 620] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 620] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 620] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 620] Ibuffer: Enque: -[DEBUG][time= 620] Ibuffer: 11111101000000010000000100010011 -[DEBUG][time= 620] Ibuffer: 00000010100000010011000000100011 -[DEBUG][time= 620] Ibuffer: 00000000100100010011110000100011 -[DEBUG][time= 620] Ibuffer: 00000001001000010011100000100011 -[DEBUG][time= 620] Ibuffer: 00000001001100010011010000100011 -[DEBUG][time= 620] Ibuffer: 00000010000100010011010000100011 -[DEBUG][time= 620] Ibuffer: 11111111111101011000010010011011 -[DEBUG][time= 620] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 620] Ibuffer: Deque: -[DEBUG][time= 620] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 620] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 620] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 620] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 620] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 620] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 620] Ibuffer: last_head_ptr= 24 last_tail_ptr= 48 -[DEBUG][time= 620] BTB: read: pc=0x0080000158, baseBank=12, realMask=1111111111111111 -[DEBUG][time= 620] BTB: read_resp: pc=0x0080000138, readIdx=156------------------------------- -[DEBUG][time= 620] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 620] BTB: bankIdxInOrder:[DEBUG][time= 620] BTB: 12 [DEBUG][time= 620] BTB: 13 [DEBUG][time= 620] BTB: 14 [DEBUG][time= 620] BTB: 15 [DEBUG][time= 620] BTB: 0 [DEBUG][time= 620] BTB: 1 [DEBUG][time= 620] BTB: 2 [DEBUG][time= 620] BTB: 3 [DEBUG][time= 620] BTB: 4 [DEBUG][time= 620] BTB: 5 [DEBUG][time= 620] BTB: 6 [DEBUG][time= 620] BTB: 7 [DEBUG][time= 620] BTB: 8 [DEBUG][time= 620] BTB: 9 [DEBUG][time= 620] BTB: 10 [DEBUG][time= 620] BTB: 11 [DEBUG][time= 620] BTB: -[INFO ][time= 620] Rename: pc:00800000b0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 81 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 620] Rename: pc:00800000b4 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 81 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 620] Rename: pc:00800000b8 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 82 old_pdest: 79 out v:0 r:0 -[INFO ][time= 620] Rename: pc:00800000bc in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 82 old_pdest: 32 out v:0 r:0 -[INFO ][time= 620] Rename: pc:00800000c0 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2:15 -> psrc2: 79 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 620] Rename: pc:00800000c4 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 82 old_pdest: 80 out v:0 r:0 -[INFO ][time= 620] Dispatch1: pc 0x0080000098 accepted by queue 1 0 0 -[INFO ][time= 620] Dispatch1: pc 0x008000009c accepted by queue 1 0 0 -[DEBUG][time= 620] Dispatch1: pc 0x0080000098 receives nroq 16 -[DEBUG][time= 620] Dispatch1: v:1 r:0 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 620] Dispatch1: pc 0x008000009c receives nroq 17 -[DEBUG][time= 620] Dispatch1: v:1 r:0 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 620] Dispatch1: v:1 r:0 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 620] Dispatch1: v:1 r:0 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 620] Dispatch1: v:1 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 620] Dispatch1: v:1 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 620] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 620] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 620] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 620] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 620] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 620] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 620] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 620] Dispatch2: regfile 0 from 0 -[DEBUG][time= 620] Dispatch2: regfile 1 from 0 -[DEBUG][time= 620] Dispatch2: regfile 2 from 1 -[DEBUG][time= 620] Dispatch2: regfile 3 from 1 -[DEBUG][time= 620] Dispatch2: regfile 4 from 2 -[DEBUG][time= 620] Dispatch2: regfile 5 from 2 -[DEBUG][time= 620] Dispatch2: regfile 6 from 3 -[DEBUG][time= 620] Dispatch2: regfile 7 from 3 -[DEBUG][time= 620] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 4: addr 63, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 620] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 620] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 620] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 620] DecodeBuffer: in v:1 r:0 pc=00800000c8 -[INFO ][time= 620] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 620] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 620] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 620] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 620] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 620] DecodeBuffer: out v:1 r:0 pc=00800000b0 -[INFO ][time= 620] DecodeBuffer: out v:1 r:0 pc=00800000b4 -[INFO ][time= 620] DecodeBuffer: out v:1 r:0 pc=00800000b8 -[INFO ][time= 620] DecodeBuffer: out v:1 r:0 pc=00800000bc -[INFO ][time= 620] DecodeBuffer: out v:1 r:0 pc=00800000c0 -[INFO ][time= 620] DecodeBuffer: out v:1 r:0 pc=00800000c4 -[ERROR][time= 620] Roq: CSR block should only happen in s_idle -[DEBUG][time= 620] Roq: (ready, valid): (1, 1) (1, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 620] Roq: dispatched 2 insts -[DEBUG][time= 620] Roq: head 0:16 tail 1:18 -[DEBUG][time= 620] Roq: wwwwwwvvvvvwwwww--vwwwwwwwvvvvvw -[DEBUG][time= 620] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 620] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 620] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 620] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 620] Roq: 0080000038 - 008000003c - 0080000040 v 0080000044 w -[DEBUG][time= 620] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 620] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 620] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 620] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 620] DispatchQueue: IntDpQ: num_enq = 2, tail = (13 -> 15) -[DEBUG][time= 620] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 620] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 620] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 620] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 620] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 620] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 620] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 620] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 620] LsExeUnit: retiringStore now... -[DEBUG][time= 620] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483960------> s1 fire!!! -[DEBUG][time= 620] FakeCache: [Stage1_data] instr1:0xfff4849b instr2:0x00178793 -[DEBUG][time= 620] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 620] FakeCache: [Stage2_data] instr1:0x00050913 instr2:0xfff00993 -[DEBUG][time= 620] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 620] FakeCache: [Stage3_data] instr1:0xfd010113 instr2:0x02813023 -[DEBUG][time= 620] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 620] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000158 || if1_pcUpdate:1 if1_pc:0x0080000138 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 620] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000138 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 620] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 620] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 620] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000118 if3_npc:0x0080000138 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 620] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000f8 if4_npc:0x0080000118 -[DEBUG][time= 620] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000118 -[DEBUG][time= 620] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] starPC:0x00800000f8 GroupPC:0x00800000e0n -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction fd010113 pnpc:0x00800000fc -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction 02813023 pnpc:0x0080000100 -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction 00913c23 pnpc:0x0080000104 -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction 01213823 pnpc:0x0080000108 -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction 01313423 pnpc:0x008000010c -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction 02113423 pnpc:0x0080000110 -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction fff5849b pnpc:0x0080000114 -[DEBUG][time= 620] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x0080000118 -[DEBUG][time= 620] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 620] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 620] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 620] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 620] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 620] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 620] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 620] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 620] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 620] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 620] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 620] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 620] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 620] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 620] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 620] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 620] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 620] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 620] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 620] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 620] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 620] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 620] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 620] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 620] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 620] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 620] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 620] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 620] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 620] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 620] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 620] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 620] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 620] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 620] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 620] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 620] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 620] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 620] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 620] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 620] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 620] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 620] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 620] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 620] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 620] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 620] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 620] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 620] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 620] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 620] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 620] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 620] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 620] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 620] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 620] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 620] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 620] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 620] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 620] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 620] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 620] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 620] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 620] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 620] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 620] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 620] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 620] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 620] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 620] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 620] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 620] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 620] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 620] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 620] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 620] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 620] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 620] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 620] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 620] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 620] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 620] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 620] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 620] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 620] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 620] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 620] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 620] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 620] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 620] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 620] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 620] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 620] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 620] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 620] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 620] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 620] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 620] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 620] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 620] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 620] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 620] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 620] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 620] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 620] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 620] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 620] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 620] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 620] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 620] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 620] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 620] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 620] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 50 -[DEBUG][time= 620] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 620] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 620] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 620] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:13 -[DEBUG][time= 620] Brq: ---------------- -[DEBUG][time= 620] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 620] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 620] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 620] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 620] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 620] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 621] Tage: req: pc=0x0080000178, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 621] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 621] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 621] XSCore: c-mem(1 1 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 621] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 621] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 621] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 621] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 621] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 621] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 621] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 621] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 621] BusyTable_1: 78 is busy -[DEBUG][time= 621] BusyTable_1: 79 is busy -[DEBUG][time= 621] BusyTable_1: 80 is busy -[DEBUG][time= 621] BusyTable_1: 81 is busy -[DEBUG][time= 621] BPUStage2: in:(1 1) pc=0080000158 out:(1 1) pc=0080000138 -[DEBUG][time= 621] BPUStage2: validLatch=1 pc=0080000138 -[DEBUG][time= 621] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 621] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 621] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 621] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 621] BPUStage1: in:(1 1) pc=0080000178 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 621] BPUStage1: outPred:(1) pc=0x0080000158, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 621] BPUStage3: [RAS]:pc=0x0080000138, rasWritePosition= 1, rasWriteAddr=0x0080000138 -[DEBUG][time= 621] BPUStage3: in:(1 1) pc=0080000138 -[DEBUG][time= 621] BPUStage3: out:1 pc=0080000118 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000138 -[DEBUG][time= 621] BPUStage3: flushS3=0 -[DEBUG][time= 621] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 621] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 621] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 621] JBTAC: read: pc=0x0080000178, histXORAddr=0x0080000286, bank=3, row= 40, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 621] JBTAC: read_resp: pc=0x0080000158, bank=3, row= 42, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 621] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 621] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 621] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 621] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 621] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 621] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 621] Ibuffer: Enque: -[DEBUG][time= 621] Ibuffer: 00000000000001010000100100010011 -[DEBUG][time= 621] Ibuffer: 11111111111100000000100110010011 -[DEBUG][time= 621] Ibuffer: 00000010100000000000000001101111 -[DEBUG][time= 621] Ibuffer: 00000001100001000011011100000011 -[DEBUG][time= 621] Ibuffer: 00000000111001111000011001100011 -[DEBUG][time= 621] Ibuffer: 00000000110101000011100000100011 -[DEBUG][time= 621] Ibuffer: 00000001001001111000000000100011 -[DEBUG][time= 621] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 621] Ibuffer: Deque: -[DEBUG][time= 621] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 621] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 621] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 621] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 621] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 621] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 621] Ibuffer: last_head_ptr= 24 last_tail_ptr= 64 -[DEBUG][time= 621] BTB: read: pc=0x0080000178, baseBank=12, realMask=1111111111111111 -[DEBUG][time= 621] BTB: read_resp: pc=0x0080000158, readIdx=172------------------------------- -[DEBUG][time= 621] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 621] BTB: bankIdxInOrder:[DEBUG][time= 621] BTB: 12 [DEBUG][time= 621] BTB: 13 [DEBUG][time= 621] BTB: 14 [DEBUG][time= 621] BTB: 15 [DEBUG][time= 621] BTB: 0 [DEBUG][time= 621] BTB: 1 [DEBUG][time= 621] BTB: 2 [DEBUG][time= 621] BTB: 3 [DEBUG][time= 621] BTB: 4 [DEBUG][time= 621] BTB: 5 [DEBUG][time= 621] BTB: 6 [DEBUG][time= 621] BTB: 7 [DEBUG][time= 621] BTB: 8 [DEBUG][time= 621] BTB: 9 [DEBUG][time= 621] BTB: 10 [DEBUG][time= 621] BTB: 11 [DEBUG][time= 621] BTB: -[INFO ][time= 621] Rename: pc:00800000b0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 81 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 621] Rename: pc:00800000b4 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 81 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 621] Rename: pc:00800000b8 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 82 old_pdest: 79 out v:0 r:0 -[INFO ][time= 621] Rename: pc:00800000bc in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 82 old_pdest: 32 out v:0 r:0 -[INFO ][time= 621] Rename: pc:00800000c0 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2:15 -> psrc2: 79 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 621] Rename: pc:00800000c4 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 82 old_pdest: 80 out v:0 r:0 -[DEBUG][time= 621] Dispatch1: v:0 r:0 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 621] Dispatch1: v:0 r:0 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 621] Dispatch1: v:1 r:0 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 621] Dispatch1: v:1 r:0 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 621] Dispatch1: v:1 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 621] Dispatch1: v:1 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 621] Dispatch2: int dp queue 0: 0080000098 type 0000 -[DEBUG][time= 621] Dispatch2: int dp queue 1: 008000009c type 0011 -[DEBUG][time= 621] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 621] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 621] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 621] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 621] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 621] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 621] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 621] Dispatch2: regfile 0 from 1 -[DEBUG][time= 621] Dispatch2: regfile 1 from 1 -[DEBUG][time= 621] Dispatch2: regfile 2 from 2 -[DEBUG][time= 621] Dispatch2: regfile 3 from 2 -[DEBUG][time= 621] Dispatch2: regfile 4 from 3 -[DEBUG][time= 621] Dispatch2: regfile 5 from 3 -[DEBUG][time= 621] Dispatch2: regfile 6 from 0 -[DEBUG][time= 621] Dispatch2: regfile 7 from 0 -[DEBUG][time= 621] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 4: addr 65, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 621] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 621] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 621] Dispatch2: pc 0x0080000098 with type 0000 srcState(1 1 0) enters reservation station 0 from 0 -[INFO ][time= 621] Dispatch2: pc 0x008000009c with type 0011 srcState(1 1 0) enters reservation station 1 from 1 -[INFO ][time= 621] Dispatch2: pc 0x0080000098 leaves Int dispatch queue with nroq 16 -[INFO ][time= 621] Dispatch2: pc 0x008000009c leaves Int dispatch queue with nroq 17 -[DEBUG][time= 621] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 621] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 621] DecodeBuffer: in v:1 r:0 pc=00800000c8 -[INFO ][time= 621] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 621] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 621] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 621] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 621] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 621] DecodeBuffer: out v:1 r:0 pc=00800000b0 -[INFO ][time= 621] DecodeBuffer: out v:1 r:0 pc=00800000b4 -[INFO ][time= 621] DecodeBuffer: out v:1 r:0 pc=00800000b8 -[INFO ][time= 621] DecodeBuffer: out v:1 r:0 pc=00800000bc -[INFO ][time= 621] DecodeBuffer: out v:1 r:0 pc=00800000c0 -[INFO ][time= 621] DecodeBuffer: out v:1 r:0 pc=00800000c4 -[ERROR][time= 621] Roq: CSR block should only happen in s_idle -[DEBUG][time= 621] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 621] Roq: head 0:18 tail 1:18 -[DEBUG][time= 621] Roq: wwwwwwvvvvvwwwwwvvvwwwwwwwvvvvvw -[DEBUG][time= 621] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 621] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 621] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 621] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 621] Roq: 0080000098 v 008000009c v 0080000040 v 0080000044 w -[DEBUG][time= 621] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 621] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 621] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 621] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 621] DispatchQueue: IntDpQ: num_deq = 2, head = (13 -> 15) -[DEBUG][time= 621] DispatchQueue: IntDpQ: valid_entries = 2, head = (0, 13), tail = (0, 15), -[DEBUG][time= 621] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 621] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 621] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 621] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 621] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 621] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 621] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 621] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 621] LsExeUnit: retiringStore now... -[DEBUG][time= 621] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483992------> s1 fire!!! -[DEBUG][time= 621] FakeCache: [Stage1_data] instr1:0xf01ff0ef instr2:0x02043783 -[DEBUG][time= 621] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 621] FakeCache: [Stage2_data] instr1:0xfff4849b instr2:0x00178793 -[DEBUG][time= 621] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 621] FakeCache: [Stage3_data] instr1:0x00050913 instr2:0xfff00993 -[DEBUG][time= 621] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 621] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000178 || if1_pcUpdate:1 if1_pc:0x0080000158 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 621] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000158 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 621] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 621] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 621] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000138 if3_npc:0x0080000158 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 621] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000118 if4_npc:0x0080000138 -[DEBUG][time= 621] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000138 -[DEBUG][time= 621] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] starPC:0x0080000118 GroupPC:0x0080000100n -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction 00050913 pnpc:0x008000011c -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction fff00993 pnpc:0x0080000120 -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction 0280006f pnpc:0x0080000124 -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction 01843703 pnpc:0x0080000128 -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction 00e78663 pnpc:0x008000012c -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction 00d43823 pnpc:0x0080000130 -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction 01278023 pnpc:0x0080000134 -[DEBUG][time= 621] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000138 -[INFO ][time= 621] IssueQueue: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 78 oldDest: 42 pc:0080000098 roqIdx:10 -[DEBUG][time= 621] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 621] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 621] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 621] IssueQueue: 0 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 7 |0080000054|37 <- -[DEBUG][time= 621] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f -[DEBUG][time= 621] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 621] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 621] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 621] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 621] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 621] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 621] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 79 oldDest: 75 pc:008000009c roqIdx:11 -[DEBUG][time= 621] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 621] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 621] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 621] IssueQueue_1: 1 |0|1| 71|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000044|0b <- -[DEBUG][time= 621] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e -[DEBUG][time= 621] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 621] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 621] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 621] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 621] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 621] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 621] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 621] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 621] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 621] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 621] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 621] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 621] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 621] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 621] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 621] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 621] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 621] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 621] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 621] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 621] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 621] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 621] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 621] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 621] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 621] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 621] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 621] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 621] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 621] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 621] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 621] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 621] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 621] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 621] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 621] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 621] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 621] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 621] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 621] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 621] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 621] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 621] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 621] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 621] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 621] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 621] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 621] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 621] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 621] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 621] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 621] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 621] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 621] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 621] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 621] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 621] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 621] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 621] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 621] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 621] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 621] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 621] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 621] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 621] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 621] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 621] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 621] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 621] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 621] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 621] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 621] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 621] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 621] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 621] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 621] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 621] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 621] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 621] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 621] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 621] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 621] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 621] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 621] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 621] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 621] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 621] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 621] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 621] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 621] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 621] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 621] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 621] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 621] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 621] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 621] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 621] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 50 -[DEBUG][time= 621] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 621] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 621] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 621] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:13 -[DEBUG][time= 621] Brq: ---------------- -[DEBUG][time= 621] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 621] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 621] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 621] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 621] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 621] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 622] Tage: req: pc=0x0080000198, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 622] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 622] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 622] XSCore: c-mem(0 0 00000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 622] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 622] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 622] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 622] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 622] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 622] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 622] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 622] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 622] BusyTable_1: writeback 78 -[DEBUG][time= 622] BusyTable_1: writeback 79 -[DEBUG][time= 622] BusyTable_1: 78 is busy -[DEBUG][time= 622] BusyTable_1: 79 is busy -[DEBUG][time= 622] BusyTable_1: 80 is busy -[DEBUG][time= 622] BusyTable_1: 81 is busy -[DEBUG][time= 622] BPUStage2: in:(1 1) pc=0080000178 out:(1 1) pc=0080000158 -[DEBUG][time= 622] BPUStage2: validLatch=1 pc=0080000158 -[DEBUG][time= 622] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 622] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 622] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 622] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 622] WriteBackArbMtoN: out(0) pc(0x0080000098) writebacks 0x000000008000009c to pdest( 78) ldest( 1) -[INFO ][time= 622] WriteBackArbMtoN: out(1) pc(0x008000009c) writebacks 0x0000000040600000 to pdest( 79) ldest(15) -[DEBUG][time= 622] BPUStage1: in:(1 1) pc=0080000198 ghr=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 622] BPUStage1: outPred:(1) pc=0x0080000178, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 622] BPUStage3: [RAS]:pc=0x0080000158, rasWritePosition= 1, rasWriteAddr=0x0080000158 -[DEBUG][time= 622] BPUStage3: in:(1 1) pc=0080000158 -[DEBUG][time= 622] BPUStage3: out:1 pc=0080000138 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000158 -[DEBUG][time= 622] BPUStage3: flushS3=0 -[DEBUG][time= 622] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 622] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 622] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 622] JBTAC: read: pc=0x0080000198, histXORAddr=0x0080000266, bank=3, row= 38, hist=0000000000000000000000000000000000000000000000000000000111111111 -[DEBUG][time= 622] JBTAC: read_resp: pc=0x0080000178, bank=3, row= 40, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 622] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 622] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 622] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 622] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 622] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 622] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000c8 instr:00874783 -[DEBUG][time= 622] Ibuffer: Enque: -[DEBUG][time= 622] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 622] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 622] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 622] Ibuffer: 00000011001101001000011001100011 -[DEBUG][time= 622] Ibuffer: 00000001000001000011011110000011 -[DEBUG][time= 622] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 622] Ibuffer: 11111100000001111001101011100011 -[DEBUG][time= 622] Ibuffer: 00000000000010010000010100010011 -[DEBUG][time= 622] Ibuffer: Deque: -[DEBUG][time= 622] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 622] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 622] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 622] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 622] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 622] Ibuffer: 00000000100001110100011110000011 PC=00800000c8 v=1 r=0 -[DEBUG][time= 622] Ibuffer: last_head_ptr= 24 last_tail_ptr= 80 -[DEBUG][time= 622] BTB: read: pc=0x0080000198, baseBank=12, realMask=1111111111111111 -[DEBUG][time= 622] BTB: read_resp: pc=0x0080000178, readIdx=188------------------------------- -[DEBUG][time= 622] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 622] BTB: bankIdxInOrder:[DEBUG][time= 622] BTB: 12 [DEBUG][time= 622] BTB: 13 [DEBUG][time= 622] BTB: 14 [DEBUG][time= 622] BTB: 15 [DEBUG][time= 622] BTB: 0 [DEBUG][time= 622] BTB: 1 [DEBUG][time= 622] BTB: 2 [DEBUG][time= 622] BTB: 3 [DEBUG][time= 622] BTB: 4 [DEBUG][time= 622] BTB: 5 [DEBUG][time= 622] BTB: 6 [DEBUG][time= 622] BTB: 7 [DEBUG][time= 622] BTB: 8 [DEBUG][time= 622] BTB: 9 [DEBUG][time= 622] BTB: 10 [DEBUG][time= 622] BTB: 11 [DEBUG][time= 622] BTB: -[DEBUG][time= 622] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 6 -[DEBUG][time= 622] AluExeUnit: src1:0000000000000000 src2:0000000040600000 offset:0000000040600000 func:0000000 pc:000000008000009c -[DEBUG][time= 622] AluExeUnit: res:0000000040600000 aluRes:00000000040600000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0040600000 taken:0 -[DEBUG][time= 622] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:6 -[DEBUG][time= 622] Jump: src1:0000000080000098 offset:ffffffffffffffc4 func:0011011 type:JUMP pc:0000000080000098 res:000000008000009c -[INFO ][time= 622] Rename: pc:00800000b0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 81 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 622] Rename: pc:00800000b4 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 81 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 622] Rename: pc:00800000b8 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 82 old_pdest: 79 out v:0 r:0 -[INFO ][time= 622] Rename: pc:00800000bc in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 82 old_pdest: 32 out v:0 r:0 -[INFO ][time= 622] Rename: pc:00800000c0 in v:1 in rdy:0 lsrc1:10 -> psrc1: 77 lsrc2:15 -> psrc2: 79 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 622] Rename: pc:00800000c4 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 82 old_pdest: 80 out v:0 r:0 -[DEBUG][time= 622] Dispatch1: v:0 r:0 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 622] Dispatch1: v:0 r:0 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 622] Dispatch1: v:1 r:0 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 622] Dispatch1: v:1 r:0 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 622] Dispatch1: v:1 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 622] Dispatch1: v:1 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 622] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 622] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 622] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 622] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 622] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 622] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 622] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 622] Dispatch2: regfile 0 from 3 -[DEBUG][time= 622] Dispatch2: regfile 1 from 3 -[DEBUG][time= 622] Dispatch2: regfile 2 from 0 -[DEBUG][time= 622] Dispatch2: regfile 3 from 0 -[DEBUG][time= 622] Dispatch2: regfile 4 from 1 -[DEBUG][time= 622] Dispatch2: regfile 5 from 1 -[DEBUG][time= 622] Dispatch2: regfile 6 from 2 -[DEBUG][time= 622] Dispatch2: regfile 7 from 2 -[DEBUG][time= 622] Dispatch2: int regfile 0: addr 65, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 2: addr 63, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 4: addr 65, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 6: addr 64, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 622] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 622] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 622] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 622] Dispatch2: pc 0x0080000098 reads operands from ( 6, 0, 0000000080000098), ( 7, 0, ffffffffffffffc4), ( 0, 0, 0000000000000000) -[DEBUG][time= 622] Dispatch2: pc 0x008000009c reads operands from ( 0, 0, 0000000000000000), ( 1, 0, 0000000040600000), ( 0, 0, 0000000000000000) -[INFO ][time= 622] DecodeBuffer: in v:1 r:0 pc=00800000c8 -[INFO ][time= 622] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 622] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 622] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 622] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 622] DecodeBuffer: in v:0 r:0 pc=00800000c8 -[INFO ][time= 622] DecodeBuffer: out v:1 r:0 pc=00800000b0 -[INFO ][time= 622] DecodeBuffer: out v:1 r:0 pc=00800000b4 -[INFO ][time= 622] DecodeBuffer: out v:1 r:0 pc=00800000b8 -[INFO ][time= 622] DecodeBuffer: out v:1 r:0 pc=00800000bc -[INFO ][time= 622] DecodeBuffer: out v:1 r:0 pc=00800000c0 -[INFO ][time= 622] DecodeBuffer: out v:1 r:0 pc=00800000c4 -[ERROR][time= 622] Roq: CSR block should only happen in s_idle -[DEBUG][time= 622] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 622] Roq: writebacked 1 insts -[INFO ][time= 622] Roq: writebacked pc 0x008000009c wen 1 data 0x0000000040600000 ldst 15 pdst 79 skip 0 -[DEBUG][time= 622] Roq: head 0:18 tail 1:18 -[DEBUG][time= 622] Roq: wwwwwwvvvvvwwwwwvvvwwwwwwwvvvvvw -[DEBUG][time= 622] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 622] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 622] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 622] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 622] Roq: 0080000098 v 008000009c v 0080000040 v 0080000044 w -[DEBUG][time= 622] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 622] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 622] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 622] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 622] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 622] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 622] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 622] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 622] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 622] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 622] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 622] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 622] LsExeUnit: retiringStore now... -[DEBUG][time= 622] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484024------> s1 fire!!! -[DEBUG][time= 622] FakeCache: [Stage1_data] instr1:0x01813483 instr2:0x01013903 -[DEBUG][time= 622] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 622] FakeCache: [Stage2_data] instr1:0xf01ff0ef instr2:0x02043783 -[DEBUG][time= 622] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 622] FakeCache: [Stage3_data] instr1:0xfff4849b instr2:0x00178793 -[DEBUG][time= 622] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 622] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000198 || if1_pcUpdate:1 if1_pc:0x0080000178 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 622] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000178 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 622] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 622] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 622] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000158 if3_npc:0x0080000178 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 622] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000138 if4_npc:0x0080000158 -[DEBUG][time= 622] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000158 -[DEBUG][time= 622] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] starPC:0x0080000138 GroupPC:0x0080000120n -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x008000013c -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000140 -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x0080000144 -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction 03348663 pnpc:0x0080000148 -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction 01043783 pnpc:0x008000014c -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000150 -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction fc079ae3 pnpc:0x0080000154 -[DEBUG][time= 622] IFU: [IFU-Out-FetchPacket] instruction 00090513 pnpc:0x0080000158 -[INFO ][time= 622] IssueQueue: EnqData: src1:0000000080000098 src2:ffffffffffffffc4 src3:0000000000000000 pc:0080000098 roqIdx:10(for last cycle's Ctrl) -[INFO ][time= 622] IssueQueue: Deq:(1 1) [ 0|0000000080000098][ 0|ffffffffffffffc4][ 0|26f7d2634708bafb] pdest: 78 pc:0080000098 roqIdx:10 -[DEBUG][time= 622] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 622] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 622] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 622] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 622] IssueQueue: 0 |1|1| 0|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 622] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 622] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 622] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 622] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 622] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 622] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 622] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 622] IssueQueue_1: EnqData: src1:0000000000000000 src2:0000000040600000 src3:0000000000000000 pc:008000009c roqIdx:11(for last cycle's Ctrl) -[INFO ][time= 622] IssueQueue_1: Deq:(1 1) [ 0|0000000000000000][ 0|0000000040600000][ 0|c63124ed8740c04f] pdest: 79 pc:008000009c roqIdx:11 -[DEBUG][time= 622] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 622] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 79) -[DEBUG][time= 622] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 622] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 622] IssueQueue_1: 1 |1|1| 0|1|0000000000000005| 0|1|0000000000000000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 622] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 622] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 622] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 622] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 622] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 622] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 622] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 622] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 622] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 622] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 622] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 622] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 622] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 622] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 622] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 622] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 622] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 622] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 622] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 622] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 622] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 622] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 622] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 622] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 622] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 622] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 622] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 622] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 622] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 622] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 622] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 622] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 622] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 622] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 622] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 622] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 622] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 622] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 622] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 622] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 622] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 622] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 622] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 622] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 622] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 622] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 622] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 622] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 622] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 622] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 622] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 622] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 622] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 622] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 622] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 622] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 622] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 622] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 622] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 622] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 622] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 622] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 622] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 622] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 622] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 622] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 622] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 622] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 622] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 622] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 622] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 622] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 622] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 622] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 622] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 622] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 622] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 622] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 622] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 622] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 622] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 622] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 622] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 622] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 622] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 622] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 622] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 622] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 622] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 622] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 622] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 622] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 622] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 622] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 622] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 622] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 50 -[DEBUG][time= 622] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 622] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 50 -[DEBUG][time= 622] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 622] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 622] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 622] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:13 -[DEBUG][time= 622] Brq: ---------------- -[INFO ][time= 622] Brq: exu write back: brTag:f:0 v:10 pc=0080000098 pnpc=008000009c target=008000005c -[DEBUG][time= 622] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 622] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 622] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 622] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 622] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 622] Brq: enq v:0 rdy:1 pc:00800000c8 brTag:f:0 v:13 -[DEBUG][time= 623] Tage: req: pc=0x008000005c, hist=0000000000000000000000000000000000000000000000000000011111111011 -[DEBUG][time= 623] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 623] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 623] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 623] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 623] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 623] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 623] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 623] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 623] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 623] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 623] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 623] BusyTable_1: 80 is busy -[DEBUG][time= 623] BusyTable_1: 81 is busy -[DEBUG][time= 623] BPUStage2: in:(0 0) pc=0080000198 out:(0 0) pc=0080000178 -[DEBUG][time= 623] BPUStage2: validLatch=1 pc=0080000178 -[DEBUG][time= 623] BPUStage2: flush!!! -[DEBUG][time= 623] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 623] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 623] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 623] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 623] BPUStage1: in:(1 1) pc=008000005c ghr=0000000000000000000000000000000000000000000000000000011111111011 -[DEBUG][time= 623] BPUStage1: outPred:(0) pc=0x0080000198, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 623] BPUStage1: flush from backend: pc=0080000098 tgt=008000005c brTgt=008000005c btbType=01 taken=1 oldHist=0000000000000000000000000000000000000000000000000000001111111101 fetchIdx=0 isExcpt=0 -[DEBUG][time= 623] BPUStage3: flushS3=0 -[DEBUG][time= 623] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 623] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 623] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 623] JBTAC: read: pc=0x008000005c, histXORAddr=0x0080000faa, bank=5, row=122, hist=0000000000000000000000000000000000000000000000000000011111111011 -[DEBUG][time= 623] JBTAC: read_resp: pc=0x0080000198, bank=3, row= 38, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 623] JBTAC: [JBTAC]update_req: fetchPC=0x0080000098, writeValid=0, hist=0000000000000000000000000000000000000000000000000000001111111101, bank=1, row=118, target=0x008000005c, offset= 0, type=0x1 -[INFO ][time= 623] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 623] BTB: read: pc=0x008000005c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 623] BTB: read_resp: pc=0x0080000198, readIdx=204------------------------------- -[DEBUG][time= 623] BTB: read_resp[b=0][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=1][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=2][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=3][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=4][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=5][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=6][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=7][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=14][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: read_resp[b=15][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 623] BTB: bankIdxInOrder:[DEBUG][time= 623] BTB: 12 [DEBUG][time= 623] BTB: 13 [DEBUG][time= 623] BTB: 14 [DEBUG][time= 623] BTB: 15 [DEBUG][time= 623] BTB: 0 [DEBUG][time= 623] BTB: 1 [DEBUG][time= 623] BTB: 2 [DEBUG][time= 623] BTB: 3 [DEBUG][time= 623] BTB: 4 [DEBUG][time= 623] BTB: 5 [DEBUG][time= 623] BTB: 6 [DEBUG][time= 623] BTB: 7 [DEBUG][time= 623] BTB: 8 [DEBUG][time= 623] BTB: 9 [DEBUG][time= 623] BTB: 10 [DEBUG][time= 623] BTB: 11 [DEBUG][time= 623] BTB: -[DEBUG][time= 623] BTB: update_req: pc=0x0080000098, hit=0, misPred=1, oldCtr=0, taken=1, target=0x008000005c, btbType=1 -[DEBUG][time= 623] BTB: update: noNeedToUpdate=0, writeValid=1, bank=12, row= 4, newCtr=2 -[DEBUG][time= 623] MulExeUnit: redirect: f:0 v:10 -[DEBUG][time= 623] MulExeUnit_1: redirect: f:0 v:10 -[INFO ][time= 623] Rename: pc:00800000b0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 81 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 623] Rename: pc:00800000b4 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 81 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 623] Rename: pc:00800000b8 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 79 out v:0 r:1 -[INFO ][time= 623] Rename: pc:00800000bc in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 32 out v:0 r:1 -[INFO ][time= 623] Rename: pc:00800000c0 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2:15 -> psrc2: 79 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 623] Rename: pc:00800000c4 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 80 out v:0 r:1 -[DEBUG][time= 623] Dispatch1: pc=0080000098 brTag:f:0 v:10 -[DEBUG][time= 623] Dispatch1: pc=008000009c brTag:f:0 v:10 -[DEBUG][time= 623] Dispatch1: pc=00800000a0 brTag:f:0 v:10 -[DEBUG][time= 623] Dispatch1: pc=00800000a4 brTag:f:0 v:10 -[DEBUG][time= 623] Dispatch1: pc=00800000a8 brTag:f:0 v:10 -[DEBUG][time= 623] Dispatch1: pc=00800000ac brTag:f:0 v:10 -[INFO ][time= 623] Dispatch1: pc 0x008000009c with brTag a cancelled -[INFO ][time= 623] Dispatch1: pc 0x00800000a0 with brTag a cancelled -[INFO ][time= 623] Dispatch1: pc 0x00800000a4 with brTag a cancelled -[INFO ][time= 623] Dispatch1: pc 0x00800000a8 with brTag a cancelled -[INFO ][time= 623] Dispatch1: pc 0x00800000ac with brTag a cancelled -[DEBUG][time= 623] Dispatch1: v:0 r:1 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 623] Dispatch1: v:0 r:1 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 623] Dispatch1: v:1 r:1 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 623] Dispatch1: v:1 r:1 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 623] Dispatch1: v:1 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 623] Dispatch1: v:1 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 623] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 623] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 623] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 623] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 623] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 623] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 623] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 623] Dispatch2: regfile 0 from 0 -[DEBUG][time= 623] Dispatch2: regfile 1 from 0 -[DEBUG][time= 623] Dispatch2: regfile 2 from 1 -[DEBUG][time= 623] Dispatch2: regfile 3 from 1 -[DEBUG][time= 623] Dispatch2: regfile 4 from 2 -[DEBUG][time= 623] Dispatch2: regfile 5 from 2 -[DEBUG][time= 623] Dispatch2: regfile 6 from 3 -[DEBUG][time= 623] Dispatch2: regfile 7 from 3 -[DEBUG][time= 623] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 623] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 623] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 623] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 623] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 623] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 623] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 623] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 623] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 623] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 623] DecodeBuffer: out v:0 r:1 pc=00800000b0 -[INFO ][time= 623] DecodeBuffer: out v:0 r:1 pc=00800000b4 -[INFO ][time= 623] DecodeBuffer: out v:0 r:1 pc=00800000b8 -[INFO ][time= 623] DecodeBuffer: out v:0 r:1 pc=00800000bc -[INFO ][time= 623] DecodeBuffer: out v:0 r:1 pc=00800000c0 -[INFO ][time= 623] DecodeBuffer: out v:0 r:1 pc=00800000c4 -[ERROR][time= 623] Roq: CSR block should only happen in s_idle -[DEBUG][time= 623] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 623] Roq: writebacked 1 insts -[INFO ][time= 623] Roq: writebacked pc 0x0080000098 wen 1 data 0x000000008000009c ldst 1 pdst 78 skip 0 -[DEBUG][time= 623] Roq: roq full, switched to s_extrawalk. needExtraSpaceForMPR: 111100 -[DEBUG][time= 623] Roq: head 0:18 tail 1:18 -[DEBUG][time= 623] Roq: wwwwwwvvvvvwwwwwvwvwwwwwwwvvvvvw -[DEBUG][time= 623] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 623] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 623] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 623] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 623] Roq: 0080000098 v 008000009c w 0080000040 v 0080000044 w -[DEBUG][time= 623] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 623] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 623] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 623] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 623] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 623] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 623] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 623] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 623] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 623] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 623] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 623] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 623] LsExeUnit: retiringStore now... -[DEBUG][time= 623] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484056------> s1 fire!!! -[DEBUG][time= 623] FakeCache: [Stage1_data] instr1:0x0b313c23 instr2:0x0c113c23 -[DEBUG][time= 623] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 623] FakeCache: [Stage2_data] instr1:0x01813483 instr2:0x01013903 -[DEBUG][time= 623] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 623] FakeCache: [Stage3_data] instr1:0xf01ff0ef instr2:0x02043783 -[DEBUG][time= 623] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 623] IFU: [IF1]if1_valid:1 || if1_npc:0x008000005c || if1_pcUpdate:1 if1_pc:0x0080000198 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 623] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000198 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 623] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 623] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 623] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000178 if3_npc:0x0080000198 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 623] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000158 if4_npc:0x0080000178 -[DEBUG][time= 623] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000178 -[DEBUG][time= 623] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 623] IFU: [IFU-REDIRECT] target:0x008000005c -[INFO ][time= 623] IssueQueue: Redirect: valid:1 isExp:0 brTag:10 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 623] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 623] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 623] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 623] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 623] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 623] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 623] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 623] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 623] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 623] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 623] IssueQueue_1: Redirect: valid:1 isExp:0 brTag:10 redHitVec:11111110 redIdHitVec:11111110 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 623] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 623] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 623] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 623] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 623] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 623] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 623] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 623] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 623] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 623] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 623] IssueQueue_2: Redirect: valid:1 isExp:0 brTag:10 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 623] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 623] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 623] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 623] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 623] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 623] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 623] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 623] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 623] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 623] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 623] IssueQueue_3: Redirect: valid:1 isExp:0 brTag:10 redHitVec:11111000 redIdHitVec:11111000 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 623] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 623] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 623] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 623] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 623] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 623] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 623] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 623] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 623] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 623] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 623] IssueQueue_4: Redirect: valid:1 isExp:0 brTag:10 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 623] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 623] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 623] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 623] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 623] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 623] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 623] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 623] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 623] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 623] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 623] IssueQueue_5: Redirect: valid:1 isExp:0 brTag:10 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 623] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 623] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 623] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 623] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 623] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 623] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 623] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 623] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 623] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 623] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 623] IssueQueue_6: Redirect: valid:1 isExp:0 brTag:10 redHitVec:11111111 redIdHitVec:11111111 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 623] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 623] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 623] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 623] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 623] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 623] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 623] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 623] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 623] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 623] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 623] IssueQueue_7: Redirect: valid:1 isExp:0 brTag:10 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 623] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 623] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 623] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 623] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 623] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 623] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 623] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 623] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 623] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 623] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 623] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 623] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 623] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 623] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 623] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 623] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 623] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 623] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 623] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 623] FreeList: redirect: brqIdx=10 -[DEBUG][time= 623] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 623] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 623] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 623] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 623] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 623] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 623] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 623] FreeList_1: redirect: brqIdx=10 -[DEBUG][time= 623] Brq: headIdx:10 commitIdx:10 -[DEBUG][time= 623] Brq: headPtr:f:0 v:10 tailPtr:f:0 v:13 -[DEBUG][time= 623] Brq: ----------w----- -[INFO ][time= 623] Brq: commit branch to roq, mispred:1 pc=0080000098 -[INFO ][time= 623] Brq: brq redirect, target:008000005c -[DEBUG][time= 624] Tage: req: pc=0x008000007c, hist=0000000000000000000000000000000000000000000000000000011111111011 -[DEBUG][time= 624] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 624] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 624] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 624] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 624] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 624] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 624] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 624] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 624] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 624] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 624] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 624] BusyTable_1: writeback 81 -[DEBUG][time= 624] BusyTable_1: writeback 80 -[DEBUG][time= 624] BusyTable_1: 80 is busy -[DEBUG][time= 624] BusyTable_1: 81 is busy -[DEBUG][time= 624] BPUStage2: in:(1 1) pc=008000005c out:(0 1) pc=0080000178 -[DEBUG][time= 624] BPUStage2: validLatch=0 pc=0080000178 -[DEBUG][time= 624] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 624] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 624] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 624] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 624] BPUStage1: in:(1 1) pc=008000007c ghr=0000000000000000000000000000000000000000000000000000011111111011 -[DEBUG][time= 624] BPUStage1: outPred:(1) pc=0x008000005c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 624] BPUStage3: flushS3=1 -[DEBUG][time= 624] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 624] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=4 -[DEBUG][time= 624] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 624] JBTAC: read: pc=0x008000007c, histXORAddr=0x0080000f8a, bank=5, row=120, hist=0000000000000000000000000000000000000000000000000000011111111011 -[DEBUG][time= 624] JBTAC: read_resp: pc=0x008000005c, bank=5, row=122, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 624] BTB: read: pc=0x008000007c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 624] BTB: read_resp: pc=0x008000005c, readIdx= 46------------------------------- -[DEBUG][time= 624] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=12][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 624] BTB: bankIdxInOrder:[DEBUG][time= 624] BTB: 14 [DEBUG][time= 624] BTB: 15 [DEBUG][time= 624] BTB: 0 [DEBUG][time= 624] BTB: 1 [DEBUG][time= 624] BTB: 2 [DEBUG][time= 624] BTB: 3 [DEBUG][time= 624] BTB: 4 [DEBUG][time= 624] BTB: 5 [DEBUG][time= 624] BTB: 6 [DEBUG][time= 624] BTB: 7 [DEBUG][time= 624] BTB: 8 [DEBUG][time= 624] BTB: 9 [DEBUG][time= 624] BTB: 10 [DEBUG][time= 624] BTB: 11 [DEBUG][time= 624] BTB: 12 [DEBUG][time= 624] BTB: 13 [DEBUG][time= 624] BTB: -[INFO ][time= 624] Rename: int walk: pc:00800000ac ldst: 2 old_pdest: 36 -[INFO ][time= 624] Rename: int walk: pc:00800000a0 ldst:14 old_pdest: 76 -[DEBUG][time= 624] Dispatch1: v:0 r:1 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 624] Dispatch1: v:0 r:1 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 624] Dispatch1: v:0 r:1 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 624] Dispatch1: v:0 r:1 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 624] Dispatch1: v:0 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 624] Dispatch1: v:0 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 624] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 624] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 624] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 624] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 624] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 624] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 624] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 624] Dispatch2: regfile 0 from 0 -[DEBUG][time= 624] Dispatch2: regfile 1 from 0 -[DEBUG][time= 624] Dispatch2: regfile 2 from 1 -[DEBUG][time= 624] Dispatch2: regfile 3 from 1 -[DEBUG][time= 624] Dispatch2: regfile 4 from 2 -[DEBUG][time= 624] Dispatch2: regfile 5 from 2 -[DEBUG][time= 624] Dispatch2: regfile 6 from 3 -[DEBUG][time= 624] Dispatch2: regfile 7 from 3 -[DEBUG][time= 624] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 624] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 624] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 624] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 624] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 624] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 624] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 624] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 624] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 624] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 624] DecodeBuffer: out v:0 r:0 pc=00800000b0 -[INFO ][time= 624] DecodeBuffer: out v:0 r:0 pc=00800000b4 -[INFO ][time= 624] DecodeBuffer: out v:0 r:0 pc=00800000b8 -[INFO ][time= 624] DecodeBuffer: out v:0 r:0 pc=00800000bc -[INFO ][time= 624] DecodeBuffer: out v:0 r:0 pc=00800000c0 -[INFO ][time= 624] DecodeBuffer: out v:0 r:0 pc=00800000c4 -[ERROR][time= 624] Roq: CSR block should only happen in s_idle -[DEBUG][time= 624] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 624] Roq: use extra space walked pc 00800000ac wen 1 ldst 2 -[INFO ][time= 624] Roq: use extra space walked pc 00800000a8 wen 1 ldst 0 -[INFO ][time= 624] Roq: use extra space walked pc 00800000a4 wen 0 ldst 0 -[INFO ][time= 624] Roq: use extra space walked pc 00800000a0 wen 1 ldst 14 -[DEBUG][time= 624] Roq: head 0:17 tail 1:18 -[DEBUG][time= 624] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwvvvvvw -[DEBUG][time= 624] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 624] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 624] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 624] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 624] Roq: 0080000098 w 008000009c w 0080000040 v 0080000044 w -[DEBUG][time= 624] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 624] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 624] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 624] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 624] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 624] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 624] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 624] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 624] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 624] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 624] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 624] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 624] LsExeUnit: retiringStore now... -[DEBUG][time= 624] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483740------> s1 fire!!! -[DEBUG][time= 624] FakeCache: [Stage1_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 624] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 624] FakeCache: [Stage2_data] instr1:0x0b313c23 instr2:0x0c113c23 -[DEBUG][time= 624] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 624] FakeCache: [Stage3_data] instr1:0x01813483 instr2:0x01013903 -[DEBUG][time= 624] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 624] IFU: [IF1]if1_valid:1 || if1_npc:0x008000007c || if1_pcUpdate:1 if1_pc:0x008000005c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 624] IFU: [IF2]if2_valid:1 || if2_pc:0x008000005c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 624] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 624] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 624] IFU: [IF3]if3_valid:0 || if3_pc:0x0080000198 if3_npc:0x008000005c || if4_ready:1 -[DEBUG][time= 624] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000178 if4_npc:0x0080000198 -[DEBUG][time= 624] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000178 -[DEBUG][time= 624] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 624] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 624] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 624] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 624] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 624] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 624] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 624] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 624] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 624] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 624] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 624] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 624] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 624] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 624] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 624] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 624] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 624] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 624] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 624] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 624] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 624] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 624] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 624] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 624] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 624] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 624] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 624] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 624] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 624] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 624] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 624] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 624] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 624] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 624] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 624] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 624] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 624] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 624] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 624] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 624] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 624] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 624] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 624] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 624] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 624] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 624] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 624] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 624] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 624] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 624] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 624] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 624] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 624] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 624] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 624] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 624] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 624] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 624] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 624] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 624] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 624] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 624] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 624] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 624] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 624] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 624] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 624] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 624] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 624] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 624] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 624] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 624] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 624] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 624] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 624] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 624] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 624] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 624] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 624] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 624] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 624] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 624] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 624] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 624] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 624] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 624] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 624] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 624] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 624] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 624] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 624] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 624] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 624] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 624] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 624] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 624] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 624] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 624] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 624] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 624] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 624] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 624] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 624] FreeList_1: head:0: 47 tail:1: 31 -[DEBUG][time= 624] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 624] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 624] Brq: ---------------- -[DEBUG][time= 624] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 624] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 624] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 624] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 624] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 624] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 625] Tage: req: pc=0x008000009c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 625] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 625] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 625] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 625] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 625] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 625] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 625] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 625] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 625] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 625] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 625] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 625] BusyTable_1: writeback 79 -[DEBUG][time= 625] BPUStage2: in:(1 1) pc=008000007c out:(1 1) pc=008000005c -[DEBUG][time= 625] BPUStage2: validLatch=1 pc=008000005c -[DEBUG][time= 625] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 625] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 625] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 625] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 625] BPUStage1: in:(1 1) pc=008000009c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 625] BPUStage1: outPred:(1) pc=0x008000007c, redirect=1 instrValid=00001111 tgt=008000009c -[DEBUG][time= 625] BPUStage3: [RAS]:pc=0x008000005c, rasWritePosition= 1, rasWriteAddr=0x0080000178 -[DEBUG][time= 625] BPUStage3: in:(1 1) pc=008000005c -[DEBUG][time= 625] BPUStage3: flushS3=1 -[DEBUG][time= 625] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 625] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=4 -[DEBUG][time= 625] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 625] JBTAC: read: pc=0x008000009c, histXORAddr=0x0080001f72, bank=1, row=119, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 625] JBTAC: read_resp: pc=0x008000007c, bank=5, row=120, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 625] BTB: read: pc=0x008000009c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 625] BTB: read_resp: pc=0x008000007c, readIdx= 62------------------------------- -[DEBUG][time= 625] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400000, target=0x008000009c, type=1, ctr=2 -[DEBUG][time= 625] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=10][r= 4]: valid=1, tag=0x00400000, target=0x0080000010, type=1, ctr=2 -[DEBUG][time= 625] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=12][r= 4]: valid=1, tag=0x00400000, target=0x008000005c, type=1, ctr=2 -[DEBUG][time= 625] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 625] BTB: bankIdxInOrder:[DEBUG][time= 625] BTB: 14 [DEBUG][time= 625] BTB: 15 [DEBUG][time= 625] BTB: 0 [DEBUG][time= 625] BTB: 1 [DEBUG][time= 625] BTB: 2 [DEBUG][time= 625] BTB: 3 [DEBUG][time= 625] BTB: 4 [DEBUG][time= 625] BTB: 5 [DEBUG][time= 625] BTB: 6 [DEBUG][time= 625] BTB: 7 [DEBUG][time= 625] BTB: 8 [DEBUG][time= 625] BTB: 9 [DEBUG][time= 625] BTB: 10 [DEBUG][time= 625] BTB: 11 [DEBUG][time= 625] BTB: 12 [DEBUG][time= 625] BTB: 13 [DEBUG][time= 625] BTB: -[INFO ][time= 625] Rename: int walk: pc:008000009c ldst:15 old_pdest: 75 -[DEBUG][time= 625] Dispatch1: v:0 r:1 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 625] Dispatch1: v:0 r:1 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 625] Dispatch1: v:0 r:1 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 625] Dispatch1: v:0 r:1 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 625] Dispatch1: v:0 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 625] Dispatch1: v:0 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 625] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 625] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 625] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 625] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 625] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 625] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 625] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 625] Dispatch2: regfile 0 from 0 -[DEBUG][time= 625] Dispatch2: regfile 1 from 0 -[DEBUG][time= 625] Dispatch2: regfile 2 from 1 -[DEBUG][time= 625] Dispatch2: regfile 3 from 1 -[DEBUG][time= 625] Dispatch2: regfile 4 from 2 -[DEBUG][time= 625] Dispatch2: regfile 5 from 2 -[DEBUG][time= 625] Dispatch2: regfile 6 from 3 -[DEBUG][time= 625] Dispatch2: regfile 7 from 3 -[DEBUG][time= 625] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 625] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 625] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 625] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 625] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 625] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 625] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 625] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 625] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 625] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 625] DecodeBuffer: out v:0 r:0 pc=00800000b0 -[INFO ][time= 625] DecodeBuffer: out v:0 r:0 pc=00800000b4 -[INFO ][time= 625] DecodeBuffer: out v:0 r:0 pc=00800000b8 -[INFO ][time= 625] DecodeBuffer: out v:0 r:0 pc=00800000bc -[INFO ][time= 625] DecodeBuffer: out v:0 r:0 pc=00800000c0 -[INFO ][time= 625] DecodeBuffer: out v:0 r:0 pc=00800000c4 -[ERROR][time= 625] Roq: CSR block should only happen in s_idle -[DEBUG][time= 625] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 625] Roq: walked pc 008000009c wen 1 ldst 15 data 0000000040600000 -[INFO ][time= 625] Roq: rolling back: head 17 tail 18 walk 0:17 -[DEBUG][time= 625] Roq: head 0:17 tail 1:18 -[DEBUG][time= 625] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwvvvvvw -[DEBUG][time= 625] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 625] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 625] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 625] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 625] Roq: 0080000098 w 008000009c w 0080000040 v 0080000044 w -[DEBUG][time= 625] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 625] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 625] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 625] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 625] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 625] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 625] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 625] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 625] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 625] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 625] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 625] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 625] LsExeUnit: retiringStore now... -[DEBUG][time= 625] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483772------> s1 fire!!! -[DEBUG][time= 625] FakeCache: [Stage1_data] instr1:0x0000006f instr2:0xff010113 -[DEBUG][time= 625] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 625] FakeCache: [Stage2_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 625] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 625] FakeCache: [Stage3_data] instr1:0x01813483 instr2:0x01013903 -[DEBUG][time= 625] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 625] IFU: [IF1]if1_valid:1 || if1_npc:0x008000009c || if1_pcUpdate:1 if1_pc:0x008000007c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 625] IFU: [IF2]if2_valid:1 || if2_pc:0x008000007c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 625] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 625] IFU: [IF2-BPU-out]if2_btbTaken:1 || if2_btb_insMask:00001111 || if2_btb_target:0x008000009c -[DEBUG][time= 625] IFU: [IF3]if3_valid:1 || if3_pc:0x008000005c if3_npc:0x008000007c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 625] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000178 if4_npc:0x0080000198 -[DEBUG][time= 625] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000178 -[DEBUG][time= 625] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 625] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 625] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 625] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 625] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 625] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 625] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 625] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 625] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 625] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 625] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 625] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 625] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 625] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 625] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 625] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 625] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 625] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 625] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 625] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 625] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 625] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 625] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 625] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 625] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 625] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 625] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 625] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 625] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 625] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 625] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 625] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 625] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 625] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 625] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 625] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 625] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 625] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 625] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 625] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 625] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 625] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 625] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 625] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 625] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 625] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 625] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 625] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 625] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 625] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 625] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 625] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 625] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 625] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 625] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 625] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 625] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 625] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 625] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 625] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 625] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 625] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 625] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 625] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 625] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 625] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 625] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 625] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 625] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 625] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 625] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 625] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 625] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 625] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 625] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 625] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 625] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 625] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 625] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 625] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 625] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 625] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 625] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 625] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 625] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 625] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 625] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 625] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 625] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 625] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 625] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 625] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 625] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 625] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 625] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 625] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 625] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 625] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 625] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 625] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 625] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 625] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 625] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 625] FreeList_1: head:0: 47 tail:1: 31 -[DEBUG][time= 625] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 625] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 625] Brq: ---------------- -[DEBUG][time= 625] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 625] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 625] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 625] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 625] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 625] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 626] Tage: req: pc=0x00800000bc, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 626] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 626] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 626] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 626] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 626] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 626] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 626] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 626] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 626] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 626] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 626] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 626] BPUStage2: in:(1 1) pc=008000009c out:(1 1) pc=008000007c -[DEBUG][time= 626] BPUStage2: validLatch=1 pc=008000007c -[DEBUG][time= 626] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 626] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 626] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 626] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 626] BPUStage1: in:(1 1) pc=00800000bc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 626] BPUStage1: outPred:(1) pc=0x008000009c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 626] BPUStage3: [RAS]:pc=0x008000007c, rasWritePosition= 1, rasWriteAddr=0x008000007c -[DEBUG][time= 626] BPUStage3: in:(1 1) pc=008000007c -[DEBUG][time= 626] BPUStage3: out:1 pc=008000005c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000007c -[DEBUG][time= 626] BPUStage3: flushS3=0 -[DEBUG][time= 626] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 626] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 626] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 626] JBTAC: read: pc=0x00800000bc, histXORAddr=0x0080001f52, bank=1, row=117, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 626] JBTAC: read_resp: pc=0x008000009c, bank=1, row=119, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 626] Ibuffer: Enque: -[DEBUG][time= 626] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 626] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 626] Ibuffer: 00000000000001010000010110010011 -[DEBUG][time= 626] Ibuffer: 00000000000001010000010100010011 -[DEBUG][time= 626] Ibuffer: 00000000000001010000000001101011 -[DEBUG][time= 626] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 626] Ibuffer: 11000101000001010000010100010011 -[DEBUG][time= 626] Ibuffer: 00111101010100000000000011101111 -[DEBUG][time= 626] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 626] BTB: read: pc=0x00800000bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 626] BTB: read_resp: pc=0x008000009c, readIdx= 78------------------------------- -[DEBUG][time= 626] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 626] BTB: bankIdxInOrder:[DEBUG][time= 626] BTB: 14 [DEBUG][time= 626] BTB: 15 [DEBUG][time= 626] BTB: 0 [DEBUG][time= 626] BTB: 1 [DEBUG][time= 626] BTB: 2 [DEBUG][time= 626] BTB: 3 [DEBUG][time= 626] BTB: 4 [DEBUG][time= 626] BTB: 5 [DEBUG][time= 626] BTB: 6 [DEBUG][time= 626] BTB: 7 [DEBUG][time= 626] BTB: 8 [DEBUG][time= 626] BTB: 9 [DEBUG][time= 626] BTB: 10 [DEBUG][time= 626] BTB: 11 [DEBUG][time= 626] BTB: 12 [DEBUG][time= 626] BTB: 13 [DEBUG][time= 626] BTB: -[INFO ][time= 626] Rename: pc:00800000b0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 626] Rename: pc:00800000b4 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 626] Rename: pc:00800000b8 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 626] Rename: pc:00800000bc in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 32 out v:0 r:1 -[INFO ][time= 626] Rename: pc:00800000c0 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 626] Rename: pc:00800000c4 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[DEBUG][time= 626] Dispatch1: v:0 r:1 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 626] Dispatch1: v:0 r:1 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 626] Dispatch1: v:0 r:1 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 626] Dispatch1: v:0 r:1 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 626] Dispatch1: v:0 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 626] Dispatch1: v:0 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 626] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 626] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 626] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 626] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 626] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 626] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 626] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 626] Dispatch2: regfile 0 from 0 -[DEBUG][time= 626] Dispatch2: regfile 1 from 0 -[DEBUG][time= 626] Dispatch2: regfile 2 from 1 -[DEBUG][time= 626] Dispatch2: regfile 3 from 1 -[DEBUG][time= 626] Dispatch2: regfile 4 from 2 -[DEBUG][time= 626] Dispatch2: regfile 5 from 2 -[DEBUG][time= 626] Dispatch2: regfile 6 from 3 -[DEBUG][time= 626] Dispatch2: regfile 7 from 3 -[DEBUG][time= 626] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 626] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 626] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 626] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 626] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 626] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 626] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 626] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 626] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 626] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 626] DecodeBuffer: out v:0 r:1 pc=00800000b0 -[INFO ][time= 626] DecodeBuffer: out v:0 r:1 pc=00800000b4 -[INFO ][time= 626] DecodeBuffer: out v:0 r:1 pc=00800000b8 -[INFO ][time= 626] DecodeBuffer: out v:0 r:1 pc=00800000bc -[INFO ][time= 626] DecodeBuffer: out v:0 r:1 pc=00800000c0 -[INFO ][time= 626] DecodeBuffer: out v:0 r:1 pc=00800000c4 -[ERROR][time= 626] Roq: CSR block should only happen in s_idle -[DEBUG][time= 626] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 626] Roq: head 0:17 tail 1:18 -[DEBUG][time= 626] Roq: wwwwwwvvvvvwwwwww-vwwwwwwwvvvvvw -[DEBUG][time= 626] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 626] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 626] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 626] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 626] Roq: 0080000098 w 008000009c - 0080000040 v 0080000044 w -[DEBUG][time= 626] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 626] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 626] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 626] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 626] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 626] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 626] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 626] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 626] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 626] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 626] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 626] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 626] LsExeUnit: retiringStore now... -[DEBUG][time= 626] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483804------> s1 fire!!! -[DEBUG][time= 626] FakeCache: [Stage1_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 626] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 626] FakeCache: [Stage2_data] instr1:0x0000006f instr2:0xff010113 -[DEBUG][time= 626] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 626] FakeCache: [Stage3_data] instr1:0xff010113 instr2:0x00113423 -[DEBUG][time= 626] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 626] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000bc || if1_pcUpdate:1 if1_pc:0x008000009c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 626] IFU: [IF2]if2_valid:1 || if2_pc:0x008000009c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 626] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 626] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 626] IFU: [IF3]if3_valid:1 || if3_pc:0x008000007c if3_npc:0x008000009c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 626] IFU: [IF4]if4_valid:1 || if4_pc:0x008000005c if4_npc:0x008000007c -[DEBUG][time= 626] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000007c -[DEBUG][time= 626] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] starPC:0x008000005c GroupPC:0x0080000040n -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000060 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000064 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction 00050593 pnpc:0x0080000068 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction 00050513 pnpc:0x008000006c -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction 0005006b pnpc:0x0080000070 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000074 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction c5050513 pnpc:0x0080000078 -[DEBUG][time= 626] IFU: [IFU-Out-FetchPacket] instruction 3d5000ef pnpc:0x008000007c -[DEBUG][time= 626] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 626] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 626] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 626] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 626] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 626] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 626] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 626] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 626] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 626] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 626] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 626] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 626] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 626] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 626] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 626] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 626] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 626] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 626] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 626] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 626] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 626] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 626] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 626] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 626] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 626] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 626] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 626] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 626] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 626] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 626] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 626] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 626] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 626] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 626] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 626] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 626] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 626] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 626] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 626] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 626] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 626] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 626] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 626] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 626] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 626] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 626] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 626] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 626] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 626] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 626] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 626] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 626] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 626] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 626] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 626] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 626] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 626] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 626] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 626] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 626] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 626] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 626] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 626] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 626] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 626] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 626] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 626] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 626] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 626] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 626] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 626] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 626] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 626] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 626] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 626] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 626] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 626] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 626] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 626] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 626] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 626] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 626] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 626] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 626] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 626] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 626] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 626] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 626] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 626] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 626] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 626] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 626] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 626] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 626] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 626] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 626] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 626] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 626] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 626] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 626] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 626] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 626] FreeList_1: head:0: 47 tail:1: 31 -[DEBUG][time= 626] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 626] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 626] Brq: ---------------- -[DEBUG][time= 626] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 626] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 626] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 626] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 626] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 626] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:11 -[DEBUG][time= 627] Tage: req: pc=0x00800000dc, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 627] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 627] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 627] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 627] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 627] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 627] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 627] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 627] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 627] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 627] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 627] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 627] BPUStage2: in:(1 1) pc=00800000bc out:(1 1) pc=008000009c -[DEBUG][time= 627] BPUStage2: validLatch=1 pc=008000009c -[DEBUG][time= 627] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 627] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 627] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 627] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 627] BPUStage1: in:(1 1) pc=00800000dc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 627] BPUStage1: outPred:(1) pc=0x00800000bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 627] BPUStage3: [RAS]:pc=0x008000009c, rasWritePosition= 1, rasWriteAddr=0x008000008c -[DEBUG][time= 627] BPUStage3: in:(1 1) pc=008000009c -[DEBUG][time= 627] BPUStage3: out:1 pc=008000007c redirect=0 predcdMask=11111111 instrValid=00001111 tgt=008000009c -[DEBUG][time= 627] BPUStage3: flushS3=0 -[DEBUG][time= 627] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 627] BPUStage3: jmpIdx=3, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=3 retIdx=7 -[DEBUG][time= 627] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=1 -[DEBUG][time= 627] JBTAC: read: pc=0x00800000dc, histXORAddr=0x0080001f32, bank=1, row=115, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 627] JBTAC: read_resp: pc=0x00800000bc, bank=1, row=117, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 627] Frontend: inst:ff010113 pc:008000005c -[INFO ][time= 627] Frontend: inst:00113423 pc:0080000060 -[INFO ][time= 627] Frontend: inst:00050593 pc:0080000064 -[INFO ][time= 627] Frontend: inst:00050513 pc:0080000068 -[INFO ][time= 627] Frontend: inst:0005006b pc:008000006c -[INFO ][time= 627] Frontend: inst:00001517 pc:0080000070 -[DEBUG][time= 627] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:008000005c instr:ff010113 -[DEBUG][time= 627] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000060 instr:00113423 -[DEBUG][time= 627] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000064 instr:00050593 -[DEBUG][time= 627] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000068 instr:00050513 -[DEBUG][time= 627] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:008000006c instr:0005006b -[DEBUG][time= 627] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000070 instr:00001517 -[DEBUG][time= 627] Ibuffer: Enque: -[DEBUG][time= 627] Ibuffer: 00000000000000000000000001101111 -[DEBUG][time= 627] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 627] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 627] Ibuffer: 00000001010000000000000011101111 -[DEBUG][time= 627] Ibuffer: 00000000000000000001010100010111 -[DEBUG][time= 627] Ibuffer: 11000100100101010000010100010011 -[DEBUG][time= 627] Ibuffer: 11110111110111111111000011101111 -[DEBUG][time= 627] Ibuffer: 11111100010111111111000011101111 -[DEBUG][time= 627] Ibuffer: Deque: -[DEBUG][time= 627] Ibuffer: 11111111000000010000000100010011 PC=008000005c v=1 r=1 -[DEBUG][time= 627] Ibuffer: 00000000000100010011010000100011 PC=0080000060 v=1 r=1 -[DEBUG][time= 627] Ibuffer: 00000000000001010000010110010011 PC=0080000064 v=1 r=1 -[DEBUG][time= 627] Ibuffer: 00000000000001010000010100010011 PC=0080000068 v=1 r=1 -[DEBUG][time= 627] Ibuffer: 00000000000001010000000001101011 PC=008000006c v=1 r=1 -[DEBUG][time= 627] Ibuffer: 00000000000000000001010100010111 PC=0080000070 v=1 r=1 -[DEBUG][time= 627] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 627] BTB: read: pc=0x00800000dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 627] BTB: read_resp: pc=0x00800000bc, readIdx= 94------------------------------- -[DEBUG][time= 627] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 627] BTB: bankIdxInOrder:[DEBUG][time= 627] BTB: 14 [DEBUG][time= 627] BTB: 15 [DEBUG][time= 627] BTB: 0 [DEBUG][time= 627] BTB: 1 [DEBUG][time= 627] BTB: 2 [DEBUG][time= 627] BTB: 3 [DEBUG][time= 627] BTB: 4 [DEBUG][time= 627] BTB: 5 [DEBUG][time= 627] BTB: 6 [DEBUG][time= 627] BTB: 7 [DEBUG][time= 627] BTB: 8 [DEBUG][time= 627] BTB: 9 [DEBUG][time= 627] BTB: 10 [DEBUG][time= 627] BTB: 11 [DEBUG][time= 627] BTB: 12 [DEBUG][time= 627] BTB: 13 [DEBUG][time= 627] BTB: -[INFO ][time= 627] Rename: pc:00800000b0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 627] Rename: pc:00800000b4 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 627] Rename: pc:00800000b8 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 627] Rename: pc:00800000bc in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 8 -> pdest: 32 old_pdest: 32 out v:0 r:1 -[INFO ][time= 627] Rename: pc:00800000c0 in v:0 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 627] Rename: pc:00800000c4 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[DEBUG][time= 627] Dispatch1: v:0 r:1 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 627] Dispatch1: v:0 r:1 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 627] Dispatch1: v:0 r:1 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 627] Dispatch1: v:0 r:1 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 627] Dispatch1: v:0 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 627] Dispatch1: v:0 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 627] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 627] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 627] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 627] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 627] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 627] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 627] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 627] Dispatch2: regfile 0 from 0 -[DEBUG][time= 627] Dispatch2: regfile 1 from 0 -[DEBUG][time= 627] Dispatch2: regfile 2 from 1 -[DEBUG][time= 627] Dispatch2: regfile 3 from 1 -[DEBUG][time= 627] Dispatch2: regfile 4 from 2 -[DEBUG][time= 627] Dispatch2: regfile 5 from 2 -[DEBUG][time= 627] Dispatch2: regfile 6 from 3 -[DEBUG][time= 627] Dispatch2: regfile 7 from 3 -[DEBUG][time= 627] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 627] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 627] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 627] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 627] DecodeBuffer: in v:1 r:1 pc=008000005c -[INFO ][time= 627] DecodeBuffer: in v:1 r:1 pc=0080000060 -[INFO ][time= 627] DecodeBuffer: in v:1 r:1 pc=0080000064 -[INFO ][time= 627] DecodeBuffer: in v:1 r:1 pc=0080000068 -[INFO ][time= 627] DecodeBuffer: in v:1 r:1 pc=008000006c -[INFO ][time= 627] DecodeBuffer: in v:1 r:1 pc=0080000070 -[INFO ][time= 627] DecodeBuffer: out v:0 r:1 pc=00800000b0 -[INFO ][time= 627] DecodeBuffer: out v:0 r:1 pc=00800000b4 -[INFO ][time= 627] DecodeBuffer: out v:0 r:1 pc=00800000b8 -[INFO ][time= 627] DecodeBuffer: out v:0 r:1 pc=00800000bc -[INFO ][time= 627] DecodeBuffer: out v:0 r:1 pc=00800000c0 -[INFO ][time= 627] DecodeBuffer: out v:0 r:1 pc=00800000c4 -[ERROR][time= 627] Roq: CSR block should only happen in s_idle -[DEBUG][time= 627] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 627] Roq: head 0:17 tail 1:18 -[DEBUG][time= 627] Roq: wwwwwwvvvvvwwwwww-vwwwwwwwvvvvvw -[DEBUG][time= 627] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 627] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 627] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 627] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 627] Roq: 0080000098 w 008000009c - 0080000040 v 0080000044 w -[DEBUG][time= 627] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 627] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 627] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 627] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 627] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 627] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 627] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 627] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 627] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 627] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 627] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 627] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 627] LsExeUnit: retiringStore now... -[DEBUG][time= 627] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483836------> s1 fire!!! -[DEBUG][time= 627] FakeCache: [Stage1_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 627] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 627] FakeCache: [Stage2_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 627] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 627] FakeCache: [Stage3_data] instr1:0x0000006f instr2:0xff010113 -[DEBUG][time= 627] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 627] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000dc || if1_pcUpdate:1 if1_pc:0x00800000bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 627] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 627] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 627] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 627] IFU: [IF3]if3_valid:1 || if3_pc:0x008000009c if3_npc:0x00800000bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 627] IFU: [IF4]if4_valid:1 || if4_pc:0x008000007c if4_npc:0x008000009c -[DEBUG][time= 627] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:00001111 || if4_tage_target:0x008000009c -[DEBUG][time= 627] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] starPC:0x008000007c GroupPC:0x0080000060n -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instrmask 0000000011111111 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction 0000006f pnpc:0x0080000080 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x0080000084 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x0080000088 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction 014000ef pnpc:0x008000009c -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction 00001517 pnpc:0x0080000090 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction c4950513 pnpc:0x0080000094 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction f7dff0ef pnpc:0x0080000098 -[DEBUG][time= 627] IFU: [IFU-Out-FetchPacket] instruction fc5ff0ef pnpc:0x008000009c -[DEBUG][time= 627] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 627] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 627] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 627] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 627] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 627] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 627] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 627] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 627] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 627] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 627] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 627] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 627] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 627] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 627] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 627] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 627] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 627] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 627] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 627] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 627] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 627] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 627] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 627] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 627] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 627] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 627] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 627] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 627] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 627] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 627] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 627] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 627] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 627] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 627] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 627] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 627] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 627] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 627] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 627] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 627] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 627] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 627] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 627] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 627] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 627] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 627] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 627] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 627] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 627] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 627] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 627] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 627] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 627] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 627] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 627] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 627] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 627] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 627] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 627] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 627] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 627] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 627] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 627] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 627] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 627] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 627] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 627] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 627] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 627] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 627] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 627] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 627] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 627] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 627] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 627] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 627] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 627] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 627] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 627] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 627] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 627] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 627] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 627] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 627] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 627] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 627] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 627] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 627] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 627] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 627] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 627] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 627] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 627] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 627] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 627] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 627] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 627] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 627] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 627] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 627] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 627] FreeList_1: req:0 canAlloc:1 pdest: 79 headNext:0: 47 -[DEBUG][time= 627] FreeList_1: head:0: 47 tail:1: 31 -[DEBUG][time= 627] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 627] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 627] Brq: ---------------- -[DEBUG][time= 627] Brq: enq v:0 rdy:1 pc:008000005c brTag:f:0 v:11 -[DEBUG][time= 627] Brq: enq v:0 rdy:1 pc:0080000060 brTag:f:0 v:11 -[DEBUG][time= 627] Brq: enq v:0 rdy:1 pc:0080000064 brTag:f:0 v:11 -[DEBUG][time= 627] Brq: enq v:0 rdy:1 pc:0080000068 brTag:f:0 v:11 -[DEBUG][time= 627] Brq: enq v:0 rdy:1 pc:008000006c brTag:f:0 v:11 -[DEBUG][time= 627] Brq: enq v:0 rdy:1 pc:0080000070 brTag:f:0 v:11 -[DEBUG][time= 628] Tage: req: pc=0x00800000fc, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 628] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 628] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 628] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 628] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 628] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 628] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 628] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 628] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 628] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 628] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 628] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 628] BusyTable_1: Allocate 79 -[DEBUG][time= 628] BusyTable_1: Allocate 80 -[DEBUG][time= 628] BusyTable_1: Allocate 81 -[DEBUG][time= 628] BPUStage2: in:(1 1) pc=00800000dc out:(1 1) pc=00800000bc -[DEBUG][time= 628] BPUStage2: validLatch=1 pc=00800000bc -[DEBUG][time= 628] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 628] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 628] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 628] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 628] BPUStage1: in:(1 1) pc=00800000fc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 628] BPUStage1: outPred:(1) pc=0x00800000dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 628] BPUStage3: [RAS]:pc=0x00800000bc, rasWritePosition= 2, rasWriteAddr=0x00800000bc -[DEBUG][time= 628] BPUStage3: in:(1 1) pc=00800000bc -[DEBUG][time= 628] BPUStage3: out:1 pc=008000009c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000bc -[DEBUG][time= 628] BPUStage3: flushS3=0 -[DEBUG][time= 628] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 628] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 628] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 628] JBTAC: read: pc=0x00800000fc, histXORAddr=0x0080001f12, bank=1, row=113, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 628] JBTAC: read_resp: pc=0x00800000dc, bank=1, row=115, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 628] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 628] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 628] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 628] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 628] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 628] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 628] Ibuffer: Enque: -[DEBUG][time= 628] Ibuffer: 01000000011000000000011110110111 -[DEBUG][time= 628] Ibuffer: 00000000001100000000011100010011 -[DEBUG][time= 628] Ibuffer: 00000000111001111000011000100011 -[DEBUG][time= 628] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 628] Ibuffer: 11111111000000010000000100010011 -[DEBUG][time= 628] Ibuffer: 00000000100000010011000000100011 -[DEBUG][time= 628] Ibuffer: 00000000000100010011010000100011 -[DEBUG][time= 628] Ibuffer: 00000000101000000000011110010011 -[DEBUG][time= 628] Ibuffer: Deque: -[DEBUG][time= 628] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 628] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 628] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 628] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 628] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 628] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 628] Ibuffer: last_head_ptr= 12 last_tail_ptr= 24 -[DEBUG][time= 628] BTB: read: pc=0x00800000fc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 628] BTB: read_resp: pc=0x00800000dc, readIdx=110------------------------------- -[DEBUG][time= 628] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 628] BTB: bankIdxInOrder:[DEBUG][time= 628] BTB: 14 [DEBUG][time= 628] BTB: 15 [DEBUG][time= 628] BTB: 0 [DEBUG][time= 628] BTB: 1 [DEBUG][time= 628] BTB: 2 [DEBUG][time= 628] BTB: 3 [DEBUG][time= 628] BTB: 4 [DEBUG][time= 628] BTB: 5 [DEBUG][time= 628] BTB: 6 [DEBUG][time= 628] BTB: 7 [DEBUG][time= 628] BTB: 8 [DEBUG][time= 628] BTB: 9 [DEBUG][time= 628] BTB: 10 [DEBUG][time= 628] BTB: 11 [DEBUG][time= 628] BTB: 12 [DEBUG][time= 628] BTB: 13 [DEBUG][time= 628] BTB: -[INFO ][time= 628] Rename: pc:008000005c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 36 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 79 old_pdest: 36 out v:1 r:1 -[INFO ][time= 628] Rename: pc:0080000060 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 628] Rename: pc:0080000064 in v:1 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 80 old_pdest: 73 out v:1 r:1 -[INFO ][time= 628] Rename: pc:0080000068 in v:1 in rdy:1 lsrc1:10 -> psrc1: 77 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 81 old_pdest: 77 out v:1 r:1 -[INFO ][time= 628] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 628] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:1 -[DEBUG][time= 628] Dispatch1: v:0 r:1 pc 0x0080000098 of type 0000 is in 0-th slot -[DEBUG][time= 628] Dispatch1: v:0 r:1 pc 0x008000009c of type 0011 is in 1-th slot -[DEBUG][time= 628] Dispatch1: v:0 r:1 pc 0x00800000a0 of type 0011 is in 2-th slot -[DEBUG][time= 628] Dispatch1: v:0 r:1 pc 0x00800000a4 of type 1101 is in 3-th slot -[DEBUG][time= 628] Dispatch1: v:0 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 628] Dispatch1: v:0 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 628] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 628] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 628] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 628] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 628] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 628] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 628] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 628] Dispatch2: regfile 0 from 0 -[DEBUG][time= 628] Dispatch2: regfile 1 from 0 -[DEBUG][time= 628] Dispatch2: regfile 2 from 1 -[DEBUG][time= 628] Dispatch2: regfile 3 from 1 -[DEBUG][time= 628] Dispatch2: regfile 4 from 2 -[DEBUG][time= 628] Dispatch2: regfile 5 from 2 -[DEBUG][time= 628] Dispatch2: regfile 6 from 3 -[DEBUG][time= 628] Dispatch2: regfile 7 from 3 -[DEBUG][time= 628] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 628] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 628] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 628] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 628] DecodeBuffer: in v:1 r:0 pc=0080000074 -[INFO ][time= 628] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 628] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 628] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 628] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 628] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 628] DecodeBuffer: out v:1 r:1 pc=008000005c -[INFO ][time= 628] DecodeBuffer: out v:1 r:1 pc=0080000060 -[INFO ][time= 628] DecodeBuffer: out v:1 r:1 pc=0080000064 -[INFO ][time= 628] DecodeBuffer: out v:1 r:1 pc=0080000068 -[INFO ][time= 628] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 628] DecodeBuffer: out v:0 r:1 pc=0080000070 -[ERROR][time= 628] Roq: CSR block should only happen in s_idle -[DEBUG][time= 628] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 628] Roq: head 0:17 tail 1:18 -[DEBUG][time= 628] Roq: wwwwwwvvvvvwwwwww-vwwwwwwwvvvvvw -[DEBUG][time= 628] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 628] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 628] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 628] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 628] Roq: 0080000098 w 008000009c - 0080000040 v 0080000044 w -[DEBUG][time= 628] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 628] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 628] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 628] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 628] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 628] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 628] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 628] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 628] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 628] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 628] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 628] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 628] LsExeUnit: retiringStore now... -[DEBUG][time= 628] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483868------> s1 fire!!! -[DEBUG][time= 628] FakeCache: [Stage1_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 628] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 628] FakeCache: [Stage2_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 628] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 628] FakeCache: [Stage3_data] instr1:0x406007b7 instr2:0x00300713 -[DEBUG][time= 628] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 628] IFU: [IF1]if1_valid:1 || if1_npc:0x00800000fc || if1_pcUpdate:1 if1_pc:0x00800000dc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 628] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000dc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 628] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 628] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 628] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000bc if3_npc:0x00800000dc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 628] IFU: [IF4]if4_valid:1 || if4_pc:0x008000009c if4_npc:0x00800000bc -[DEBUG][time= 628] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000bc -[DEBUG][time= 628] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] starPC:0x008000009c GroupPC:0x0080000080n -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction 406007b7 pnpc:0x00800000a0 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction 00300713 pnpc:0x00800000a4 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction 00e78623 pnpc:0x00800000a8 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000ac -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction ff010113 pnpc:0x00800000b0 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction 00813023 pnpc:0x00800000b4 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction 00113423 pnpc:0x00800000b8 -[DEBUG][time= 628] IFU: [IFU-Out-FetchPacket] instruction 00a00793 pnpc:0x00800000bc -[DEBUG][time= 628] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 628] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 628] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 628] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 628] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 628] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 628] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 628] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 628] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 628] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 628] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 628] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 628] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 628] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 628] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 628] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 628] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 628] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 628] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 628] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 628] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 628] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 628] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 628] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 628] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 628] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 628] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 628] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 628] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 628] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 628] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 628] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 628] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 628] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 628] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 628] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 628] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 628] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 628] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 628] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 628] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 628] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 628] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 628] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 628] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 628] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 628] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 628] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 628] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 628] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 628] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 628] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 628] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 628] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 628] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 628] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 628] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 628] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 628] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 628] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 628] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 628] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 628] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 628] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 628] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 628] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 628] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 628] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 628] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 628] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 628] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 628] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 628] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 628] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 628] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 628] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 628] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 628] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 628] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 628] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 628] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 628] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 628] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 628] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 628] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 628] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 628] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 628] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 628] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 628] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 628] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 628] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 628] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 628] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 628] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 628] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 628] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 628] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 628] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 628] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 628] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 628] FreeList_1: req:1 canAlloc:1 pdest: 79 headNext:0: 48 -[DEBUG][time= 628] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 48 -[DEBUG][time= 628] FreeList_1: req:0 canAlloc:1 pdest: 80 headNext:0: 48 -[DEBUG][time= 628] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 49 -[DEBUG][time= 628] FreeList_1: req:1 canAlloc:1 pdest: 80 headNext:0: 49 -[DEBUG][time= 628] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 50 -[DEBUG][time= 628] FreeList_1: req:1 canAlloc:1 pdest: 81 headNext:0: 50 -[DEBUG][time= 628] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 628] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 628] FreeList_1: head:0: 47 tail:1: 31 -[DEBUG][time= 628] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 628] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 628] Brq: ---------------- -[DEBUG][time= 628] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 628] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 628] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 628] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 628] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 628] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 629] Tage: req: pc=0x008000011c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 629] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 629] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 629] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 629] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 629] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 629] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 629] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 629] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 629] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 629] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 629] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 629] BusyTable_1: 79 is busy -[DEBUG][time= 629] BusyTable_1: 80 is busy -[DEBUG][time= 629] BusyTable_1: 81 is busy -[DEBUG][time= 629] BPUStage2: in:(1 1) pc=00800000fc out:(1 1) pc=00800000dc -[DEBUG][time= 629] BPUStage2: validLatch=1 pc=00800000dc -[DEBUG][time= 629] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 629] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 629] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 629] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 629] BPUStage1: in:(1 1) pc=008000011c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 629] BPUStage1: outPred:(1) pc=0x00800000fc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 629] BPUStage3: [RAS]:pc=0x00800000dc, rasWritePosition= 2, rasWriteAddr=0x00800000dc -[DEBUG][time= 629] BPUStage3: in:(1 1) pc=00800000dc -[DEBUG][time= 629] BPUStage3: out:1 pc=00800000bc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000dc -[DEBUG][time= 629] BPUStage3: flushS3=0 -[DEBUG][time= 629] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 629] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 629] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 629] JBTAC: read: pc=0x008000011c, histXORAddr=0x0080001ef2, bank=1, row=111, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 629] JBTAC: read_resp: pc=0x00800000fc, bank=1, row=113, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 629] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 629] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 629] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 629] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 629] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 629] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 629] Ibuffer: Enque: -[DEBUG][time= 629] Ibuffer: 00000000000001010000010000010011 -[DEBUG][time= 629] Ibuffer: 00000010111101010000010001100011 -[DEBUG][time= 629] Ibuffer: 01000000011000000000011100110111 -[DEBUG][time= 629] Ibuffer: 00000000100001110100011110000011 -[DEBUG][time= 629] Ibuffer: 00000000100001111111011110010011 -[DEBUG][time= 629] Ibuffer: 11111110000001111001110011100011 -[DEBUG][time= 629] Ibuffer: 00000000100001110000001000100011 -[DEBUG][time= 629] Ibuffer: 00000000100000010011000010000011 -[DEBUG][time= 629] Ibuffer: Deque: -[DEBUG][time= 629] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 629] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 629] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 629] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 629] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 629] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 629] Ibuffer: last_head_ptr= 12 last_tail_ptr= 40 -[DEBUG][time= 629] BTB: read: pc=0x008000011c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 629] BTB: read_resp: pc=0x00800000fc, readIdx=126------------------------------- -[DEBUG][time= 629] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 629] BTB: bankIdxInOrder:[DEBUG][time= 629] BTB: 14 [DEBUG][time= 629] BTB: 15 [DEBUG][time= 629] BTB: 0 [DEBUG][time= 629] BTB: 1 [DEBUG][time= 629] BTB: 2 [DEBUG][time= 629] BTB: 3 [DEBUG][time= 629] BTB: 4 [DEBUG][time= 629] BTB: 5 [DEBUG][time= 629] BTB: 6 [DEBUG][time= 629] BTB: 7 [DEBUG][time= 629] BTB: 8 [DEBUG][time= 629] BTB: 9 [DEBUG][time= 629] BTB: 10 [DEBUG][time= 629] BTB: 11 [DEBUG][time= 629] BTB: 12 [DEBUG][time= 629] BTB: 13 [DEBUG][time= 629] BTB: -[INFO ][time= 629] Rename: pc:008000005c in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:0 -[INFO ][time= 629] Rename: pc:0080000060 in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 629] Rename: pc:0080000064 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 80 out v:0 r:0 -[INFO ][time= 629] Rename: pc:0080000068 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[INFO ][time= 629] Rename: pc:008000006c in v:1 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 629] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[INFO ][time= 629] Dispatch1: pc 0x008000005c accepted by queue 1 0 0 -[DEBUG][time= 629] Dispatch1: pc 0x008000005c receives nroq 17 -[DEBUG][time= 629] Dispatch1: v:1 r:0 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 629] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 629] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 629] Dispatch1: v:1 r:0 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 629] Dispatch1: v:0 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 629] Dispatch1: v:0 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 629] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 629] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 629] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 629] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 629] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 629] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 629] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 629] Dispatch2: regfile 0 from 0 -[DEBUG][time= 629] Dispatch2: regfile 1 from 0 -[DEBUG][time= 629] Dispatch2: regfile 2 from 1 -[DEBUG][time= 629] Dispatch2: regfile 3 from 1 -[DEBUG][time= 629] Dispatch2: regfile 4 from 2 -[DEBUG][time= 629] Dispatch2: regfile 5 from 2 -[DEBUG][time= 629] Dispatch2: regfile 6 from 3 -[DEBUG][time= 629] Dispatch2: regfile 7 from 3 -[DEBUG][time= 629] Dispatch2: int regfile 0: addr 63, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 629] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 629] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 629] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 629] DecodeBuffer: in v:1 r:0 pc=0080000074 -[INFO ][time= 629] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 629] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 629] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 629] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 629] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 629] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 629] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 629] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 629] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 629] DecodeBuffer: out v:1 r:0 pc=008000006c -[INFO ][time= 629] DecodeBuffer: out v:0 r:0 pc=0080000070 -[ERROR][time= 629] Roq: CSR block should only happen in s_idle -[DEBUG][time= 629] Roq: (ready, valid): (1, 1) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[INFO ][time= 629] Roq: dispatched 1 insts -[DEBUG][time= 629] Roq: head 0:17 tail 1:18 -[DEBUG][time= 629] Roq: wwwwwwvvvvvwwwwww-vwwwwwwwvvvvvw -[DEBUG][time= 629] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 629] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 629] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 629] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 629] Roq: 0080000098 w 008000009c - 0080000040 v 0080000044 w -[DEBUG][time= 629] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 629] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 629] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 629] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 629] DispatchQueue: IntDpQ: num_enq = 1, tail = (15 -> 0) -[DEBUG][time= 629] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 629] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 629] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 629] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 629] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 629] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 629] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 629] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 629] LsExeUnit: retiringStore now... -[DEBUG][time= 629] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483900------> s1 fire!!! -[DEBUG][time= 629] FakeCache: [Stage1_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 629] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 629] FakeCache: [Stage2_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 629] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 629] FakeCache: [Stage3_data] instr1:0x00050413 instr2:0x02f50463 -[DEBUG][time= 629] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 629] IFU: [IF1]if1_valid:1 || if1_npc:0x008000011c || if1_pcUpdate:1 if1_pc:0x00800000fc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 629] IFU: [IF2]if2_valid:1 || if2_pc:0x00800000fc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 629] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 629] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 629] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000dc if3_npc:0x00800000fc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 629] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000bc if4_npc:0x00800000dc -[DEBUG][time= 629] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000dc -[DEBUG][time= 629] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] starPC:0x00800000bc GroupPC:0x00800000a0n -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction 00050413 pnpc:0x00800000c0 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction 02f50463 pnpc:0x00800000c4 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction 40600737 pnpc:0x00800000c8 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction 00874783 pnpc:0x00800000cc -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction 0087f793 pnpc:0x00800000d0 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction fe079ce3 pnpc:0x00800000d4 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction 00870223 pnpc:0x00800000d8 -[DEBUG][time= 629] IFU: [IFU-Out-FetchPacket] instruction 00813083 pnpc:0x00800000dc -[DEBUG][time= 629] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 629] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 629] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 629] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 629] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 629] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 629] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 629] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 629] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 629] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 629] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 629] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 629] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 629] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 629] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 629] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 629] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 629] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 629] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 629] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 629] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 629] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 629] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 629] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 629] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 629] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 629] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 629] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 629] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 629] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 629] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 629] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 629] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 629] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 629] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 629] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 629] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 629] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 629] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 629] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 629] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 629] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 629] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 629] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 629] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 629] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 629] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 629] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 629] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 629] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 629] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 629] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 629] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 629] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 629] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 629] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 629] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 629] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 629] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 629] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 629] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 629] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 629] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 629] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 629] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 629] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 629] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 629] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 629] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 629] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 629] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 629] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 629] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 629] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 629] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 629] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 629] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 629] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 629] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 629] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 629] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 629] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 629] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 629] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 629] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 629] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 629] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 629] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 629] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 629] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 629] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 629] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 629] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 629] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 629] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 629] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 629] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 629] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 629] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 629] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 629] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 629] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 50 -[DEBUG][time= 629] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 629] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 629] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 629] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 629] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 629] Brq: ---------------- -[DEBUG][time= 629] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 629] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 629] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 629] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 629] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 629] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 630] Tage: req: pc=0x008000013c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 630] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 630] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 630] XSCore: c-mem(0 0 00000f00| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f08| 0 1) tlb (1 0 0080000f08| 0 1) -[DEBUG][time= 630] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 630] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 630] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 630] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 630] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 630] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 630] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 630] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 630] BusyTable_1: 79 is busy -[DEBUG][time= 630] BusyTable_1: 80 is busy -[DEBUG][time= 630] BusyTable_1: 81 is busy -[DEBUG][time= 630] BPUStage2: in:(1 1) pc=008000011c out:(1 1) pc=00800000fc -[DEBUG][time= 630] BPUStage2: validLatch=1 pc=00800000fc -[DEBUG][time= 630] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 630] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 630] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 630] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 630] BPUStage1: in:(1 1) pc=008000013c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 630] BPUStage1: outPred:(1) pc=0x008000011c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 630] BPUStage3: [RAS]:pc=0x00800000fc, rasWritePosition= 2, rasWriteAddr=0x00800000fc -[DEBUG][time= 630] BPUStage3: in:(1 1) pc=00800000fc -[DEBUG][time= 630] BPUStage3: out:1 pc=00800000dc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800000fc -[DEBUG][time= 630] BPUStage3: flushS3=0 -[DEBUG][time= 630] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 630] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 630] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 630] JBTAC: read: pc=0x008000013c, histXORAddr=0x0080001ed2, bank=1, row=109, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 630] JBTAC: read_resp: pc=0x008000011c, bank=1, row=111, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 630] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 630] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 630] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 630] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 630] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 630] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 630] Ibuffer: Enque: -[DEBUG][time= 630] Ibuffer: 00000000000000010011010000000011 -[DEBUG][time= 630] Ibuffer: 00000001000000010000000100010011 -[DEBUG][time= 630] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 630] Ibuffer: 00000000110100000000010100010011 -[DEBUG][time= 630] Ibuffer: 11111100000111111111000011101111 -[DEBUG][time= 630] Ibuffer: 11111101010111111111000001101111 -[DEBUG][time= 630] Ibuffer: 00001000000001011000110001100011 -[DEBUG][time= 630] Ibuffer: 11111101000000010000000100010011 -[DEBUG][time= 630] Ibuffer: Deque: -[DEBUG][time= 630] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 630] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 630] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 630] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 630] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 630] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 630] Ibuffer: last_head_ptr= 12 last_tail_ptr= 56 -[DEBUG][time= 630] BTB: read: pc=0x008000013c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 630] BTB: read_resp: pc=0x008000011c, readIdx=142------------------------------- -[DEBUG][time= 630] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 630] BTB: bankIdxInOrder:[DEBUG][time= 630] BTB: 14 [DEBUG][time= 630] BTB: 15 [DEBUG][time= 630] BTB: 0 [DEBUG][time= 630] BTB: 1 [DEBUG][time= 630] BTB: 2 [DEBUG][time= 630] BTB: 3 [DEBUG][time= 630] BTB: 4 [DEBUG][time= 630] BTB: 5 [DEBUG][time= 630] BTB: 6 [DEBUG][time= 630] BTB: 7 [DEBUG][time= 630] BTB: 8 [DEBUG][time= 630] BTB: 9 [DEBUG][time= 630] BTB: 10 [DEBUG][time= 630] BTB: 11 [DEBUG][time= 630] BTB: 12 [DEBUG][time= 630] BTB: 13 [DEBUG][time= 630] BTB: -[INFO ][time= 630] Rename: pc:008000005c in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:0 -[INFO ][time= 630] Rename: pc:0080000060 in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 630] Rename: pc:0080000064 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 80 out v:0 r:0 -[INFO ][time= 630] Rename: pc:0080000068 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[INFO ][time= 630] Rename: pc:008000006c in v:1 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 630] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[DEBUG][time= 630] Dispatch1: v:0 r:0 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 630] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 630] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 630] Dispatch1: v:1 r:0 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 630] Dispatch1: v:0 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 630] Dispatch1: v:0 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 630] Dispatch2: int dp queue 0: 008000005c type 0011 -[DEBUG][time= 630] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 630] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 630] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 630] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 630] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 630] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 630] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 630] Dispatch2: regfile 0 from 0 -[DEBUG][time= 630] Dispatch2: regfile 1 from 0 -[DEBUG][time= 630] Dispatch2: regfile 2 from 1 -[DEBUG][time= 630] Dispatch2: regfile 3 from 1 -[DEBUG][time= 630] Dispatch2: regfile 4 from 2 -[DEBUG][time= 630] Dispatch2: regfile 5 from 2 -[DEBUG][time= 630] Dispatch2: regfile 6 from 3 -[DEBUG][time= 630] Dispatch2: regfile 7 from 3 -[DEBUG][time= 630] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 630] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 630] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 630] Dispatch2: pc 0x008000005c with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 630] Dispatch2: pc 0x008000005c leaves Int dispatch queue with nroq 17 -[DEBUG][time= 630] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 630] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 630] DecodeBuffer: in v:1 r:0 pc=0080000074 -[INFO ][time= 630] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 630] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 630] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 630] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 630] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 630] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 630] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 630] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 630] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 630] DecodeBuffer: out v:1 r:0 pc=008000006c -[INFO ][time= 630] DecodeBuffer: out v:0 r:0 pc=0080000070 -[ERROR][time= 630] Roq: CSR block should only happen in s_idle -[DEBUG][time= 630] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[DEBUG][time= 630] Roq: head 0:18 tail 1:18 -[DEBUG][time= 630] Roq: wwwwwwvvvvvwwwwwwvvwwwwwwwvvvvvw -[DEBUG][time= 630] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 630] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 630] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 630] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 630] Roq: 0080000098 w 008000005c v 0080000040 v 0080000044 w -[DEBUG][time= 630] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 630] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 630] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 630] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 630] DispatchQueue: IntDpQ: num_deq = 1, head = (15 -> 0) -[DEBUG][time= 630] DispatchQueue: IntDpQ: valid_entries = 1, head = (0, 15), tail = (1, 0), -[DEBUG][time= 630] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 630] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 630] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 630] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 630] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 630] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 630] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 630] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 630] LsExeUnit: retiringStore now... -[DEBUG][time= 630] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483932------> s1 fire!!! -[DEBUG][time= 630] FakeCache: [Stage1_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 630] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 630] FakeCache: [Stage2_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 630] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 630] FakeCache: [Stage3_data] instr1:0x00013403 instr2:0x01010113 -[DEBUG][time= 630] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 630] IFU: [IF1]if1_valid:1 || if1_npc:0x008000013c || if1_pcUpdate:1 if1_pc:0x008000011c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 630] IFU: [IF2]if2_valid:1 || if2_pc:0x008000011c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 630] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 630] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 630] IFU: [IF3]if3_valid:1 || if3_pc:0x00800000fc if3_npc:0x008000011c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 630] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000dc if4_npc:0x00800000fc -[DEBUG][time= 630] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800000fc -[DEBUG][time= 630] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] starPC:0x00800000dc GroupPC:0x00800000c0n -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction 00013403 pnpc:0x00800000e0 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction 01010113 pnpc:0x00800000e4 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x00800000e8 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction 00d00513 pnpc:0x00800000ec -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction fc1ff0ef pnpc:0x00800000f0 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction fd5ff06f pnpc:0x00800000f4 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction 08058c63 pnpc:0x00800000f8 -[DEBUG][time= 630] IFU: [IFU-Out-FetchPacket] instruction fd010113 pnpc:0x00800000fc -[DEBUG][time= 630] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 630] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 630] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 630] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 630] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 630] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 630] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 630] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 630] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 630] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 630] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 630] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 36:1 0:1 0:0) Dest: 79 oldDest: 36 pc:008000005c roqIdx:11 -[DEBUG][time= 630] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 630] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 630] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 630] IssueQueue_1: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000050|0e <- -[DEBUG][time= 630] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 -[DEBUG][time= 630] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 630] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 630] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 630] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 630] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 630] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 630] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 630] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 630] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 630] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 630] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 630] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 630] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 630] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 630] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 630] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 630] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 630] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 630] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 630] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 630] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 630] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 630] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 630] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 630] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 630] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 630] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 630] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 630] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 630] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 630] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 630] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 630] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 630] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 630] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 630] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 630] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 630] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 630] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 630] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 630] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 630] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 630] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 630] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 630] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 630] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 630] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 630] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 630] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 630] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 630] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 630] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 630] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 630] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 630] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 630] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 630] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 630] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 630] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 630] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 630] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 630] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 630] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 630] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 630] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 630] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 630] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 630] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 630] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 630] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 630] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 630] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 630] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 630] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 630] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 630] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 630] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 630] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 630] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 630] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 630] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 630] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 630] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 630] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 630] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 630] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 50 -[DEBUG][time= 630] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 630] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 630] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 630] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 630] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 630] Brq: ---------------- -[DEBUG][time= 630] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 630] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 630] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 630] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 630] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 630] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 631] Tage: req: pc=0x008000015c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 631] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 631] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 631] XSCore: c-mem(0 0 00000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f08| 1 1) tlb (1 0 0080000f08| 1 1) -[DEBUG][time= 631] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 631] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 631] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 631] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 631] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 631] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 631] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 631] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 631] BusyTable_1: writeback 79 -[DEBUG][time= 631] BusyTable_1: 79 is busy -[DEBUG][time= 631] BusyTable_1: 80 is busy -[DEBUG][time= 631] BusyTable_1: 81 is busy -[DEBUG][time= 631] BPUStage2: in:(1 1) pc=008000013c out:(1 1) pc=008000011c -[DEBUG][time= 631] BPUStage2: validLatch=1 pc=008000011c -[DEBUG][time= 631] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 631] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 631] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 631] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 631] WriteBackArbMtoN: out(1) pc(0x008000005c) writebacks 0x0000000080008fe0 to pdest( 79) ldest( 2) -[DEBUG][time= 631] BPUStage1: in:(1 1) pc=008000015c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 631] BPUStage1: outPred:(1) pc=0x008000013c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 631] BPUStage3: [RAS]:pc=0x008000011c, rasWritePosition= 2, rasWriteAddr=0x008000011c -[DEBUG][time= 631] BPUStage3: in:(1 1) pc=008000011c -[DEBUG][time= 631] BPUStage3: out:1 pc=00800000fc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000011c -[DEBUG][time= 631] BPUStage3: flushS3=0 -[DEBUG][time= 631] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 631] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 631] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 631] JBTAC: read: pc=0x008000015c, histXORAddr=0x0080001eb2, bank=1, row=107, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 631] JBTAC: read_resp: pc=0x008000013c, bank=1, row=109, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 631] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 631] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 631] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 631] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 631] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 631] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 631] Ibuffer: Enque: -[DEBUG][time= 631] Ibuffer: 00000010100000010011000000100011 -[DEBUG][time= 631] Ibuffer: 00000000100100010011110000100011 -[DEBUG][time= 631] Ibuffer: 00000001001000010011100000100011 -[DEBUG][time= 631] Ibuffer: 00000001001100010011010000100011 -[DEBUG][time= 631] Ibuffer: 00000010000100010011010000100011 -[DEBUG][time= 631] Ibuffer: 11111111111101011000010010011011 -[DEBUG][time= 631] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 631] Ibuffer: 00000000000001010000100100010011 -[DEBUG][time= 631] Ibuffer: Deque: -[DEBUG][time= 631] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 631] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 631] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 631] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 631] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 631] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 631] Ibuffer: last_head_ptr= 12 last_tail_ptr= 72 -[DEBUG][time= 631] BTB: read: pc=0x008000015c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 631] BTB: read_resp: pc=0x008000013c, readIdx=158------------------------------- -[DEBUG][time= 631] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 631] BTB: bankIdxInOrder:[DEBUG][time= 631] BTB: 14 [DEBUG][time= 631] BTB: 15 [DEBUG][time= 631] BTB: 0 [DEBUG][time= 631] BTB: 1 [DEBUG][time= 631] BTB: 2 [DEBUG][time= 631] BTB: 3 [DEBUG][time= 631] BTB: 4 [DEBUG][time= 631] BTB: 5 [DEBUG][time= 631] BTB: 6 [DEBUG][time= 631] BTB: 7 [DEBUG][time= 631] BTB: 8 [DEBUG][time= 631] BTB: 9 [DEBUG][time= 631] BTB: 10 [DEBUG][time= 631] BTB: 11 [DEBUG][time= 631] BTB: 12 [DEBUG][time= 631] BTB: 13 [DEBUG][time= 631] BTB: -[DEBUG][time= 631] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 9 -[DEBUG][time= 631] AluExeUnit: src1:0000000080008ff0 src2:fffffffffffffff0 offset:fffffffffffffff0 func:0000000 pc:000000008000005c -[DEBUG][time= 631] AluExeUnit: res:0000000080008fe0 aluRes:10000000080008fe0 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080008fe0 taken:0 -[INFO ][time= 631] Rename: pc:008000005c in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:0 -[INFO ][time= 631] Rename: pc:0080000060 in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 631] Rename: pc:0080000064 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 80 out v:0 r:0 -[INFO ][time= 631] Rename: pc:0080000068 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[INFO ][time= 631] Rename: pc:008000006c in v:1 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 631] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[DEBUG][time= 631] Dispatch1: v:0 r:0 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 631] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 631] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 631] Dispatch1: v:1 r:0 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 631] Dispatch1: v:0 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 631] Dispatch1: v:0 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 631] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 631] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 631] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 631] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 631] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 631] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 631] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 631] Dispatch2: regfile 0 from 3 -[DEBUG][time= 631] Dispatch2: regfile 1 from 3 -[DEBUG][time= 631] Dispatch2: regfile 2 from 0 -[DEBUG][time= 631] Dispatch2: regfile 3 from 0 -[DEBUG][time= 631] Dispatch2: regfile 4 from 1 -[DEBUG][time= 631] Dispatch2: regfile 5 from 1 -[DEBUG][time= 631] Dispatch2: regfile 6 from 2 -[DEBUG][time= 631] Dispatch2: regfile 7 from 2 -[DEBUG][time= 631] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 2: addr 65, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 4: addr 64, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 6: addr 65, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 631] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 631] Dispatch2: pc 0x008000003c waits at Ls dispatch queue with index 0 -[DEBUG][time= 631] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[DEBUG][time= 631] Dispatch2: pc 0x008000005c reads operands from ( 0, 36, 0000000080008ff0), ( 1, 0, fffffffffffffff0), ( 0, 0, 0000000000000000) -[INFO ][time= 631] DecodeBuffer: in v:1 r:0 pc=0080000074 -[INFO ][time= 631] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 631] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 631] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 631] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 631] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 631] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 631] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 631] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 631] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 631] DecodeBuffer: out v:1 r:0 pc=008000006c -[INFO ][time= 631] DecodeBuffer: out v:0 r:0 pc=0080000070 -[ERROR][time= 631] Roq: CSR block should only happen in s_idle -[DEBUG][time= 631] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[INFO ][time= 631] Roq: writebacked 1 insts -[INFO ][time= 631] Roq: writebacked pc 0x008000005c wen 1 data 0x0000000080008fe0 ldst 2 pdst 79 skip 0 -[DEBUG][time= 631] Roq: head 0:18 tail 1:18 -[DEBUG][time= 631] Roq: wwwwwwvvvvvwwwwwwvvwwwwwwwvvvvvw -[DEBUG][time= 631] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 631] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 631] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 631] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 631] Roq: 0080000098 w 008000005c v 0080000040 v 0080000044 w -[DEBUG][time= 631] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 631] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 631] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 631] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 631] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 631] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 631] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 631] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 631] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 631] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 631] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 631] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 631] LsExeUnit: retiringStore now... -[INFO ][time= 631] LsExeUnit: [DMEM RESP] data 0000000000000003 -[DEBUG][time= 631] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483964------> s1 fire!!! -[DEBUG][time= 631] FakeCache: [Stage1_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 631] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 631] FakeCache: [Stage2_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 631] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 631] FakeCache: [Stage3_data] instr1:0x02813023 instr2:0x00913c23 -[DEBUG][time= 631] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 631] IFU: [IF1]if1_valid:1 || if1_npc:0x008000015c || if1_pcUpdate:1 if1_pc:0x008000013c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 631] IFU: [IF2]if2_valid:1 || if2_pc:0x008000013c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 631] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 631] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 631] IFU: [IF3]if3_valid:1 || if3_pc:0x008000011c if3_npc:0x008000013c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 631] IFU: [IF4]if4_valid:1 || if4_pc:0x00800000fc if4_npc:0x008000011c -[DEBUG][time= 631] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000011c -[DEBUG][time= 631] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] starPC:0x00800000fc GroupPC:0x00800000e0n -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction 02813023 pnpc:0x0080000100 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction 00913c23 pnpc:0x0080000104 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction 01213823 pnpc:0x0080000108 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction 01313423 pnpc:0x008000010c -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction 02113423 pnpc:0x0080000110 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction fff5849b pnpc:0x0080000114 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x0080000118 -[DEBUG][time= 631] IFU: [IFU-Out-FetchPacket] instruction 00050913 pnpc:0x008000011c -[DEBUG][time= 631] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 631] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 631] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 631] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 631] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 631] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 631] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 631] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 631] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 631] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 631] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 631] IssueQueue_1: EnqData: src1:0000000080008ff0 src2:fffffffffffffff0 src3:0000000000000000 pc:008000005c roqIdx:11(for last cycle's Ctrl) -[INFO ][time= 631] IssueQueue_1: Deq:(1 1) [ 36|0000000080008ff0][ 0|fffffffffffffff0][ 0|c63124ed8740c04f] pdest: 79 pc:008000005c roqIdx:11 -[DEBUG][time= 631] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 631] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 79) -[DEBUG][time= 631] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 631] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 631] IssueQueue_1: 0 |1|1| 36|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000005c|11 -[DEBUG][time= 631] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 <- -[DEBUG][time= 631] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 631] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 631] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 631] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 631] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 631] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 631] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 631] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 631] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 631] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 631] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 631] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 631] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 631] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 631] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 631] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 631] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 631] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 631] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 631] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 631] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 631] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 631] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 631] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 631] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 631] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 631] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 631] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 631] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 631] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 631] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 631] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 631] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 631] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 631] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 631] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 631] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 631] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 631] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 631] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 631] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 631] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 631] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 631] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 631] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 631] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 631] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 631] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 631] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 631] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 631] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 631] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 631] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 631] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 631] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 631] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 631] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 631] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 631] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 631] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 631] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 631] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 631] IssueQueue_7: FireStage:Out(1 0) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 631] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 631] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 631] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 631] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 631] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 631] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 631] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 631] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 631] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 631] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 631] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 631] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 631] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 631] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 631] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 631] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 631] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 631] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 631] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 631] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 631] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 631] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 631] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 50 -[DEBUG][time= 631] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 631] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 631] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 631] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 631] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 631] Brq: ---------------- -[DEBUG][time= 631] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 631] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 631] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 631] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 631] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 631] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 632] Tage: req: pc=0x008000017c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 632] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 632] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 632] XSCore: c-mem(0 0 00000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f20| 0 1) -[DEBUG][time= 632] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 632] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 632] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 632] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 632] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 632] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 632] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 632] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 632] BusyTable_1: 80 is busy -[DEBUG][time= 632] BusyTable_1: 81 is busy -[DEBUG][time= 632] BPUStage2: in:(1 1) pc=008000015c out:(1 1) pc=008000013c -[DEBUG][time= 632] BPUStage2: validLatch=1 pc=008000013c -[DEBUG][time= 632] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 632] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 632] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 632] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 632] BPUStage1: in:(1 1) pc=008000017c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 632] BPUStage1: outPred:(1) pc=0x008000015c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 632] BPUStage3: [RAS]:pc=0x008000013c, rasWritePosition= 2, rasWriteAddr=0x008000013c -[DEBUG][time= 632] BPUStage3: in:(1 1) pc=008000013c -[DEBUG][time= 632] BPUStage3: out:1 pc=008000011c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000013c -[DEBUG][time= 632] BPUStage3: flushS3=0 -[DEBUG][time= 632] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 632] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 632] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 632] JBTAC: read: pc=0x008000017c, histXORAddr=0x0080001e92, bank=1, row=105, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 632] JBTAC: read_resp: pc=0x008000015c, bank=1, row=107, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 632] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 632] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 632] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 632] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 632] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 632] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 632] Ibuffer: Enque: -[DEBUG][time= 632] Ibuffer: 11111111111100000000100110010011 -[DEBUG][time= 632] Ibuffer: 00000010100000000000000001101111 -[DEBUG][time= 632] Ibuffer: 00000001100001000011011100000011 -[DEBUG][time= 632] Ibuffer: 00000000111001111000011001100011 -[DEBUG][time= 632] Ibuffer: 00000000110101000011100000100011 -[DEBUG][time= 632] Ibuffer: 00000001001001111000000000100011 -[DEBUG][time= 632] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 632] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 632] Ibuffer: Deque: -[DEBUG][time= 632] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 632] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 632] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 632] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 632] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 632] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 632] Ibuffer: last_head_ptr= 12 last_tail_ptr= 88 -[DEBUG][time= 632] BTB: read: pc=0x008000017c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 632] BTB: read_resp: pc=0x008000015c, readIdx=174------------------------------- -[DEBUG][time= 632] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 632] BTB: bankIdxInOrder:[DEBUG][time= 632] BTB: 14 [DEBUG][time= 632] BTB: 15 [DEBUG][time= 632] BTB: 0 [DEBUG][time= 632] BTB: 1 [DEBUG][time= 632] BTB: 2 [DEBUG][time= 632] BTB: 3 [DEBUG][time= 632] BTB: 4 [DEBUG][time= 632] BTB: 5 [DEBUG][time= 632] BTB: 6 [DEBUG][time= 632] BTB: 7 [DEBUG][time= 632] BTB: 8 [DEBUG][time= 632] BTB: 9 [DEBUG][time= 632] BTB: 10 [DEBUG][time= 632] BTB: 11 [DEBUG][time= 632] BTB: 12 [DEBUG][time= 632] BTB: 13 [DEBUG][time= 632] BTB: -[INFO ][time= 632] Rename: pc:008000005c in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:0 -[INFO ][time= 632] Rename: pc:0080000060 in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 632] Rename: pc:0080000064 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 80 out v:0 r:0 -[INFO ][time= 632] Rename: pc:0080000068 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[INFO ][time= 632] Rename: pc:008000006c in v:1 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 632] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[DEBUG][time= 632] Dispatch1: v:0 r:0 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 632] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 632] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 632] Dispatch1: v:1 r:0 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 632] Dispatch1: v:0 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 632] Dispatch1: v:0 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 632] Dispatch2: ls dp queue 0: 008000003c type 1101 -[DEBUG][time= 632] Dispatch2: ls dp queue 1: 0080000040 type 1101 -[DEBUG][time= 632] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 632] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 632] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 632] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 632] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 632] Dispatch2: regfile 0 from 0 -[DEBUG][time= 632] Dispatch2: regfile 1 from 0 -[DEBUG][time= 632] Dispatch2: regfile 2 from 1 -[DEBUG][time= 632] Dispatch2: regfile 3 from 1 -[DEBUG][time= 632] Dispatch2: regfile 4 from 2 -[DEBUG][time= 632] Dispatch2: regfile 5 from 2 -[DEBUG][time= 632] Dispatch2: regfile 6 from 3 -[DEBUG][time= 632] Dispatch2: regfile 7 from 3 -[DEBUG][time= 632] Dispatch2: int regfile 0: addr 65, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 2: addr 64, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 4: addr 65, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 9: addr 73, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 12: addr 73, state 1 -[DEBUG][time= 632] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 632] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 632] Dispatch2: pc 0x008000003c with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 632] Dispatch2: pc 0x008000003c leaves Ls dispatch queue with nroq 9 -[DEBUG][time= 632] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 1 -[INFO ][time= 632] DecodeBuffer: in v:1 r:0 pc=0080000074 -[INFO ][time= 632] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 632] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 632] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 632] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 632] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 632] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 632] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 632] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 632] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 632] DecodeBuffer: out v:1 r:0 pc=008000006c -[INFO ][time= 632] DecodeBuffer: out v:0 r:0 pc=0080000070 -[ERROR][time= 632] Roq: CSR block should only happen in s_idle -[DEBUG][time= 632] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[INFO ][time= 632] Roq: writebacked 1 insts -[INFO ][time= 632] Roq: writebacked pc 0x0080000040 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 632] Roq: head 0:18 tail 1:18 -[DEBUG][time= 632] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwvvvvvw -[DEBUG][time= 632] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 632] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 632] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 632] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 632] Roq: 0080000098 w 008000005c w 0080000040 v 0080000044 w -[DEBUG][time= 632] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 632] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 632] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 632] DispatchQueue_2: LsDpQ: num_deq = 1, head = (12 -> 13) -[DEBUG][time= 632] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 12), tail = (0, 14), -[DEBUG][time= 632] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f20 size 3 data 0000000000000006 mask 00ff cmd 0 -[DEBUG][time= 632] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 632] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 632] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 632] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 632] LsExeUnit: LSU fire: pc 0080000040 addr 0000000080000f20 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 632] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 632] LsExeUnit: stqinfo: stqValid.asUInt 00001101 stqHead 3 stqTail 2 stqCommited 3 emptySlot 1 -[DEBUG][time= 632] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147483996------> s1 fire!!! -[DEBUG][time= 632] FakeCache: [Stage1_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 632] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 632] FakeCache: [Stage2_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 632] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 632] FakeCache: [Stage3_data] instr1:0xfff00993 instr2:0x0280006f -[DEBUG][time= 632] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 632] IFU: [IF1]if1_valid:1 || if1_npc:0x008000017c || if1_pcUpdate:1 if1_pc:0x008000015c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 632] IFU: [IF2]if2_valid:1 || if2_pc:0x008000015c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 632] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 632] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 632] IFU: [IF3]if3_valid:1 || if3_pc:0x008000013c if3_npc:0x008000015c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 632] IFU: [IF4]if4_valid:1 || if4_pc:0x008000011c if4_npc:0x008000013c -[DEBUG][time= 632] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000013c -[DEBUG][time= 632] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] starPC:0x008000011c GroupPC:0x0080000100n -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction fff00993 pnpc:0x0080000120 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction 0280006f pnpc:0x0080000124 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction 01843703 pnpc:0x0080000128 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction 00e78663 pnpc:0x008000012c -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction 00d43823 pnpc:0x0080000130 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction 01278023 pnpc:0x0080000134 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000138 -[DEBUG][time= 632] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x008000013c -[DEBUG][time= 632] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 632] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 632] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 632] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 632] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 632] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 632] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 632] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 632] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 632] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 632] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 632] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 632] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 632] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 632] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 <- -[DEBUG][time= 632] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| b |008000005c|11 -[DEBUG][time= 632] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 632] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 632] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 632] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 632] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 632] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 632] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 632] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 632] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 632] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 632] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 632] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 632] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 632] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 632] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 632] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 632] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 632] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 632] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 632] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 632] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 632] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 632] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 632] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 632] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 632] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 632] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 632] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 632] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 632] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 632] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 632] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 632] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 632] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 632] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 632] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 632] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 632] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 632] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 632] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 632] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 632] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 632] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 632] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 632] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 632] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 632] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 632] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 632] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 632] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 632] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 632] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 632] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 632] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 632] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 632] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 632] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 632] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 632] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 632] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 632] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 632] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 70:1 73:1 0:0) Dest: 32 oldDest: 0 pc:008000003c roqIdx:09 -[INFO ][time= 632] IssueQueue_7: Deq:(1 1) [ 58|0000000080000f00][ 62|0000000000000006][ 0|0000000000000000] pdest: 32 pc:0080000040 roqIdx:32 -[DEBUG][time= 632] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 632] IssueQueue_7: FireStage:Out(1 1) src1( 58|0000000080000f00) src2( 62|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:50 -[DEBUG][time= 632] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 632] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 632] IssueQueue_7: 0 |1|1| 64|1|0000000080000f28| 63|1|0000000000000003| 0|0|0000000000000000| 7 |0080000030|3a -[DEBUG][time= 632] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 632] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 632] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 632] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 632] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 632] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 632] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 632] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 632] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 632] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 632] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 632] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 632] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 632] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 632] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 632] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 632] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 632] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 632] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 632] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 50 -[DEBUG][time= 632] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 632] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 632] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 632] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 632] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 632] Brq: ---------------- -[DEBUG][time= 632] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 632] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 632] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 632] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 632] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 632] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 633] Tage: req: pc=0x008000019c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 633] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 633] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 633] XSCore: c-mem(0 0 00000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f08| 0 1) tlb (1 1 0080000f08| 0 1) -[DEBUG][time= 633] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 633] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 633] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 633] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 633] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 633] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 633] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 633] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 633] BusyTable_1: 80 is busy -[DEBUG][time= 633] BusyTable_1: 81 is busy -[DEBUG][time= 633] BPUStage2: in:(1 1) pc=008000017c out:(1 1) pc=008000015c -[DEBUG][time= 633] BPUStage2: validLatch=1 pc=008000015c -[DEBUG][time= 633] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 633] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 633] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 633] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 633] BPUStage1: in:(1 1) pc=008000019c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 633] BPUStage1: outPred:(1) pc=0x008000017c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 633] BPUStage3: [RAS]:pc=0x008000015c, rasWritePosition= 2, rasWriteAddr=0x008000015c -[DEBUG][time= 633] BPUStage3: in:(1 1) pc=008000015c -[DEBUG][time= 633] BPUStage3: out:1 pc=008000013c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000015c -[DEBUG][time= 633] BPUStage3: flushS3=0 -[DEBUG][time= 633] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 633] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 633] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 633] JBTAC: read: pc=0x008000019c, histXORAddr=0x0080001e72, bank=1, row=103, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 633] JBTAC: read_resp: pc=0x008000017c, bank=1, row=105, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 633] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 633] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 633] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 633] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 633] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 633] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 633] Ibuffer: Enque: -[DEBUG][time= 633] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 633] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 633] Ibuffer: 00000011001101001000011001100011 -[DEBUG][time= 633] Ibuffer: 00000001000001000011011110000011 -[DEBUG][time= 633] Ibuffer: 00000000000101111000011010010011 -[DEBUG][time= 633] Ibuffer: 11111100000001111001101011100011 -[DEBUG][time= 633] Ibuffer: 00000000000010010000010100010011 -[DEBUG][time= 633] Ibuffer: 11110000000111111111000011101111 -[DEBUG][time= 633] Ibuffer: Deque: -[DEBUG][time= 633] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 633] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 633] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 633] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 633] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 633] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 633] Ibuffer: last_head_ptr= 12 last_tail_ptr=104 -[DEBUG][time= 633] BTB: read: pc=0x008000019c, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 633] BTB: read_resp: pc=0x008000017c, readIdx=190------------------------------- -[DEBUG][time= 633] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 633] BTB: bankIdxInOrder:[DEBUG][time= 633] BTB: 14 [DEBUG][time= 633] BTB: 15 [DEBUG][time= 633] BTB: 0 [DEBUG][time= 633] BTB: 1 [DEBUG][time= 633] BTB: 2 [DEBUG][time= 633] BTB: 3 [DEBUG][time= 633] BTB: 4 [DEBUG][time= 633] BTB: 5 [DEBUG][time= 633] BTB: 6 [DEBUG][time= 633] BTB: 7 [DEBUG][time= 633] BTB: 8 [DEBUG][time= 633] BTB: 9 [DEBUG][time= 633] BTB: 10 [DEBUG][time= 633] BTB: 11 [DEBUG][time= 633] BTB: 12 [DEBUG][time= 633] BTB: 13 [DEBUG][time= 633] BTB: -[INFO ][time= 633] Rename: pc:008000005c in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:0 -[INFO ][time= 633] Rename: pc:0080000060 in v:0 in rdy:0 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 633] Rename: pc:0080000064 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 80 out v:0 r:0 -[INFO ][time= 633] Rename: pc:0080000068 in v:0 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[INFO ][time= 633] Rename: pc:008000006c in v:1 in rdy:0 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 633] Rename: pc:0080000070 in v:0 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:0 -[INFO ][time= 633] Rename: int rat arch: ldest:15 pdest: 63 -[INFO ][time= 633] Rename: int rat arch: ldest:14 pdest: 64 -[INFO ][time= 633] Rename: int rat arch: ldest:13 pdest: 65 -[INFO ][time= 633] Rename: int rat arch: ldest:10 pdest: 66 -[DEBUG][time= 633] Dispatch1: v:0 r:0 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 633] Dispatch1: v:1 r:0 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 633] Dispatch1: v:1 r:0 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 633] Dispatch1: v:1 r:0 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 633] Dispatch1: v:0 r:0 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 633] Dispatch1: v:0 r:0 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 633] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 633] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 633] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 633] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 633] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 633] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 633] Dispatch2: regfile 0 from 0 -[DEBUG][time= 633] Dispatch2: regfile 1 from 0 -[DEBUG][time= 633] Dispatch2: regfile 2 from 1 -[DEBUG][time= 633] Dispatch2: regfile 3 from 1 -[DEBUG][time= 633] Dispatch2: regfile 4 from 2 -[DEBUG][time= 633] Dispatch2: regfile 5 from 2 -[DEBUG][time= 633] Dispatch2: regfile 6 from 3 -[DEBUG][time= 633] Dispatch2: regfile 7 from 3 -[DEBUG][time= 633] Dispatch2: int regfile 0: addr 65, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 2: addr 64, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 4: addr 65, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 9: addr 74, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 12: addr 74, state 1 -[DEBUG][time= 633] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 633] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[DEBUG][time= 633] Dispatch2: pc 0x008000003c reads operands from ( 8, 70, 0000000080000f50), ( 9, 73, 0000000000000007), ( 0, 0, 0000000000000000) -[INFO ][time= 633] DecodeBuffer: in v:1 r:0 pc=0080000074 -[INFO ][time= 633] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 633] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 633] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 633] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 633] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 633] DecodeBuffer: out v:0 r:0 pc=008000005c -[INFO ][time= 633] DecodeBuffer: out v:0 r:0 pc=0080000060 -[INFO ][time= 633] DecodeBuffer: out v:0 r:0 pc=0080000064 -[INFO ][time= 633] DecodeBuffer: out v:0 r:0 pc=0080000068 -[INFO ][time= 633] DecodeBuffer: out v:1 r:0 pc=008000006c -[INFO ][time= 633] DecodeBuffer: out v:0 r:0 pc=0080000070 -[ERROR][time= 633] Roq: CSR block should only happen in s_idle -[DEBUG][time= 633] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 0) (0, 0) -[INFO ][time= 633] Roq: retired pc 0080000040 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 633] Roq: retired pc 0080000044 wen 1 ldst 15 data 0000000000000003 -[INFO ][time= 633] Roq: retired pc 0080000048 wen 1 ldst 14 data 0000000080000f28 -[INFO ][time= 633] Roq: retired pc 008000004c wen 0 ldst 0 data 0000000000000060 -[INFO ][time= 633] Roq: retired pc 0080000020 wen 1 ldst 13 data 0000000000000004 -[INFO ][time= 633] Roq: retired pc 0080000024 wen 1 ldst 10 data 0000000000000005 -[INFO ][time= 633] Roq: retired 6 insts -[DEBUG][time= 633] Roq: head 0:18 tail 1:18 -[DEBUG][time= 633] Roq: wwwwwwvvvvvwwwwwwwwwwwwwwwvvvvvw -[DEBUG][time= 633] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 633] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 633] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 633] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 633] Roq: 0080000098 w 008000005c w 0080000040 w 0080000044 w -[DEBUG][time= 633] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 633] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 633] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 633] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 13), tail = (0, 14), -[DEBUG][time= 633] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 633] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 633] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 633] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 633] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 633] LsExeUnit: sbuffer id 3 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 3 ptr 1 valid 1 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 633] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 633] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 633] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 2 stqCommited 3 emptySlot 4 -[DEBUG][time= 633] LsExeUnit: retiringStore now... -[INFO ][time= 633] LsExeUnit: [DMEM STORE REQ] addr 0x80000f08 wdata 0x0000000000000003 size 3 -[DEBUG][time= 633] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484028------> s1 fire!!! -[DEBUG][time= 633] FakeCache: [Stage1_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 633] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 633] FakeCache: [Stage2_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 633] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 633] FakeCache: [Stage3_data] instr1:0x00178793 instr2:0x02f43023 -[DEBUG][time= 633] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 633] IFU: [IF1]if1_valid:1 || if1_npc:0x008000019c || if1_pcUpdate:1 if1_pc:0x008000017c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 633] IFU: [IF2]if2_valid:1 || if2_pc:0x008000017c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 633] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 633] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 633] IFU: [IF3]if3_valid:1 || if3_pc:0x008000015c if3_npc:0x008000017c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 633] IFU: [IF4]if4_valid:1 || if4_pc:0x008000013c if4_npc:0x008000015c -[DEBUG][time= 633] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000015c -[DEBUG][time= 633] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] starPC:0x008000013c GroupPC:0x0080000120n -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000140 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x0080000144 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction 03348663 pnpc:0x0080000148 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction 01043783 pnpc:0x008000014c -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction 00178693 pnpc:0x0080000150 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction fc079ae3 pnpc:0x0080000154 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction 00090513 pnpc:0x0080000158 -[DEBUG][time= 633] IFU: [IFU-Out-FetchPacket] instruction f01ff0ef pnpc:0x008000015c -[DEBUG][time= 633] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 633] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 633] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 633] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 633] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 633] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 633] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 633] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 633] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 633] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 633] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 633] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 633] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 633] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 633] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 <- -[DEBUG][time= 633] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| b |008000005c|11 -[DEBUG][time= 633] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 633] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 633] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 633] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 633] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 633] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 633] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 633] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 633] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 633] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 633] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 633] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 633] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 633] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 633] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 633] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 633] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 633] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 633] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 633] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 633] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 633] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 633] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 633] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 633] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 633] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 633] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 633] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 633] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 633] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 633] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 633] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 633] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 633] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 633] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 633] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 633] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 633] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 633] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 633] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 633] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 633] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 633] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 633] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 633] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 633] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 633] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 633] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 633] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 633] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 633] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 633] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 633] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 633] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 633] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 633] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 633] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 633] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 633] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 633] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 633] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 633] IssueQueue_7: EnqData: src1:0000000080000f50 src2:0000000000000007 src3:0000000000000000 pc:008000003c roqIdx:09(for last cycle's Ctrl) -[DEBUG][time= 633] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 633] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 63|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:58 -[DEBUG][time= 633] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 633] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 633] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 633] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 633] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 633] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 633] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 633] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 633] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 633] IssueQueue_7: 0 |1|1| 70|1|0000000080000f28| 73|1|0000000000000003| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 633] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 633] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 633] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 633] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 633] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 633] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 633] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 633] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 633] FreeList_1: dealloc preg: 57 -[DEBUG][time= 633] FreeList_1: dealloc preg: 58 -[DEBUG][time= 633] FreeList_1: dealloc preg: 59 -[DEBUG][time= 633] FreeList_1: dealloc preg: 60 -[DEBUG][time= 633] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 633] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 633] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 633] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 633] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 50 -[DEBUG][time= 633] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 633] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 633] FreeList_1: head:0: 50 tail:1: 31 -[DEBUG][time= 633] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 633] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 633] Brq: ---------------- -[DEBUG][time= 633] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 633] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 633] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 633] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 633] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 633] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 634] Tage: req: pc=0x00800001bc, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 634] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 634] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 634] XSCore: c-mem(0 0 00000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f08| 0 1) -[DEBUG][time= 634] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 634] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 634] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 634] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 634] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 634] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 634] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 634] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 634] BusyTable_1: 80 is busy -[DEBUG][time= 634] BusyTable_1: 81 is busy -[DEBUG][time= 634] BPUStage2: in:(1 1) pc=008000019c out:(1 1) pc=008000017c -[DEBUG][time= 634] BPUStage2: validLatch=1 pc=008000017c -[DEBUG][time= 634] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 634] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 634] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 634] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 634] BPUStage1: in:(1 1) pc=00800001bc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 634] BPUStage1: outPred:(1) pc=0x008000019c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 634] BPUStage3: [RAS]:pc=0x008000017c, rasWritePosition= 2, rasWriteAddr=0x008000017c -[DEBUG][time= 634] BPUStage3: in:(1 1) pc=008000017c -[DEBUG][time= 634] BPUStage3: out:1 pc=008000015c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000017c -[DEBUG][time= 634] BPUStage3: flushS3=0 -[DEBUG][time= 634] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 634] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 634] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 634] JBTAC: read: pc=0x00800001bc, histXORAddr=0x0080001e52, bank=1, row=101, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 634] JBTAC: read_resp: pc=0x008000019c, bank=1, row=103, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 634] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 634] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 634] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 634] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 634] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 634] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 634] Ibuffer: Enque: -[DEBUG][time= 634] Ibuffer: 00000010000001000011011110000011 -[DEBUG][time= 634] Ibuffer: 11111111111101001000010010011011 -[DEBUG][time= 634] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 634] Ibuffer: 00000010111101000011000000100011 -[DEBUG][time= 634] Ibuffer: 11111101001101001001111011100011 -[DEBUG][time= 634] Ibuffer: 00000010100000010011000010000011 -[DEBUG][time= 634] Ibuffer: 00000010000000010011010000000011 -[DEBUG][time= 634] Ibuffer: 00000001100000010011010010000011 -[DEBUG][time= 634] Ibuffer: Deque: -[DEBUG][time= 634] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 634] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 634] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 634] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 634] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 634] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=0 -[DEBUG][time= 634] Ibuffer: last_head_ptr= 12 last_tail_ptr=120 -[DEBUG][time= 634] BTB: read: pc=0x00800001bc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 634] BTB: read_resp: pc=0x008000019c, readIdx=206------------------------------- -[DEBUG][time= 634] BTB: read_resp[b=0][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=1][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=2][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=3][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=4][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=5][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=6][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=7][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=12][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=13][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=14][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: read_resp[b=15][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 634] BTB: bankIdxInOrder:[DEBUG][time= 634] BTB: 14 [DEBUG][time= 634] BTB: 15 [DEBUG][time= 634] BTB: 0 [DEBUG][time= 634] BTB: 1 [DEBUG][time= 634] BTB: 2 [DEBUG][time= 634] BTB: 3 [DEBUG][time= 634] BTB: 4 [DEBUG][time= 634] BTB: 5 [DEBUG][time= 634] BTB: 6 [DEBUG][time= 634] BTB: 7 [DEBUG][time= 634] BTB: 8 [DEBUG][time= 634] BTB: 9 [DEBUG][time= 634] BTB: 10 [DEBUG][time= 634] BTB: 11 [DEBUG][time= 634] BTB: 12 [DEBUG][time= 634] BTB: 13 [DEBUG][time= 634] BTB: -[INFO ][time= 634] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:1 -[INFO ][time= 634] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 634] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 80 out v:0 r:1 -[INFO ][time= 634] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:1 -[INFO ][time= 634] Rename: pc:008000006c in v:1 in rdy:1 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 634] Rename: pc:0080000070 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:1 -[INFO ][time= 634] Rename: int rat arch: ldest:11 pdest: 67 -[INFO ][time= 634] Rename: int rat arch: ldest:12 pdest: 68 -[INFO ][time= 634] Dispatch1: pc 0x0080000060 accepted by queue 0 0 1 -[INFO ][time= 634] Dispatch1: pc 0x0080000064 accepted by queue 1 0 0 -[INFO ][time= 634] Dispatch1: pc 0x0080000068 accepted by queue 1 0 0 -[DEBUG][time= 634] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 634] Dispatch1: pc 0x0080000060 receives nroq 18 -[DEBUG][time= 634] Dispatch1: v:1 r:1 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 634] Dispatch1: pc 0x0080000064 receives nroq 19 -[DEBUG][time= 634] Dispatch1: v:1 r:1 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 634] Dispatch1: pc 0x0080000068 receives nroq 20 -[DEBUG][time= 634] Dispatch1: v:1 r:1 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 634] Dispatch1: v:0 r:1 pc 0x00800000a8 of type 0000 is in 4-th slot -[DEBUG][time= 634] Dispatch1: v:0 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 634] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 634] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 634] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 634] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 634] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 634] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 634] Dispatch2: regfile 0 from 0 -[DEBUG][time= 634] Dispatch2: regfile 1 from 0 -[DEBUG][time= 634] Dispatch2: regfile 2 from 1 -[DEBUG][time= 634] Dispatch2: regfile 3 from 1 -[DEBUG][time= 634] Dispatch2: regfile 4 from 2 -[DEBUG][time= 634] Dispatch2: regfile 5 from 2 -[DEBUG][time= 634] Dispatch2: regfile 6 from 3 -[DEBUG][time= 634] Dispatch2: regfile 7 from 3 -[DEBUG][time= 634] Dispatch2: int regfile 0: addr 65, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 2: addr 64, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 4: addr 65, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 9: addr 74, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 12: addr 74, state 1 -[DEBUG][time= 634] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 634] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[INFO ][time= 634] DecodeBuffer: in v:1 r:0 pc=0080000074 -[INFO ][time= 634] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 634] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 634] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 634] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 634] DecodeBuffer: in v:0 r:0 pc=0080000074 -[INFO ][time= 634] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 634] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 634] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 634] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 634] DecodeBuffer: out v:1 r:1 pc=008000006c -[INFO ][time= 634] DecodeBuffer: out v:0 r:1 pc=0080000070 -[ERROR][time= 634] Roq: CSR block should only happen in s_idle -[DEBUG][time= 634] Roq: (ready, valid): (1, 0) (1, 1) (1, 1) (1, 1) (1, 0) (1, 0) -[INFO ][time= 634] Roq: dispatched 3 insts -[INFO ][time= 634] Roq: retired pc 0080000028 wen 1 ldst 11 data 0000000000000006 -[INFO ][time= 634] Roq: retired pc 008000002c wen 1 ldst 12 data 0000000000000007 -[INFO ][time= 634] Roq: retired 2 insts -[DEBUG][time= 634] Roq: head 0:18 tail 1:24 -[DEBUG][time= 634] Roq: wwwwwwvvvvvwwwwwww------wwvvvvvw -[DEBUG][time= 634] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 634] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 634] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 634] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 634] Roq: 0080000098 w 008000005c w 0080000040 - 0080000044 - -[DEBUG][time= 634] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 634] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 634] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 634] DispatchQueue_2: LsDpQ: num_enq = 1, tail = (14 -> 15) -[DEBUG][time= 634] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 13), tail = (0, 14), -[DEBUG][time= 634] DispatchQueue: IntDpQ: num_enq = 2, tail = ( 0 -> 2) -[DEBUG][time= 634] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f08 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 634] LsExeUnit: forwarding data from stq, addr 0000000080000f08 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 634] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f08 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 634] LsExeUnit: sbuffer id 1 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 634] LsExeUnit: sbuffer id 2 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 634] LsExeUnit: sbuffer id 3 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 1 ptr 3 valid 1 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 3 ptr 1 valid 1 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 634] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 634] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 634] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 2 stqCommited 4 emptySlot 4 -[DEBUG][time= 634] LsExeUnit: retiringStore now... -[DEBUG][time= 634] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484060------> s1 fire!!! -[DEBUG][time= 634] FakeCache: [Stage1_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 634] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 634] FakeCache: [Stage2_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 634] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 634] FakeCache: [Stage3_data] instr1:0x02043783 instr2:0xfff4849b -[DEBUG][time= 634] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 634] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001bc || if1_pcUpdate:1 if1_pc:0x008000019c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 634] IFU: [IF2]if2_valid:1 || if2_pc:0x008000019c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 634] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 634] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 634] IFU: [IF3]if3_valid:1 || if3_pc:0x008000017c if3_npc:0x008000019c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 634] IFU: [IF4]if4_valid:1 || if4_pc:0x008000015c if4_npc:0x008000017c -[DEBUG][time= 634] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000017c -[DEBUG][time= 634] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] starPC:0x008000015c GroupPC:0x0080000140n -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction 02043783 pnpc:0x0080000160 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction fff4849b pnpc:0x0080000164 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000168 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction 02f43023 pnpc:0x008000016c -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction fd349ee3 pnpc:0x0080000170 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction 02813083 pnpc:0x0080000174 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction 02013403 pnpc:0x0080000178 -[DEBUG][time= 634] IFU: [IFU-Out-FetchPacket] instruction 01813483 pnpc:0x008000017c -[DEBUG][time= 634] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 634] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 634] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 634] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 634] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 634] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 634] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 634] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 634] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 634] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 634] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 634] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 634] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 634] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 634] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 <- -[DEBUG][time= 634] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| b |008000005c|11 -[DEBUG][time= 634] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 634] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 634] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 634] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 634] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 634] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 634] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 634] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 634] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 634] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 634] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 634] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 634] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 634] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 634] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 634] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 634] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 634] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 634] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 634] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 634] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 634] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 634] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 634] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 634] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 634] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 634] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 634] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 634] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 634] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 634] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 634] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 634] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 634] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 634] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 634] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 634] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 634] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 634] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 634] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 634] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 634] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 634] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 634] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 634] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 634] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 634] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 634] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 634] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 634] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 634] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 634] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 634] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 634] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 634] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 634] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 634] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 634] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 634] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 634] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 634] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 634] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 634] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 63|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:58 -[DEBUG][time= 634] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 634] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 634] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 634] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 634] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 634] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 634] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 634] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 634] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 634] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 634] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 634] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 634] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 634] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 634] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 634] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 634] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 634] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 634] FreeList_1: dealloc preg: 61 -[DEBUG][time= 634] FreeList_1: dealloc preg: 62 -[DEBUG][time= 634] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 634] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 634] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 634] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 634] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 50 -[DEBUG][time= 634] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 634] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 634] FreeList_1: head:0: 50 tail:1: 35 -[DEBUG][time= 634] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 634] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 634] Brq: ---------------- -[DEBUG][time= 634] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 634] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 634] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 634] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 634] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 634] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 635] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 635] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 635] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f10| 1 1) tlb (1 0 0080000f10| 1 1) -[DEBUG][time= 635] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 635] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 635] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 635] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 635] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 635] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 635] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 635] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 635] BusyTable_1: Allocate 82 -[DEBUG][time= 635] BusyTable_1: 80 is busy -[DEBUG][time= 635] BusyTable_1: 81 is busy -[DEBUG][time= 635] BPUStage2: in:(1 0) pc=00800001bc out:(1 0) pc=008000019c -[DEBUG][time= 635] BPUStage2: validLatch=1 pc=008000019c -[DEBUG][time= 635] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 635] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 635] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 635] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 635] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 635] BPUStage1: outPred:(1) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 635] BPUStage3: flushS3=0 -[DEBUG][time= 635] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 635] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 635] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 635] JBTAC: read_resp: pc=0x00800001bc, bank=1, row=101, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 635] Frontend: inst:c5050513 pc:0080000074 -[INFO ][time= 635] Frontend: inst:3d5000ef pc:0080000078 -[INFO ][time= 635] Frontend: inst:0000006f pc:008000007c -[INFO ][time= 635] Frontend: inst:ff010113 pc:0080000080 -[INFO ][time= 635] Frontend: inst:00113423 pc:0080000084 -[INFO ][time= 635] Frontend: inst:014000ef pc:0080000088 -[DEBUG][time= 635] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000074 instr:c5050513 -[DEBUG][time= 635] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000078 instr:3d5000ef -[DEBUG][time= 635] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(1 1) pc:008000007c instr:0000006f -[DEBUG][time= 635] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000080 instr:ff010113 -[DEBUG][time= 635] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000084 instr:00113423 -[DEBUG][time= 635] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000088 instr:014000ef -[DEBUG][time= 635] Ibuffer: Deque: -[DEBUG][time= 635] Ibuffer: 11000101000001010000010100010011 PC=0080000074 v=1 r=1 -[DEBUG][time= 635] Ibuffer: 00111101010100000000000011101111 PC=0080000078 v=1 r=1 -[DEBUG][time= 635] Ibuffer: 00000000000000000000000001101111 PC=008000007c v=1 r=1 -[DEBUG][time= 635] Ibuffer: 11111111000000010000000100010011 PC=0080000080 v=1 r=1 -[DEBUG][time= 635] Ibuffer: 00000000000100010011010000100011 PC=0080000084 v=1 r=1 -[DEBUG][time= 635] Ibuffer: 00000001010000000000000011101111 PC=0080000088 v=1 r=1 -[DEBUG][time= 635] BTB: read_resp: pc=0x00800001bc, readIdx=222------------------------------- -[DEBUG][time= 635] BTB: read_resp[b=0][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=1][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=2][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=3][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=4][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=5][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=6][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=7][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b= 8][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b= 9][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=10][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=11][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=12][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=13][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=14][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: read_resp[b=15][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 635] BTB: bankIdxInOrder:[DEBUG][time= 635] BTB: 14 [DEBUG][time= 635] BTB: 15 [DEBUG][time= 635] BTB: 0 [DEBUG][time= 635] BTB: 1 [DEBUG][time= 635] BTB: 2 [DEBUG][time= 635] BTB: 3 [DEBUG][time= 635] BTB: 4 [DEBUG][time= 635] BTB: 5 [DEBUG][time= 635] BTB: 6 [DEBUG][time= 635] BTB: 7 [DEBUG][time= 635] BTB: 8 [DEBUG][time= 635] BTB: 9 [DEBUG][time= 635] BTB: 10 [DEBUG][time= 635] BTB: 11 [DEBUG][time= 635] BTB: 12 [DEBUG][time= 635] BTB: 13 [DEBUG][time= 635] BTB: -[INFO ][time= 635] Rename: pc:008000005c in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:1 -[INFO ][time= 635] Rename: pc:0080000060 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 1 -> psrc2: 78 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 635] Rename: pc:0080000064 in v:0 in rdy:1 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 32 old_pdest: 80 out v:0 r:1 -[INFO ][time= 635] Rename: pc:0080000068 in v:0 in rdy:1 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 81 out v:0 r:1 -[INFO ][time= 635] Rename: pc:008000006c in v:0 in rdy:1 lsrc1:10 -> psrc1: 81 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 635] Rename: pc:0080000070 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 82 old_pdest: 81 out v:1 r:1 -[INFO ][time= 635] Dispatch1: pc 0x008000006c accepted by queue 1 0 0 -[DEBUG][time= 635] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 635] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 635] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 635] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 635] Dispatch1: pc 0x008000006c receives nroq 21 -[DEBUG][time= 635] Dispatch1: v:1 r:1 pc 0x008000006c of type 0011 is in 4-th slot -[DEBUG][time= 635] Dispatch1: v:0 r:1 pc 0x00800000ac of type 0011 is in 5-th slot -[DEBUG][time= 635] Dispatch2: int dp queue 0: 0080000064 type 0011 -[DEBUG][time= 635] Dispatch2: int dp queue 1: 0080000068 type 0011 -[DEBUG][time= 635] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 635] Dispatch2: ls dp queue 1: 0080000060 type 1101 -[DEBUG][time= 635] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 635] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 635] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 635] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 635] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 635] Dispatch2: regfile 0 from 0 -[DEBUG][time= 635] Dispatch2: regfile 1 from 0 -[DEBUG][time= 635] Dispatch2: regfile 2 from 1 -[DEBUG][time= 635] Dispatch2: regfile 3 from 1 -[DEBUG][time= 635] Dispatch2: regfile 4 from 2 -[DEBUG][time= 635] Dispatch2: regfile 5 from 2 -[DEBUG][time= 635] Dispatch2: regfile 6 from 3 -[DEBUG][time= 635] Dispatch2: regfile 7 from 3 -[DEBUG][time= 635] Dispatch2: int regfile 0: addr 77, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 4: addr 65, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 9: addr 74, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 12: addr 74, state 1 -[DEBUG][time= 635] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 635] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 635] Dispatch2: pc 0x0080000064 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 635] Dispatch2: pc 0x0080000068 with type 0011 srcState(1 1 0) enters reservation station 2 from 1 -[INFO ][time= 635] Dispatch2: pc 0x0080000064 leaves Int dispatch queue with nroq 19 -[INFO ][time= 635] Dispatch2: pc 0x0080000068 leaves Int dispatch queue with nroq 20 -[DEBUG][time= 635] Dispatch2: pc 0x0080000040 waits at Ls dispatch queue with index 0 -[DEBUG][time= 635] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 1 -[INFO ][time= 635] DecodeBuffer: in v:1 r:1 pc=0080000074 -[INFO ][time= 635] DecodeBuffer: in v:1 r:1 pc=0080000078 -[INFO ][time= 635] DecodeBuffer: in v:1 r:1 pc=008000007c -[INFO ][time= 635] DecodeBuffer: in v:1 r:1 pc=0080000080 -[INFO ][time= 635] DecodeBuffer: in v:1 r:1 pc=0080000084 -[INFO ][time= 635] DecodeBuffer: in v:1 r:1 pc=0080000088 -[INFO ][time= 635] DecodeBuffer: out v:0 r:1 pc=008000005c -[INFO ][time= 635] DecodeBuffer: out v:0 r:1 pc=0080000060 -[INFO ][time= 635] DecodeBuffer: out v:0 r:1 pc=0080000064 -[INFO ][time= 635] DecodeBuffer: out v:0 r:1 pc=0080000068 -[INFO ][time= 635] DecodeBuffer: out v:0 r:1 pc=008000006c -[INFO ][time= 635] DecodeBuffer: out v:1 r:1 pc=0080000070 -[ERROR][time= 635] Roq: CSR block should only happen in s_idle -[DEBUG][time= 635] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 1) (1, 0) -[INFO ][time= 635] Roq: dispatched 1 insts -[DEBUG][time= 635] Roq: head 0:21 tail 1:26 -[DEBUG][time= 635] Roq: wwwwwwvvvvvwwwwwwwvvv-----vvvvvw -[DEBUG][time= 635] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 635] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 635] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 635] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 635] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 v -[DEBUG][time= 635] Roq: 0080000068 v 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 635] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 635] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 635] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 13), tail = (0, 15), -[DEBUG][time= 635] DispatchQueue: IntDpQ: num_deq = 2, head = ( 0 -> 2) -[DEBUG][time= 635] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 2 -> 3) -[DEBUG][time= 635] DispatchQueue: IntDpQ: valid_entries = 2, head = (1, 0), tail = (1, 2), -[DEBUG][time= 635] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f10 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 635] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 635] LsExeUnit: sbuffer id 0 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 635] LsExeUnit: sbuffer id 1 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 635] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 635] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 635] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 635] LsExeUnit: stqinfo: stqValid.asUInt 00001011 stqHead 3 stqTail 3 stqCommited 3 emptySlot 2 -[DEBUG][time= 635] LsExeUnit: retiringStore now... -[INFO ][time= 635] LsExeUnit: [DMEM RESP] data 0000000000000004 -[DEBUG][time= 635] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 635] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 635] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 635] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 635] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 635] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 635] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 635] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 635] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 635] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 635] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 635] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 635] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 635] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 635] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 635] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 635] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 635] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 635] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 635] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 635] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 635] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 635] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 635] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 635] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 635] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 635] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 77:1 0:1 0:0) Dest: 80 oldDest: 73 pc:0080000064 roqIdx:13 -[DEBUG][time= 635] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 635] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 635] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 635] IssueQueue_1: 1 |0|1| 0|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |008000009c|11 <- -[DEBUG][time= 635] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| b |008000005c|11 -[DEBUG][time= 635] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 635] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 635] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 635] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 635] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 635] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 635] IssueQueue_2: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 77:1 0:1 0:0) Dest: 81 oldDest: 77 pc:0080000068 roqIdx:14 -[DEBUG][time= 635] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 635] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 635] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 635] IssueQueue_2: 2 |0|1| 64|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| 7 |0080000048|00 <- -[DEBUG][time= 635] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c -[DEBUG][time= 635] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 635] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 635] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 635] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 635] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 635] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 635] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 635] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 635] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 635] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 635] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 635] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 635] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 635] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 635] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 635] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 635] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 635] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 635] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 635] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 635] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 635] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 635] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 635] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 635] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 635] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 635] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 635] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 635] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 635] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 635] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 635] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 635] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 635] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 635] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 635] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 635] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 635] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 635] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 635] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 635] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 635] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 635] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 635] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 635] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 635] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 635] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 635] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 635] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 635] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 635] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 635] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 63|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:58 -[DEBUG][time= 635] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 635] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 635] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 635] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 635] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 635] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 635] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 635] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 635] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 635] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 635] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 635] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 635] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 635] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 635] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 635] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 635] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 635] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 635] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 635] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 635] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 635] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 635] FreeList_1: req:0 canAlloc:1 pdest: 82 headNext:0: 50 -[DEBUG][time= 635] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 51 -[DEBUG][time= 635] FreeList_1: req:1 canAlloc:1 pdest: 82 headNext:0: 51 -[DEBUG][time= 635] FreeList_1: head:0: 50 tail:1: 37 -[DEBUG][time= 635] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 635] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:11 -[DEBUG][time= 635] Brq: ---------------- -[DEBUG][time= 635] Brq: enq v:0 rdy:1 pc:0080000074 brTag:f:0 v:11 -[DEBUG][time= 635] Brq: enq v:1 rdy:1 pc:0080000078 brTag:f:0 v:11 -[DEBUG][time= 635] Brq: enq v:1 rdy:1 pc:008000007c brTag:f:0 v:12 -[DEBUG][time= 635] Brq: enq v:0 rdy:1 pc:0080000080 brTag:f:0 v:13 -[DEBUG][time= 635] Brq: enq v:0 rdy:1 pc:0080000084 brTag:f:0 v:13 -[DEBUG][time= 635] Brq: enq v:1 rdy:1 pc:0080000088 brTag:f:0 v:13 -[DEBUG][time= 636] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 636] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 636] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f28| 0 1) -[DEBUG][time= 636] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 636] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 636] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 636] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 636] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 636] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 636] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 636] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 636] BusyTable_1: Allocate 83 -[DEBUG][time= 636] BusyTable_1: Allocate 84 -[DEBUG][time= 636] BusyTable_1: Allocate 85 -[DEBUG][time= 636] BusyTable_1: Allocate 86 -[DEBUG][time= 636] BusyTable_1: writeback 80 -[DEBUG][time= 636] BusyTable_1: writeback 81 -[DEBUG][time= 636] BusyTable_1: 80 is busy -[DEBUG][time= 636] BusyTable_1: 81 is busy -[DEBUG][time= 636] BusyTable_1: 82 is busy -[DEBUG][time= 636] BPUStage2: in:(0 0) pc=00800001bc out:(1 0) pc=008000019c -[DEBUG][time= 636] BPUStage2: validLatch=1 pc=008000019c -[DEBUG][time= 636] DispatchGen: priority: data(0) = 1, priority = 2 -[DEBUG][time= 636] DispatchGen: priority: data(1) = 1, priority = 3 -[DEBUG][time= 636] DispatchGen: priority: data(2) = 0, priority = 0 -[DEBUG][time= 636] DispatchGen: priority: data(3) = 0, priority = 1 -[INFO ][time= 636] WriteBackArbMtoN: out(1) pc(0x0080000064) writebacks 0x0000000000000000 to pdest( 80) ldest(11) -[INFO ][time= 636] WriteBackArbMtoN: out(2) pc(0x0080000068) writebacks 0x0000000000000000 to pdest( 81) ldest(10) -[DEBUG][time= 636] BPUStage1: in:(0 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 636] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 636] BPUStage3: flushS3=0 -[DEBUG][time= 636] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 636] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 636] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 636] Frontend: inst:406007b7 pc:008000009c -[INFO ][time= 636] Frontend: inst:00300713 pc:00800000a0 -[INFO ][time= 636] Frontend: inst:00e78623 pc:00800000a4 -[INFO ][time= 636] Frontend: inst:00008067 pc:00800000a8 -[INFO ][time= 636] Frontend: inst:ff010113 pc:00800000ac -[INFO ][time= 636] Frontend: inst:00813023 pc:00800000b0 -[DEBUG][time= 636] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:008000009c instr:406007b7 -[DEBUG][time= 636] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a0 instr:00300713 -[DEBUG][time= 636] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000a4 instr:00e78623 -[DEBUG][time= 636] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:00800000a8 instr:00008067 -[DEBUG][time= 636] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000ac instr:ff010113 -[DEBUG][time= 636] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800000b0 instr:00813023 -[DEBUG][time= 636] Ibuffer: Deque: -[DEBUG][time= 636] Ibuffer: 01000000011000000000011110110111 PC=008000009c v=1 r=1 -[DEBUG][time= 636] Ibuffer: 00000000001100000000011100010011 PC=00800000a0 v=1 r=1 -[DEBUG][time= 636] Ibuffer: 00000000111001111000011000100011 PC=00800000a4 v=1 r=1 -[DEBUG][time= 636] Ibuffer: 00000000000000001000000001100111 PC=00800000a8 v=1 r=1 -[DEBUG][time= 636] Ibuffer: 11111111000000010000000100010011 PC=00800000ac v=1 r=1 -[DEBUG][time= 636] Ibuffer: 00000000100000010011000000100011 PC=00800000b0 v=1 r=1 -[DEBUG][time= 636] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 9 -[DEBUG][time= 636] AluExeUnit: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000064 -[DEBUG][time= 636] AluExeUnit: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[DEBUG][time= 636] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 9 -[DEBUG][time= 636] AluExeUnit_1: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000068 -[DEBUG][time= 636] AluExeUnit_1: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[INFO ][time= 636] Rename: pc:0080000074 in v:1 in rdy:1 lsrc1:10 -> psrc1: 82 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 83 old_pdest: 82 out v:1 r:1 -[INFO ][time= 636] Rename: pc:0080000078 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 84 old_pdest: 78 out v:1 r:1 -[INFO ][time= 636] Rename: pc:008000007c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:1 r:1 -[INFO ][time= 636] Rename: pc:0080000080 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 85 old_pdest: 79 out v:1 r:1 -[INFO ][time= 636] Rename: pc:0080000084 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 636] Rename: pc:0080000088 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 86 old_pdest: 84 out v:1 r:1 -[INFO ][time= 636] Dispatch1: pc 0x0080000070 accepted by queue 1 0 0 -[DEBUG][time= 636] Dispatch1: v:0 r:1 pc 0x008000005c of type 0011 is in 0-th slot -[DEBUG][time= 636] Dispatch1: v:0 r:1 pc 0x0080000060 of type 1101 is in 1-th slot -[DEBUG][time= 636] Dispatch1: v:0 r:1 pc 0x0080000064 of type 0011 is in 2-th slot -[DEBUG][time= 636] Dispatch1: v:0 r:1 pc 0x0080000068 of type 0011 is in 3-th slot -[DEBUG][time= 636] Dispatch1: v:0 r:1 pc 0x008000006c of type 0011 is in 4-th slot -[DEBUG][time= 636] Dispatch1: pc 0x0080000070 receives nroq 22 -[DEBUG][time= 636] Dispatch1: v:1 r:1 pc 0x0080000070 of type 0011 is in 5-th slot -[DEBUG][time= 636] Dispatch2: int dp queue 0: 008000006c type 0011 -[DEBUG][time= 636] Dispatch2: ls dp queue 0: 0080000040 type 1101 -[DEBUG][time= 636] Dispatch2: ls dp queue 1: 0080000060 type 1101 -[DEBUG][time= 636] Dispatch2: dispatch to iq index 1: 2 -[DEBUG][time= 636] Dispatch2: dispatch to iq index 2: 3 -[DEBUG][time= 636] Dispatch2: dispatch to iq index 3: 0 -[DEBUG][time= 636] Dispatch2: dispatch to iq index 4: 1 -[DEBUG][time= 636] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 636] Dispatch2: regfile 0 from 2 -[DEBUG][time= 636] Dispatch2: regfile 1 from 2 -[DEBUG][time= 636] Dispatch2: regfile 2 from 3 -[DEBUG][time= 636] Dispatch2: regfile 3 from 3 -[DEBUG][time= 636] Dispatch2: regfile 4 from 0 -[DEBUG][time= 636] Dispatch2: regfile 5 from 0 -[DEBUG][time= 636] Dispatch2: regfile 6 from 1 -[DEBUG][time= 636] Dispatch2: regfile 7 from 1 -[DEBUG][time= 636] Dispatch2: int regfile 0: addr 69, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 2: addr 69, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 4: addr 81, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 8: addr 70, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 9: addr 74, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 12: addr 74, state 1 -[DEBUG][time= 636] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 636] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 636] Dispatch2: pc 0x008000006c with type 0011 srcState(1 1 0) enters reservation station 3 from 0 -[INFO ][time= 636] Dispatch2: pc 0x0080000040 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 636] Dispatch2: pc 0x008000006c leaves Int dispatch queue with nroq 21 -[INFO ][time= 636] Dispatch2: pc 0x0080000040 leaves Ls dispatch queue with nroq 10 -[DEBUG][time= 636] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 1 -[DEBUG][time= 636] Dispatch2: pc 0x0080000064 reads operands from ( 0, 77, 0000000000000000), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 636] Dispatch2: pc 0x0080000068 reads operands from ( 2, 77, 0000000000000000), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 636] DecodeBuffer: in v:1 r:1 pc=008000009c -[INFO ][time= 636] DecodeBuffer: in v:1 r:1 pc=00800000a0 -[INFO ][time= 636] DecodeBuffer: in v:1 r:1 pc=00800000a4 -[INFO ][time= 636] DecodeBuffer: in v:1 r:1 pc=00800000a8 -[INFO ][time= 636] DecodeBuffer: in v:1 r:1 pc=00800000ac -[INFO ][time= 636] DecodeBuffer: in v:1 r:1 pc=00800000b0 -[INFO ][time= 636] DecodeBuffer: out v:1 r:1 pc=0080000074 -[INFO ][time= 636] DecodeBuffer: out v:1 r:1 pc=0080000078 -[INFO ][time= 636] DecodeBuffer: out v:1 r:1 pc=008000007c -[INFO ][time= 636] DecodeBuffer: out v:1 r:1 pc=0080000080 -[INFO ][time= 636] DecodeBuffer: out v:1 r:1 pc=0080000084 -[INFO ][time= 636] DecodeBuffer: out v:1 r:1 pc=0080000088 -[ERROR][time= 636] Roq: CSR block should only happen in s_idle -[DEBUG][time= 636] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 1) -[INFO ][time= 636] Roq: dispatched 1 insts -[INFO ][time= 636] Roq: writebacked 3 insts -[INFO ][time= 636] Roq: writebacked pc 0x0080000064 wen 1 data 0x0000000000000000 ldst 11 pdst 80 skip 0 -[INFO ][time= 636] Roq: writebacked pc 0x0080000068 wen 1 data 0x0000000000000000 ldst 10 pdst 81 skip 0 -[INFO ][time= 636] Roq: writebacked pc 0x0080000030 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 636] Roq: head 0:22 tail 1:26 -[DEBUG][time= 636] Roq: wwwwwwvvvvvwwwwwwwvvvv----vvvvvw -[DEBUG][time= 636] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 636] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 636] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 636] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 636] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 v -[DEBUG][time= 636] Roq: 0080000068 v 008000006c v 0080000020 - 0080000024 - -[DEBUG][time= 636] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 636] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 636] DispatchQueue_2: LsDpQ: num_deq = 1, head = (13 -> 14) -[DEBUG][time= 636] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (0, 13), tail = (0, 15), -[DEBUG][time= 636] DispatchQueue: IntDpQ: num_deq = 1, head = ( 2 -> 3) -[DEBUG][time= 636] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 3 -> 4) -[DEBUG][time= 636] DispatchQueue: IntDpQ: valid_entries = 1, head = (1, 2), tail = (1, 3), -[DEBUG][time= 636] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f28 size 3 data 0000000000000003 mask 00ff cmd 0 -[DEBUG][time= 636] LsExeUnit: sbuffer id 0 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 636] LsExeUnit: sbuffer id 1 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 636] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 636] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 636] LsExeUnit: LSU fire: pc 0080000030 addr 0000000080000f28 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 636] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 636] LsExeUnit: stqinfo: stqValid.asUInt 00001011 stqHead 3 stqTail 3 stqCommited 3 emptySlot 2 -[DEBUG][time= 636] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484092 -[DEBUG][time= 636] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 636] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 636] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 636] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 636] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 636] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 636] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:0 if1_pc:0x00800001bc || if2_ready:0 -[DEBUG][time= 636] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:0 -[DEBUG][time= 636] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 636] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 636] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:0 -[DEBUG][time= 636] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 636] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 636] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 636] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 636] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 636] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 636] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 636] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 636] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 636] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 636] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 636] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 636] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 636] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 636] IssueQueue_1: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000064 roqIdx:13(for last cycle's Ctrl) -[INFO ][time= 636] IssueQueue_1: Deq:(1 1) [ 77|0000000000000000][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 80 pc:0080000064 roqIdx:13 -[DEBUG][time= 636] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 636] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 80) -[DEBUG][time= 636] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 636] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 636] IssueQueue_1: 1 |1|1| 77|1|0000000000000000| 0|1|0000000040600000| 0|0|0000000000000000| b |0080000064|13 -[DEBUG][time= 636] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| b |008000005c|11 <- -[DEBUG][time= 636] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 636] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 636] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 636] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 636] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 636] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 636] IssueQueue_2: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000068 roqIdx:14(for last cycle's Ctrl) -[INFO ][time= 636] IssueQueue_2: Deq:(1 1) [ 77|0000000000000000][ 0|0000000000000000][ 0|56e780095a4543a2] pdest: 81 pc:0080000068 roqIdx:14 -[DEBUG][time= 636] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 636] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 81) -[DEBUG][time= 636] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 636] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 636] IssueQueue_2: 2 |1|1| 77|1|0000000080000f28| 0|1|0000000000000028| 0|0|0000000000000000| b |0080000068|14 -[DEBUG][time= 636] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c <- -[DEBUG][time= 636] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 636] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 636] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 636] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 636] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 636] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 636] IssueQueue_3: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 81:1 0:1 0:0) Dest: 0 oldDest: 0 pc:008000006c roqIdx:15 -[DEBUG][time= 636] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 636] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 636] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 636] IssueQueue_3: 1 |0|1| 65|1|0000000000000004| 46|1|0000000000000005| 0|0|0000000000000000| 7 |008000004c|01 <- -[DEBUG][time= 636] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d -[DEBUG][time= 636] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 636] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 636] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 636] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 636] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 636] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 636] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 636] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 636] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 636] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 636] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 636] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 636] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 636] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 636] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 636] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 636] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 636] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 636] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 636] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 636] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 636] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 636] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 636] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 636] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 636] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 636] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 636] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 636] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 636] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 636] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 636] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 636] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 636] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 636] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 636] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 636] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 636] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 636] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 636] IssueQueue_7: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 70:1 74:1 0:0) Dest: 32 oldDest: 0 pc:0080000040 roqIdx:0a -[INFO ][time= 636] IssueQueue_7: Deq:(1 1) [ 64|0000000080000f28][ 63|0000000000000003][ 0|0000000000000000] pdest: 32 pc:0080000030 roqIdx:3a -[DEBUG][time= 636] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 636] IssueQueue_7: FireStage:Out(1 1) src1( 64|0000000080000f28) src2( 63|0000000000000003) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx:58 -[DEBUG][time= 636] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 636] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 636] IssueQueue_7: 2 |1|1| 64|1|0000000080000f28| 65|1|0000000000000004| 0|0|0000000000000000| 7 |0080000034|3b -[DEBUG][time= 636] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 636] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 636] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 636] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 636] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 636] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 636] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 636] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 636] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 636] FreeList: do checkPt at BrqIdx=11 headPtr:0: 0 -[DEBUG][time= 636] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 636] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 636] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 636] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 636] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 636] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 636] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 636] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 636] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 636] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 636] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 52 -[DEBUG][time= 636] FreeList_1: req:1 canAlloc:1 pdest: 83 headNext:0: 52 -[DEBUG][time= 636] FreeList_1: do checkPt at BrqIdx=11 headPtr:0: 53 -[DEBUG][time= 636] FreeList_1: req:1 canAlloc:1 pdest: 84 headNext:0: 53 -[DEBUG][time= 636] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 53 -[DEBUG][time= 636] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 636] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 54 -[DEBUG][time= 636] FreeList_1: req:1 canAlloc:1 pdest: 85 headNext:0: 54 -[DEBUG][time= 636] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 54 -[DEBUG][time= 636] FreeList_1: req:0 canAlloc:1 pdest: 86 headNext:0: 54 -[DEBUG][time= 636] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 55 -[DEBUG][time= 636] FreeList_1: req:1 canAlloc:1 pdest: 86 headNext:0: 55 -[DEBUG][time= 636] FreeList_1: head:0: 51 tail:1: 37 -[DEBUG][time= 636] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 636] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:14 -[DEBUG][time= 636] Brq: ---------------- -[DEBUG][time= 636] Brq: enq v:0 rdy:1 pc:008000009c brTag:f:0 v:14 -[DEBUG][time= 636] Brq: enq v:0 rdy:1 pc:00800000a0 brTag:f:0 v:14 -[DEBUG][time= 636] Brq: enq v:0 rdy:1 pc:00800000a4 brTag:f:0 v:14 -[DEBUG][time= 636] Brq: enq v:1 rdy:1 pc:00800000a8 brTag:f:0 v:14 -[DEBUG][time= 636] Brq: enq v:0 rdy:1 pc:00800000ac brTag:f:0 v:15 -[DEBUG][time= 636] Brq: enq v:0 rdy:1 pc:00800000b0 brTag:f:0 v:15 -[DEBUG][time= 637] Tage: req: pc=0x00800001dc, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 637] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 637] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 637] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f10| 0 1) tlb (1 1 0080000f10| 0 1) -[DEBUG][time= 637] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 637] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 637] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 637] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 637] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 637] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 637] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 637] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 637] BusyTable_1: 82 is busy -[DEBUG][time= 637] BusyTable_1: 83 is busy -[DEBUG][time= 637] BusyTable_1: 84 is busy -[DEBUG][time= 637] BusyTable_1: 85 is busy -[DEBUG][time= 637] BusyTable_1: 86 is busy -[DEBUG][time= 637] BPUStage2: in:(0 1) pc=00800001bc out:(1 1) pc=008000019c -[DEBUG][time= 637] BPUStage2: validLatch=1 pc=008000019c -[DEBUG][time= 637] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 637] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 637] DispatchGen: priority: data(2) = 1, priority = 3 -[DEBUG][time= 637] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 637] WriteBackArbMtoN: out(3) pc(0x008000006c) writebacks 0x0000000000000000 to pdest( 0) ldest( 0) -[DEBUG][time= 637] BPUStage1: in:(1 1) pc=00800001dc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 637] BPUStage1: outPred:(0) pc=0x00800001bc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 637] BPUStage3: [RAS]:pc=0x008000019c, rasWritePosition= 2, rasWriteAddr=0x008000019c -[DEBUG][time= 637] BPUStage3: in:(1 1) pc=008000019c -[DEBUG][time= 637] BPUStage3: out:1 pc=008000017c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=008000019c -[DEBUG][time= 637] BPUStage3: flushS3=0 -[DEBUG][time= 637] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 637] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 637] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 637] JBTAC: read: pc=0x00800001dc, histXORAddr=0x0080001e32, bank=1, row= 99, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 637] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 637] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 637] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 637] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 637] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 637] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 637] Ibuffer: Enque: -[DEBUG][time= 637] Ibuffer: 00000001000000010011100100000011 -[DEBUG][time= 637] Ibuffer: 00000000100000010011100110000011 -[DEBUG][time= 637] Ibuffer: 00000011000000010000000100010011 -[DEBUG][time= 637] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 637] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 637] Ibuffer: 11110010000000010000000100010011 -[DEBUG][time= 637] Ibuffer: 00001100100000010011100000100011 -[DEBUG][time= 637] Ibuffer: 00001011001100010011110000100011 -[DEBUG][time= 637] Ibuffer: Deque: -[DEBUG][time= 637] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 637] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 637] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 637] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 637] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 637] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 637] Ibuffer: last_head_ptr= 36 last_tail_ptr= 8 -[DEBUG][time= 637] BTB: read: pc=0x00800001dc, baseBank=14, realMask=1111111111111111 -[DEBUG][time= 637] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 9 -[DEBUG][time= 637] AluExeUnit_2: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:000000008000006c -[DEBUG][time= 637] AluExeUnit_2: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[INFO ][time= 637] Rename: pc:008000009c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 87 old_pdest: 75 out v:0 r:0 -[INFO ][time= 637] Rename: pc:00800000a0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 87 old_pdest: 76 out v:0 r:0 -[INFO ][time= 637] Rename: pc:00800000a4 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 637] Rename: pc:00800000a8 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 637] Rename: pc:00800000ac in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 87 old_pdest: 85 out v:0 r:0 -[INFO ][time= 637] Rename: pc:00800000b0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 637] Dispatch1: pc 0x0080000074 accepted by queue 1 0 0 -[INFO ][time= 637] Dispatch1: pc 0x0080000078 accepted by queue 1 0 0 -[INFO ][time= 637] Dispatch1: pc 0x008000007c accepted by queue 1 0 0 -[DEBUG][time= 637] Dispatch1: pc 0x0080000074 receives nroq 23 -[DEBUG][time= 637] Dispatch1: v:1 r:0 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 637] Dispatch1: pc 0x0080000078 receives nroq 24 -[DEBUG][time= 637] Dispatch1: v:1 r:0 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 637] Dispatch1: pc 0x008000007c receives nroq 25 -[DEBUG][time= 637] Dispatch1: v:1 r:0 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 637] Dispatch1: v:1 r:0 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 637] Dispatch1: v:1 r:0 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 637] Dispatch1: v:1 r:0 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 637] Dispatch2: int dp queue 0: 0080000070 type 0011 -[DEBUG][time= 637] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 637] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 637] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 637] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 637] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 637] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 637] Dispatch2: regfile 0 from 0 -[DEBUG][time= 637] Dispatch2: regfile 1 from 0 -[DEBUG][time= 637] Dispatch2: regfile 2 from 1 -[DEBUG][time= 637] Dispatch2: regfile 3 from 1 -[DEBUG][time= 637] Dispatch2: regfile 4 from 3 -[DEBUG][time= 637] Dispatch2: regfile 5 from 3 -[DEBUG][time= 637] Dispatch2: regfile 6 from 2 -[DEBUG][time= 637] Dispatch2: regfile 7 from 2 -[DEBUG][time= 637] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 2: addr 69, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 4: addr 69, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 6: addr 69, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 637] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 637] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 637] Dispatch2: pc 0x0080000070 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 637] Dispatch2: pc 0x0080000070 leaves Int dispatch queue with nroq 22 -[DEBUG][time= 637] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 0 -[DEBUG][time= 637] Dispatch2: pc 0x008000006c reads operands from ( 4, 81, 0000000000000000), ( 5, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 637] Dispatch2: pc 0x0080000040 reads operands from ( 8, 70, 0000000080000f50), ( 9, 74, 0000000000000008), ( 0, 0, 0000000000000000) -[INFO ][time= 637] DecodeBuffer: in v:1 r:0 pc=00800000b4 -[INFO ][time= 637] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 637] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 637] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 637] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 637] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 637] DecodeBuffer: out v:1 r:0 pc=008000009c -[INFO ][time= 637] DecodeBuffer: out v:1 r:0 pc=00800000a0 -[INFO ][time= 637] DecodeBuffer: out v:1 r:0 pc=00800000a4 -[INFO ][time= 637] DecodeBuffer: out v:1 r:0 pc=00800000a8 -[INFO ][time= 637] DecodeBuffer: out v:1 r:0 pc=00800000ac -[INFO ][time= 637] DecodeBuffer: out v:1 r:0 pc=00800000b0 -[ERROR][time= 637] Roq: CSR block should only happen in s_idle -[DEBUG][time= 637] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 637] Roq: dispatched 3 insts -[INFO ][time= 637] Roq: writebacked 1 insts -[INFO ][time= 637] Roq: writebacked pc 0x008000006c wen 1 data 0x0000000000000000 ldst 0 pdst 0 skip 0 -[INFO ][time= 637] Roq: retired pc 0080000030 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 637] Roq: retired 1 insts -[DEBUG][time= 637] Roq: head 0:23 tail 1:26 -[DEBUG][time= 637] Roq: wwwwwwvvvvvwwwwwwwvwwvv---wvvvvw -[DEBUG][time= 637] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 637] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 637] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 637] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 637] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 637] Roq: 0080000068 w 008000006c v 0080000070 v 0080000024 - -[DEBUG][time= 637] Roq: 0080000028 - 008000002c - 0080000030 w 0080000034 v -[DEBUG][time= 637] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 637] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 637] DispatchQueue: IntDpQ: num_deq = 1, head = ( 3 -> 4) -[DEBUG][time= 637] DispatchQueue: IntDpQ: num_enq = 3, tail = ( 4 -> 7) -[DEBUG][time= 637] DispatchQueue: IntDpQ: valid_entries = 1, head = (1, 3), tail = (1, 4), -[DEBUG][time= 637] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f10 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 637] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 637] LsExeUnit: sbuffer id 0 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 637] LsExeUnit: sbuffer id 1 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 637] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 637] LsExeUnit: sbuffer id 3 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 3 ptr 2 valid 1 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 637] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 637] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 637] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 3 stqCommited 3 emptySlot 4 -[DEBUG][time= 637] LsExeUnit: retiringStore now... -[INFO ][time= 637] LsExeUnit: [DMEM STORE REQ] addr 0x80000f10 wdata 0x0000000000000004 size 3 -[DEBUG][time= 637] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484092------> s1 fire!!! -[DEBUG][time= 637] FakeCache: [Stage1_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 637] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 637] FakeCache: [Stage2_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 637] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 637] FakeCache: [Stage3_data] instr1:0x01013903 instr2:0x00813983 -[DEBUG][time= 637] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 637] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001dc || if1_pcUpdate:1 if1_pc:0x00800001bc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 637] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001bc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 637] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 637] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 637] IFU: [IF3]if3_valid:1 || if3_pc:0x008000019c if3_npc:0x00800001bc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 637] IFU: [IF4]if4_valid:1 || if4_pc:0x008000017c if4_npc:0x008000019c -[DEBUG][time= 637] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x008000019c -[DEBUG][time= 637] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] starPC:0x008000017c GroupPC:0x0080000160n -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction 01013903 pnpc:0x0080000180 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction 00813983 pnpc:0x0080000184 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction 03010113 pnpc:0x0080000188 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x008000018c -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000190 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction f2010113 pnpc:0x0080000194 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction 0c813823 pnpc:0x0080000198 -[DEBUG][time= 637] IFU: [IFU-Out-FetchPacket] instruction 0b313c23 pnpc:0x008000019c -[DEBUG][time= 637] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 637] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 637] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 637] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 637] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 637] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 637] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 637] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 637] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 637] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 637] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 637] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 82 oldDest: 81 pc:0080000070 roqIdx:16 -[DEBUG][time= 637] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 637] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 637] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 637] IssueQueue_1: 0 |0|1| 36|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| b |008000005c|11 <- -[DEBUG][time= 637] IssueQueue_1: 1 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000064|13 -[DEBUG][time= 637] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 637] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 637] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 637] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 637] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 637] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 637] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 637] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 637] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 637] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c <- -[DEBUG][time= 637] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 -[DEBUG][time= 637] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 637] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 637] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 637] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 637] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 637] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 637] IssueQueue_3: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:008000006c roqIdx:15(for last cycle's Ctrl) -[INFO ][time= 637] IssueQueue_3: Deq:(1 1) [ 81|0000000000000000][ 0|0000000000000000][ 0|04ea9d532908f0c8] pdest: 0 pc:008000006c roqIdx:15 -[DEBUG][time= 637] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 637] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 0) -[DEBUG][time= 637] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 637] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 637] IssueQueue_3: 1 |1|1| 81|1|0000000000000004| 0|1|0000000000000005| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 637] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 637] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 637] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 637] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 637] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 637] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 637] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 637] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 637] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 637] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 637] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 637] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 637] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 637] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 637] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 637] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 637] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 637] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 637] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 637] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 637] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 637] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 637] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 637] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 637] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 637] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 637] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 637] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 637] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 637] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 637] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 637] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 637] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 637] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 637] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 637] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 637] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 637] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 637] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 637] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 637] IssueQueue_7: EnqData: src1:0000000080000f50 src2:0000000000000008 src3:0000000000000000 pc:0080000040 roqIdx:0a(for last cycle's Ctrl) -[DEBUG][time= 637] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 637] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 637] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 637] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 637] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 637] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 637] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 637] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 637] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 637] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 637] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 637] IssueQueue_7: 2 |1|1| 70|1|0000000080000f28| 74|1|0000000000000004| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 637] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 637] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 637] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 637] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 637] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 637] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 637] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 637] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 637] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 637] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 637] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 637] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 637] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 637] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 637] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 637] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 637] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 637] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 637] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 637] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 637] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 637] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 55 -[DEBUG][time= 637] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 637] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 55 -[DEBUG][time= 637] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 637] FreeList_1: head:0: 55 tail:1: 37 -[DEBUG][time= 637] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 637] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:15 -[DEBUG][time= 637] Brq: ---------------- -[DEBUG][time= 637] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 637] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 637] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 637] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 637] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 637] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 638] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 638] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 638] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f10| 0 1) -[DEBUG][time= 638] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 638] RegfileReadPortGen: int 0: want 0100, deqChoice: 1 -[DEBUG][time= 638] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 638] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 638] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 638] RegfileReadPortGen: dynamicExuSrc 0: 0 1000 -[DEBUG][time= 638] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 638] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 638] BusyTable_1: writeback 82 -[DEBUG][time= 638] BusyTable_1: 82 is busy -[DEBUG][time= 638] BusyTable_1: 83 is busy -[DEBUG][time= 638] BusyTable_1: 84 is busy -[DEBUG][time= 638] BusyTable_1: 85 is busy -[DEBUG][time= 638] BusyTable_1: 86 is busy -[DEBUG][time= 638] BPUStage2: in:(1 1) pc=00800001dc out:(0 0) pc=008000019c -[DEBUG][time= 638] BPUStage2: validLatch=0 pc=008000019c -[DEBUG][time= 638] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 638] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 638] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 638] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 638] WriteBackArbMtoN: out(1) pc(0x0080000070) writebacks 0x0000000080001070 to pdest( 82) ldest(10) -[DEBUG][time= 638] BPUStage1: in:(0 1) pc=00800001fc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 638] BPUStage1: outPred:(1) pc=0x00800001dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 638] BPUStage3: flushS3=0 -[DEBUG][time= 638] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 638] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 638] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 638] JBTAC: read_resp: pc=0x00800001dc, bank=1, row= 99, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 638] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 638] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 638] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 638] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 638] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 638] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 638] Ibuffer: Deque: -[DEBUG][time= 638] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 638] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 638] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 638] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 638] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 638] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 638] BTB: read_resp: pc=0x00800001dc, readIdx=238------------------------------- -[DEBUG][time= 638] BTB: read_resp[b=0][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=1][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=2][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=3][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=4][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=5][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=6][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=7][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b= 8][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b= 9][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=10][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=11][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=12][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=13][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=14][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: read_resp[b=15][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 638] BTB: bankIdxInOrder:[DEBUG][time= 638] BTB: 14 [DEBUG][time= 638] BTB: 15 [DEBUG][time= 638] BTB: 0 [DEBUG][time= 638] BTB: 1 [DEBUG][time= 638] BTB: 2 [DEBUG][time= 638] BTB: 3 [DEBUG][time= 638] BTB: 4 [DEBUG][time= 638] BTB: 5 [DEBUG][time= 638] BTB: 6 [DEBUG][time= 638] BTB: 7 [DEBUG][time= 638] BTB: 8 [DEBUG][time= 638] BTB: 9 [DEBUG][time= 638] BTB: 10 [DEBUG][time= 638] BTB: 11 [DEBUG][time= 638] BTB: 12 [DEBUG][time= 638] BTB: 13 [DEBUG][time= 638] BTB: -[DEBUG][time= 638] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 9 -[DEBUG][time= 638] AluExeUnit: src1:0000000080000070 src2:0000000000001000 offset:0000000000001000 func:0000000 pc:0000000080000070 -[DEBUG][time= 638] AluExeUnit: res:0000000080001070 aluRes:00000000080001070 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080001070 taken:0 -[INFO ][time= 638] Rename: pc:008000009c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 87 old_pdest: 75 out v:0 r:0 -[INFO ][time= 638] Rename: pc:00800000a0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 87 old_pdest: 76 out v:0 r:0 -[INFO ][time= 638] Rename: pc:00800000a4 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 638] Rename: pc:00800000a8 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 638] Rename: pc:00800000ac in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 87 old_pdest: 85 out v:0 r:0 -[INFO ][time= 638] Rename: pc:00800000b0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 638] Dispatch1: pc 0x0080000080 accepted by queue 1 0 0 -[DEBUG][time= 638] Dispatch1: v:0 r:0 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 638] Dispatch1: v:0 r:0 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 638] Dispatch1: v:0 r:0 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 638] Dispatch1: pc 0x0080000080 receives nroq 26 -[DEBUG][time= 638] Dispatch1: v:1 r:0 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 638] Dispatch1: v:1 r:0 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 638] Dispatch1: v:1 r:0 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 638] Dispatch2: int dp queue 0: 0080000074 type 0011 -[DEBUG][time= 638] Dispatch2: int dp queue 1: 0080000078 type 0000 -[DEBUG][time= 638] Dispatch2: int dp queue 2: 008000007c type 0000 -[DEBUG][time= 638] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 638] Dispatch2: dispatch to iq index 0: 1 -[DEBUG][time= 638] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 638] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 638] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 638] Dispatch2: regfile 0 from 1 -[DEBUG][time= 638] Dispatch2: regfile 1 from 1 -[DEBUG][time= 638] Dispatch2: regfile 2 from 0 -[DEBUG][time= 638] Dispatch2: regfile 3 from 0 -[DEBUG][time= 638] Dispatch2: regfile 4 from 3 -[DEBUG][time= 638] Dispatch2: regfile 5 from 3 -[DEBUG][time= 638] Dispatch2: regfile 6 from 0 -[DEBUG][time= 638] Dispatch2: regfile 7 from 0 -[DEBUG][time= 638] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 2: addr 82, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 4: addr 69, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 6: addr 82, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 638] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 638] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 638] Dispatch2: pc 0x0080000078 with type 0000 srcState(1 1 0) enters reservation station 0 from 1 -[INFO ][time= 638] Dispatch2: pc 0x0080000074 with type 0011 srcState(1 1 0) enters reservation station 2 from 0 -[INFO ][time= 638] Dispatch2: pc 0x0080000074 leaves Int dispatch queue with nroq 23 -[INFO ][time= 638] Dispatch2: pc 0x0080000078 leaves Int dispatch queue with nroq 24 -[DEBUG][time= 638] Dispatch2: pc 0x008000007c waits at Int dispatch queue with index 2 -[DEBUG][time= 638] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 0 -[DEBUG][time= 638] Dispatch2: pc 0x0080000070 reads operands from ( 0, 0, 0000000080000070), ( 1, 0, 0000000000001000), ( 0, 0, 0000000000000000) -[INFO ][time= 638] DecodeBuffer: in v:1 r:0 pc=00800000b4 -[INFO ][time= 638] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 638] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 638] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 638] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 638] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 638] DecodeBuffer: out v:1 r:0 pc=008000009c -[INFO ][time= 638] DecodeBuffer: out v:1 r:0 pc=00800000a0 -[INFO ][time= 638] DecodeBuffer: out v:1 r:0 pc=00800000a4 -[INFO ][time= 638] DecodeBuffer: out v:1 r:0 pc=00800000a8 -[INFO ][time= 638] DecodeBuffer: out v:1 r:0 pc=00800000ac -[INFO ][time= 638] DecodeBuffer: out v:1 r:0 pc=00800000b0 -[ERROR][time= 638] Roq: CSR block should only happen in s_idle -[DEBUG][time= 638] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 1) (0, 1) (0, 1) -[INFO ][time= 638] Roq: dispatched 1 insts -[INFO ][time= 638] Roq: writebacked 1 insts -[INFO ][time= 638] Roq: writebacked pc 0x0080000070 wen 1 data 0x0000000080001070 ldst 10 pdst 82 skip 0 -[DEBUG][time= 638] Roq: head 0:26 tail 1:27 -[DEBUG][time= 638] Roq: wwwwwwvvvvvwwwwwwwvwwwvvvv-vvvvw -[DEBUG][time= 638] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 638] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 638] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 638] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 638] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 638] Roq: 0080000068 w 008000006c w 0080000070 v 0080000074 v -[DEBUG][time= 638] Roq: 0080000078 v 008000007c v 0080000030 - 0080000034 v -[DEBUG][time= 638] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 638] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 638] DispatchQueue: IntDpQ: num_deq = 2, head = ( 4 -> 6) -[DEBUG][time= 638] DispatchQueue: IntDpQ: num_enq = 1, tail = ( 7 -> 8) -[DEBUG][time= 638] DispatchQueue: IntDpQ: valid_entries = 3, head = (1, 4), tail = (1, 7), -[DEBUG][time= 638] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f10 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 638] LsExeUnit: forwarding data from stq, addr 0000000080000f10 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 638] LsExeUnit: sbuffer id 0 ptr 3 pc 0080000038 addr 0000000080000f10 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 638] LsExeUnit: sbuffer id 1 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 638] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 638] LsExeUnit: sbuffer id 3 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 0 ptr 3 valid 1 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 3 ptr 2 valid 1 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 638] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 638] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 638] LsExeUnit: stqinfo: stqValid.asUInt 00001111 stqHead 4 stqTail 3 stqCommited 4 emptySlot 4 -[DEBUG][time= 638] LsExeUnit: retiringStore now... -[DEBUG][time= 638] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484124 -[DEBUG][time= 638] FakeCache: [Stage1_data] instr1:0x00068993 instr2:0x00000793 -[DEBUG][time= 638] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 638] FakeCache: [Stage2_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 638] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 638] FakeCache: [Stage3_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 638] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 638] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001fc || if1_pcUpdate:0 if1_pc:0x00800001dc || if2_ready:0 -[DEBUG][time= 638] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001dc || if3_ready:0 -[DEBUG][time= 638] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 638] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 638] IFU: [IF3]if3_valid:1 || if3_pc:0x00800001bc if3_npc:0x00800001dc || if4_ready:0 -[DEBUG][time= 638] IFU: [IF4]if4_valid:1 || if4_pc:0x008000019c if4_npc:0x00800001bc -[DEBUG][time= 638] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001bc -[DEBUG][time= 638] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[INFO ][time= 638] IssueQueue: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 84 oldDest: 78 pc:0080000078 roqIdx:18 -[DEBUG][time= 638] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 638] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 638] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 638] IssueQueue: 1 |0|1| 42|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| 9 |0080000054|0f <- -[DEBUG][time= 638] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 -[DEBUG][time= 638] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 638] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 638] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 638] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 638] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 638] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 638] IssueQueue_1: EnqData: src1:0000000080000070 src2:0000000000001000 src3:0000000000000000 pc:0080000070 roqIdx:16(for last cycle's Ctrl) -[INFO ][time= 638] IssueQueue_1: Deq:(1 1) [ 0|0000000080000070][ 0|0000000000001000][ 0|c63124ed8740c04f] pdest: 82 pc:0080000070 roqIdx:16 -[DEBUG][time= 638] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 638] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 82) -[DEBUG][time= 638] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 638] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 638] IssueQueue_1: 0 |1|1| 0|1|0000000080008ff0| 0|1|fffffffffffffff0| 0|0|0000000000000000| b |0080000070|16 -[DEBUG][time= 638] IssueQueue_1: 1 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000064|13 <- -[DEBUG][time= 638] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 638] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 638] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 638] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 638] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 638] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 638] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 82:1 0:1 0:0) Dest: 83 oldDest: 82 pc:0080000074 roqIdx:17 -[DEBUG][time= 638] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 638] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 638] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 638] IssueQueue_2: 0 |0|1| 70|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| 8 |0080000048|0c <- -[DEBUG][time= 638] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 -[DEBUG][time= 638] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 638] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 638] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 638] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 638] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 638] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 638] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 638] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 638] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 638] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 638] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 638] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 638] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 638] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 638] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 638] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 638] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 638] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 638] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 638] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 638] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 638] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 638] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 638] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 638] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 638] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 638] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 638] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 638] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 638] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 638] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 638] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 638] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 638] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 638] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 638] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 638] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 638] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 638] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 638] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 638] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 638] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 638] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 638] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 638] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 638] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 638] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 638] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 638] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 638] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 638] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 638] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 638] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 638] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 638] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 638] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 638] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 638] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 638] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 638] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 638] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 638] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 638] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 638] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 638] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 638] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 638] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 638] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 638] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 638] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 638] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 638] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 638] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 638] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 638] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 638] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 638] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 638] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 638] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 638] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 638] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 638] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 638] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 638] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 55 -[DEBUG][time= 638] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 638] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 55 -[DEBUG][time= 638] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 638] FreeList_1: head:0: 55 tail:1: 37 -[DEBUG][time= 638] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 638] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:15 -[DEBUG][time= 638] Brq: ---------------- -[DEBUG][time= 638] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 638] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 638] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 638] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 638] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 638] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 639] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 639] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 639] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f18| 1 1) tlb (1 0 0080000f18| 1 1) -[DEBUG][time= 639] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 639] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 639] RegfileReadPortGen: int 1: want 0100, deqChoice: 1 -[DEBUG][time= 639] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 639] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 639] RegfileReadPortGen: dynamicExuSrc 0: 1 0100 -[DEBUG][time= 639] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 639] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 639] BusyTable_1: writeback 84 -[DEBUG][time= 639] BusyTable_1: writeback 83 -[DEBUG][time= 639] BusyTable_1: 83 is busy -[DEBUG][time= 639] BusyTable_1: 84 is busy -[DEBUG][time= 639] BusyTable_1: 85 is busy -[DEBUG][time= 639] BusyTable_1: 86 is busy -[DEBUG][time= 639] BPUStage2: in:(0 0) pc=00800001dc out:(1 0) pc=00800001dc -[DEBUG][time= 639] BPUStage2: validLatch=1 pc=00800001dc -[DEBUG][time= 639] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 639] DispatchGen: priority: data(1) = 1, priority = 3 -[DEBUG][time= 639] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 639] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 639] WriteBackArbMtoN: out(0) pc(0x0080000078) writebacks 0x000000008000007c to pdest( 84) ldest( 1) -[INFO ][time= 639] WriteBackArbMtoN: out(2) pc(0x0080000074) writebacks 0x0000000080000cc0 to pdest( 83) ldest(10) -[DEBUG][time= 639] BPUStage1: in:(0 1) pc=00800001fc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 639] BPUStage1: outPred:(0) pc=0x00800001dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 639] BPUStage3: flushS3=0 -[DEBUG][time= 639] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 639] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 639] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 639] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 639] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 639] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 639] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 639] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 639] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800000b4 instr:00113423 -[DEBUG][time= 639] Ibuffer: Deque: -[DEBUG][time= 639] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 639] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 639] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 639] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 639] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 639] Ibuffer: 00000000000100010011010000100011 PC=00800000b4 v=1 r=0 -[DEBUG][time= 639] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 9 -[DEBUG][time= 639] AluExeUnit_1: src1:0000000080001070 src2:fffffffffffffc50 offset:fffffffffffffc50 func:0000000 pc:0000000080000074 -[DEBUG][time= 639] AluExeUnit_1: res:0000000080000cc0 aluRes:10000000080000cc0 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000cc0 taken:0 -[DEBUG][time= 639] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:9 -[DEBUG][time= 639] Jump: src1:0000000080000078 offset:0000000000000bd4 func:0011011 type:JUMP pc:0000000080000078 res:000000008000007c -[INFO ][time= 639] Rename: pc:008000009c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 87 old_pdest: 75 out v:0 r:0 -[INFO ][time= 639] Rename: pc:00800000a0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 87 old_pdest: 76 out v:0 r:0 -[INFO ][time= 639] Rename: pc:00800000a4 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 639] Rename: pc:00800000a8 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 639] Rename: pc:00800000ac in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 87 old_pdest: 85 out v:0 r:0 -[INFO ][time= 639] Rename: pc:00800000b0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 639] Dispatch1: v:0 r:0 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 639] Dispatch1: v:0 r:0 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 639] Dispatch1: v:0 r:0 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 639] Dispatch1: v:0 r:0 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 639] Dispatch1: v:1 r:0 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 639] Dispatch1: v:1 r:0 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 639] Dispatch2: int dp queue 0: 008000007c type 0000 -[DEBUG][time= 639] Dispatch2: int dp queue 1: 0080000080 type 0011 -[DEBUG][time= 639] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 639] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 639] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 639] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 639] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 639] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 639] Dispatch2: regfile 0 from 1 -[DEBUG][time= 639] Dispatch2: regfile 1 from 1 -[DEBUG][time= 639] Dispatch2: regfile 2 from 0 -[DEBUG][time= 639] Dispatch2: regfile 3 from 0 -[DEBUG][time= 639] Dispatch2: regfile 4 from 2 -[DEBUG][time= 639] Dispatch2: regfile 5 from 2 -[DEBUG][time= 639] Dispatch2: regfile 6 from 3 -[DEBUG][time= 639] Dispatch2: regfile 7 from 3 -[DEBUG][time= 639] Dispatch2: int regfile 0: addr 79, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 6: addr 70, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 639] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 639] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 639] Dispatch2: pc 0x008000007c with type 0000 srcState(1 1 0) enters reservation station 0 from 0 -[INFO ][time= 639] Dispatch2: pc 0x0080000080 with type 0011 srcState(1 1 0) enters reservation station 1 from 1 -[INFO ][time= 639] Dispatch2: pc 0x008000007c leaves Int dispatch queue with nroq 25 -[INFO ][time= 639] Dispatch2: pc 0x0080000080 leaves Int dispatch queue with nroq 26 -[DEBUG][time= 639] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 0 -[DEBUG][time= 639] Dispatch2: pc 0x0080000078 reads operands from ( 0, 0, 0000000080000078), ( 1, 0, 0000000000000bd4), ( 0, 0, 0000000000000000) -[DEBUG][time= 639] Dispatch2: pc 0x0080000074 reads operands from ( 2, 82, 0000000080001070), ( 3, 0, fffffffffffffc50), ( 0, 0, 0000000000000000) -[INFO ][time= 639] DecodeBuffer: in v:1 r:0 pc=00800000b4 -[INFO ][time= 639] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 639] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 639] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 639] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 639] DecodeBuffer: in v:0 r:0 pc=00800000b4 -[INFO ][time= 639] DecodeBuffer: out v:1 r:0 pc=008000009c -[INFO ][time= 639] DecodeBuffer: out v:1 r:0 pc=00800000a0 -[INFO ][time= 639] DecodeBuffer: out v:1 r:0 pc=00800000a4 -[INFO ][time= 639] DecodeBuffer: out v:1 r:0 pc=00800000a8 -[INFO ][time= 639] DecodeBuffer: out v:1 r:0 pc=00800000ac -[INFO ][time= 639] DecodeBuffer: out v:1 r:0 pc=00800000b0 -[ERROR][time= 639] Roq: CSR block should only happen in s_idle -[DEBUG][time= 639] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 639] Roq: writebacked 1 insts -[INFO ][time= 639] Roq: writebacked pc 0x0080000074 wen 1 data 0x0000000080000cc0 ldst 10 pdst 83 skip 0 -[DEBUG][time= 639] Roq: head 0:27 tail 1:27 -[DEBUG][time= 639] Roq: wwwwwwvvvvvwwwwwwwvwwwwvvvvvvvvw -[DEBUG][time= 639] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 639] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 639] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 639] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 639] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 639] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 v -[DEBUG][time= 639] Roq: 0080000078 v 008000007c v 0080000080 v 0080000034 v -[DEBUG][time= 639] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 639] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 639] DispatchQueue: IntDpQ: num_deq = 2, head = ( 6 -> 8) -[DEBUG][time= 639] DispatchQueue: IntDpQ: valid_entries = 2, head = (1, 6), tail = (1, 8), -[DEBUG][time= 639] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f18 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 639] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 639] LsExeUnit: sbuffer id 0 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 639] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 639] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 639] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 639] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 639] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 639] LsExeUnit: retiringStore now... -[INFO ][time= 639] LsExeUnit: [DMEM RESP] data 0000000000000005 -[DEBUG][time= 639] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484124 -[DEBUG][time= 639] FakeCache: [Stage1_data] instr1:0x00068993 instr2:0x00000793 -[DEBUG][time= 639] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 639] FakeCache: [Stage2_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 639] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 639] FakeCache: [Stage3_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 639] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 639] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001fc || if1_pcUpdate:0 if1_pc:0x00800001dc || if2_ready:0 -[DEBUG][time= 639] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001dc || if3_ready:0 -[DEBUG][time= 639] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 639] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 639] IFU: [IF3]if3_valid:1 || if3_pc:0x00800001bc if3_npc:0x00800001dc || if4_ready:0 -[DEBUG][time= 639] IFU: [IF4]if4_valid:1 || if4_pc:0x008000019c if4_npc:0x00800001bc -[DEBUG][time= 639] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001bc -[DEBUG][time= 639] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[INFO ][time= 639] IssueQueue: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 0:1 0:1 0:0) Dest: 0 oldDest: 0 pc:008000007c roqIdx:19 -[INFO ][time= 639] IssueQueue: EnqData: src1:0000000080000078 src2:0000000000000bd4 src3:0000000000000000 pc:0080000078 roqIdx:18(for last cycle's Ctrl) -[INFO ][time= 639] IssueQueue: Deq:(1 1) [ 0|0000000080000078][ 0|0000000000000bd4][ 0|26f7d2634708bafb] pdest: 84 pc:0080000078 roqIdx:18 -[DEBUG][time= 639] IssueQueue: tailAll: 1 KID(111) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(1 1) Out(1 1) -[DEBUG][time= 639] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 639] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 639] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 639] IssueQueue: 1 |1|1| 0|1|0000000080000098| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000078|18 -[DEBUG][time= 639] IssueQueue: 0 |0|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| a |0080000098|10 <- -[DEBUG][time= 639] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 639] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 639] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 639] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 639] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 639] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 639] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 79:1 0:1 0:0) Dest: 85 oldDest: 79 pc:0080000080 roqIdx:1a -[DEBUG][time= 639] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 639] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 639] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 639] IssueQueue_1: 1 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000064|13 <- -[DEBUG][time= 639] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 -[DEBUG][time= 639] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 639] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 639] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 639] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 639] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 639] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 639] IssueQueue_2: EnqData: src1:0000000080001070 src2:fffffffffffffc50 src3:0000000000000000 pc:0080000074 roqIdx:17(for last cycle's Ctrl) -[INFO ][time= 639] IssueQueue_2: Deq:(1 1) [ 82|0000000080001070][ 0|fffffffffffffc50][ 0|56e780095a4543a2] pdest: 83 pc:0080000074 roqIdx:17 -[DEBUG][time= 639] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 639] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 83) -[DEBUG][time= 639] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 639] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 639] IssueQueue_2: 0 |1|1| 82|1|0000000080000f50| 0|1|0000000000000028| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 639] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 639] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 639] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 639] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 639] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 639] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 639] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 639] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 639] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 639] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 639] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 639] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 639] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 639] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 639] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 639] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 639] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 639] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 639] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 639] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 639] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 639] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 639] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 639] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 639] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 639] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 639] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 639] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 639] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 639] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 639] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 639] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 639] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 639] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 639] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 639] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 639] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 639] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 639] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 639] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 639] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 639] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 639] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 639] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 639] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 639] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 639] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 639] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 639] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 639] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 639] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 639] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 639] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 639] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 639] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 639] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 639] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 639] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 639] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 639] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 639] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 639] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 639] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 639] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 639] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 639] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 639] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 639] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 639] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 639] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 639] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 639] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 639] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 639] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 639] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 639] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 639] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 639] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 639] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 639] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 639] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 639] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 639] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 55 -[DEBUG][time= 639] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 639] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 55 -[DEBUG][time= 639] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 639] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 55 -[DEBUG][time= 639] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 639] FreeList_1: head:0: 55 tail:1: 37 -[DEBUG][time= 639] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 639] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:15 -[DEBUG][time= 639] Brq: ---------------- -[INFO ][time= 639] Brq: exu write back: brTag:f:0 v:11 pc=0080000078 pnpc=008000007c target=0080000c4c -[DEBUG][time= 639] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 639] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 639] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 639] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 639] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 639] Brq: enq v:0 rdy:1 pc:00800000b4 brTag:f:0 v:15 -[DEBUG][time= 640] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 640] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 640] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f30| 0 1) -[DEBUG][time= 640] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 640] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 640] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 640] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 640] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 640] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 640] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 640] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 640] BusyTable_1: 85 is busy -[DEBUG][time= 640] BusyTable_1: 86 is busy -[DEBUG][time= 640] BPUStage2: in:(0 0) pc=00800001dc out:(0 0) pc=00800001dc -[DEBUG][time= 640] BPUStage2: validLatch=1 pc=00800001dc -[DEBUG][time= 640] BPUStage2: flush!!! -[DEBUG][time= 640] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 640] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 640] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 640] DispatchGen: priority: data(3) = 0, priority = 2 -[DEBUG][time= 640] BPUStage1: in:(0 1) pc=0080000c4c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 640] BPUStage1: outPred:(0) pc=0x00800001dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 640] BPUStage1: flush from backend: pc=0080000078 tgt=0080000c4c brTgt=0080000c4c btbType=01 taken=1 oldHist=0000000000000000000000000000000000000000000000000000011111111011 fetchIdx=7 isExcpt=0 -[DEBUG][time= 640] BPUStage3: flushS3=0 -[DEBUG][time= 640] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 640] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 640] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 640] JBTAC: [JBTAC]update_req: fetchPC=0x008000005c, writeValid=0, hist=0000000000000000000000000000000000000000000000000000011111111011, bank=5, row=122, target=0x0080000c4c, offset=14, type=0x1 -[INFO ][time= 640] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 640] BTB: update_req: pc=0x0080000078, hit=0, misPred=1, oldCtr=0, taken=1, target=0x0080000c4c, btbType=1 -[DEBUG][time= 640] BTB: update: noNeedToUpdate=0, writeValid=1, bank=12, row= 3, newCtr=2 -[DEBUG][time= 640] MulExeUnit: redirect: f:0 v:11 -[DEBUG][time= 640] MulExeUnit_1: redirect: f:0 v:11 -[INFO ][time= 640] Rename: pc:008000009c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 640] Rename: pc:00800000a0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[INFO ][time= 640] Rename: pc:00800000a4 in v:0 in rdy:1 lsrc1:15 -> psrc1: 75 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 640] Rename: pc:00800000a8 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 640] Rename: pc:00800000ac in v:0 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 85 out v:0 r:1 -[INFO ][time= 640] Rename: pc:00800000b0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 640] Dispatch1: pc=0080000074 brTag:f:0 v:11 -[DEBUG][time= 640] Dispatch1: pc=0080000078 brTag:f:0 v:11 -[DEBUG][time= 640] Dispatch1: pc=008000007c brTag:f:0 v:11 -[DEBUG][time= 640] Dispatch1: pc=0080000080 brTag:f:0 v:11 -[DEBUG][time= 640] Dispatch1: pc=0080000084 brTag:f:0 v:11 -[DEBUG][time= 640] Dispatch1: pc=0080000088 brTag:f:0 v:11 -[INFO ][time= 640] Dispatch1: pc 0x008000007c with brTag b cancelled -[INFO ][time= 640] Dispatch1: pc 0x0080000080 with brTag b cancelled -[INFO ][time= 640] Dispatch1: pc 0x0080000084 with brTag b cancelled -[INFO ][time= 640] Dispatch1: pc 0x0080000088 with brTag b cancelled -[DEBUG][time= 640] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 640] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 640] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 640] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 640] Dispatch1: v:1 r:1 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 640] Dispatch1: v:1 r:1 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 640] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 640] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 640] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 640] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 640] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 640] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 640] Dispatch2: regfile 0 from 3 -[DEBUG][time= 640] Dispatch2: regfile 1 from 3 -[DEBUG][time= 640] Dispatch2: regfile 2 from 0 -[DEBUG][time= 640] Dispatch2: regfile 3 from 0 -[DEBUG][time= 640] Dispatch2: regfile 4 from 1 -[DEBUG][time= 640] Dispatch2: regfile 5 from 1 -[DEBUG][time= 640] Dispatch2: regfile 6 from 2 -[DEBUG][time= 640] Dispatch2: regfile 7 from 2 -[DEBUG][time= 640] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 2: addr 71, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 4: addr 70, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 640] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 640] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 0 -[DEBUG][time= 640] Dispatch2: pc 0x008000007c reads operands from ( 2, 0, 000000008000007c), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 640] Dispatch2: pc 0x0080000080 reads operands from ( 0, 79, 0000000080008fe0), ( 1, 0, fffffffffffffff0), ( 0, 0, 0000000000000000) -[INFO ][time= 640] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 640] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 640] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 640] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 640] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 640] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 640] DecodeBuffer: out v:0 r:1 pc=008000009c -[INFO ][time= 640] DecodeBuffer: out v:0 r:1 pc=00800000a0 -[INFO ][time= 640] DecodeBuffer: out v:0 r:1 pc=00800000a4 -[INFO ][time= 640] DecodeBuffer: out v:0 r:1 pc=00800000a8 -[INFO ][time= 640] DecodeBuffer: out v:0 r:1 pc=00800000ac -[INFO ][time= 640] DecodeBuffer: out v:0 r:1 pc=00800000b0 -[ERROR][time= 640] Roq: CSR block should only happen in s_idle -[DEBUG][time= 640] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 640] Roq: writebacked 1 insts -[INFO ][time= 640] Roq: writebacked pc 0x0080000078 wen 1 data 0x000000008000007c ldst 1 pdst 84 skip 0 -[DEBUG][time= 640] Roq: roq full, switched to s_extrawalk. needExtraSpaceForMPR: 110000 -[DEBUG][time= 640] Roq: head 0:27 tail 1:27 -[DEBUG][time= 640] Roq: wwwwwwvvvvvwwwwwwwvwwwwwvvvvvvvw -[DEBUG][time= 640] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 640] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 640] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 640] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 640] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 640] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 640] Roq: 0080000078 v 008000007c v 0080000080 v 0080000034 v -[DEBUG][time= 640] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 640] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 640] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f30 size 3 data 0000000000000004 mask 00ff cmd 0 -[DEBUG][time= 640] LsExeUnit: sbuffer id 0 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 640] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 640] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 640] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 640] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 640] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 640] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147484124 -[DEBUG][time= 640] FakeCache: [Stage1_data] instr1:0x00068993 instr2:0x00000793 -[DEBUG][time= 640] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 640] FakeCache: [Stage2_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 640] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 640] FakeCache: [Stage3_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 640] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 640] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000c4c || if1_pcUpdate:1 if1_pc:0x00800001dc || if2_ready:0 -[DEBUG][time= 640] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001dc || if3_ready:0 -[DEBUG][time= 640] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 640] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 640] IFU: [IF3]if3_valid:1 || if3_pc:0x00800001bc if3_npc:0x00800001dc || if4_ready:0 -[DEBUG][time= 640] IFU: [IF4]if4_valid:1 || if4_pc:0x008000019c if4_npc:0x00800001bc -[DEBUG][time= 640] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001bc -[DEBUG][time= 640] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 640] IFU: [IFU-REDIRECT] target:0x0080000c4c -[INFO ][time= 640] IssueQueue: Redirect: valid:1 isExp:0 brTag:11 redHitVec:11111101 redIdHitVec:11111101 enqHit:0 selIsRed:1 -[INFO ][time= 640] IssueQueue: EnqData: src1:000000008000007c src2:0000000000000000 src3:0000000000000000 pc:008000007c roqIdx:19(for last cycle's Ctrl) -[DEBUG][time= 640] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 640] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 -[DEBUG][time= 640] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 640] IssueQueue: 0 |1|1| 0|1|0000000080000098| 0|1|ffffffffffffffc4| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 640] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 640] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 640] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 640] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 640] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 640] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 640] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 640] IssueQueue_1: Redirect: valid:1 isExp:0 brTag:11 redHitVec:11111110 redIdHitVec:11111101 enqHit:0 selIsRed:1 -[INFO ][time= 640] IssueQueue_1: EnqData: src1:0000000080008fe0 src2:fffffffffffffff0 src3:0000000000000000 pc:0080000080 roqIdx:1a(for last cycle's Ctrl) -[DEBUG][time= 640] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 640] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:0 has1Rdy:1 selIsRed:1 nonValid:00000000 SelUop:(0, 85) -[DEBUG][time= 640] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 640] IssueQueue_1: 1 |1|1| 79|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 640] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 640] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 640] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 640] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 640] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 640] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 640] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 640] IssueQueue_2: Redirect: valid:1 isExp:0 brTag:11 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 640] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 640] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 640] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 640] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 640] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 640] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 640] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 640] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 640] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 640] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 640] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 640] IssueQueue_3: Redirect: valid:1 isExp:0 brTag:11 redHitVec:11111000 redIdHitVec:11111000 enqHit:0 selIsRed:0 -[DEBUG][time= 640] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 640] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 640] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 640] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 640] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 640] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 640] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 640] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 640] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 640] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 640] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 640] IssueQueue_4: Redirect: valid:1 isExp:0 brTag:11 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 640] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 640] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 640] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 640] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 640] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 640] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 640] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 640] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 640] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 640] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 640] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 640] IssueQueue_5: Redirect: valid:1 isExp:0 brTag:11 redHitVec:11111111 redIdHitVec:11111111 enqHit:0 selIsRed:0 -[DEBUG][time= 640] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 640] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 640] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 640] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 640] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 640] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 640] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 640] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 640] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 640] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 640] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 640] IssueQueue_6: Redirect: valid:1 isExp:0 brTag:11 redHitVec:11111111 redIdHitVec:11111111 enqHit:0 selIsRed:0 -[DEBUG][time= 640] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 640] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 640] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 640] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 640] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 640] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 640] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 640] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 640] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 640] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 640] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 640] IssueQueue_7: Redirect: valid:1 isExp:0 brTag:11 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 640] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 640] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 640] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 640] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 640] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 640] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 640] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 640] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 640] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 640] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 640] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 640] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 640] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 640] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 640] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 640] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 640] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 640] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 640] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 640] FreeList: redirect: brqIdx=11 -[DEBUG][time= 640] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 640] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 640] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 640] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 640] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 640] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 640] FreeList_1: head:0: 55 tail:1: 37 -[DEBUG][time= 640] FreeList_1: redirect: brqIdx=11 -[DEBUG][time= 640] Brq: headIdx:11 commitIdx:11 -[DEBUG][time= 640] Brq: headPtr:f:0 v:11 tailPtr:f:0 v:15 -[DEBUG][time= 640] Brq: -----------w---- -[INFO ][time= 640] Brq: commit branch to roq, mispred:1 pc=0080000078 -[INFO ][time= 640] Brq: brq redirect, target:0080000c4c -[DEBUG][time= 641] Tage: req: pc=0x0080000c6c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 641] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 641] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 641] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f18| 0 1) tlb (1 1 0080000f18| 0 1) -[DEBUG][time= 641] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 641] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 641] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 641] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 641] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 641] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 641] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 641] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 641] BusyTable_1: writeback 86 -[DEBUG][time= 641] BusyTable_1: 85 is busy -[DEBUG][time= 641] BusyTable_1: 86 is busy -[DEBUG][time= 641] BPUStage2: in:(0 1) pc=00800001dc out:(0 1) pc=00800001dc -[DEBUG][time= 641] BPUStage2: validLatch=0 pc=00800001dc -[DEBUG][time= 641] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 641] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 641] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 641] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 641] BPUStage1: in:(1 1) pc=0080000c6c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 641] BPUStage1: outPred:(0) pc=0x00800001dc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 641] BPUStage3: flushS3=1 -[DEBUG][time= 641] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 641] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 641] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 641] JBTAC: read: pc=0x0080000c6c, histXORAddr=0x0080001382, bank=1, row= 56, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 641] BTB: read: pc=0x0080000c6c, baseBank= 6, realMask=1111111111111111 -[INFO ][time= 641] Rename: int walk: pc:0080000088 ldst: 1 old_pdest: 84 -[DEBUG][time= 641] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 641] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 641] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 641] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 641] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 641] Dispatch1: v:0 r:1 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 641] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 641] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 641] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 641] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 641] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 641] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 641] Dispatch2: regfile 0 from 0 -[DEBUG][time= 641] Dispatch2: regfile 1 from 0 -[DEBUG][time= 641] Dispatch2: regfile 2 from 1 -[DEBUG][time= 641] Dispatch2: regfile 3 from 1 -[DEBUG][time= 641] Dispatch2: regfile 4 from 2 -[DEBUG][time= 641] Dispatch2: regfile 5 from 2 -[DEBUG][time= 641] Dispatch2: regfile 6 from 3 -[DEBUG][time= 641] Dispatch2: regfile 7 from 3 -[DEBUG][time= 641] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 2: addr 70, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 641] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 641] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 0 -[INFO ][time= 641] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 641] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 641] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 641] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 641] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 641] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 641] DecodeBuffer: out v:0 r:0 pc=008000009c -[INFO ][time= 641] DecodeBuffer: out v:0 r:0 pc=00800000a0 -[INFO ][time= 641] DecodeBuffer: out v:0 r:0 pc=00800000a4 -[INFO ][time= 641] DecodeBuffer: out v:0 r:0 pc=00800000a8 -[INFO ][time= 641] DecodeBuffer: out v:0 r:0 pc=00800000ac -[INFO ][time= 641] DecodeBuffer: out v:0 r:0 pc=00800000b0 -[ERROR][time= 641] Roq: CSR block should only happen in s_idle -[DEBUG][time= 641] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 641] Roq: use extra space walked pc 0080000088 wen 1 ldst 1 -[INFO ][time= 641] Roq: use extra space walked pc 0080000084 wen 0 ldst 0 -[DEBUG][time= 641] Roq: head 0:25 tail 1:27 -[DEBUG][time= 641] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwvvvvvvw -[DEBUG][time= 641] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 641] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 641] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 641] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 641] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 641] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 641] Roq: 0080000078 w 008000007c v 0080000080 v 0080000034 v -[DEBUG][time= 641] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 641] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 641] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f18 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 641] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 641] LsExeUnit: sbuffer id 0 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 641] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 641] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 641] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 641] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 641] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 641] LsExeUnit: retiringStore now... -[INFO ][time= 641] LsExeUnit: [DMEM STORE REQ] addr 0x80000f18 wdata 0x0000000000000005 size 3 -[DEBUG][time= 641] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147486796------> s1 fire!!! -[DEBUG][time= 641] FakeCache: [Stage1_data] instr1:0xfa010113 instr2:0x02810313 -[DEBUG][time= 641] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 641] FakeCache: [Stage2_data] instr1:0x09913423 instr2:0x09a13023 -[DEBUG][time= 641] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 641] FakeCache: [Stage3_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 641] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 641] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000c6c || if1_pcUpdate:1 if1_pc:0x0080000c4c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 641] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000c4c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 641] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 641] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 641] IFU: [IF3]if3_valid:0 || if3_pc:0x00800001bc if3_npc:0x00800001dc || if4_ready:1 -[DEBUG][time= 641] IFU: [IF4]if4_valid:0 || if4_pc:0x008000019c if4_npc:0x00800001bc -[DEBUG][time= 641] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001bc -[DEBUG][time= 641] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 641] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 641] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 641] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 641] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 641] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 641] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 641] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 641] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 641] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 641] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 641] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 641] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 641] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 641] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 641] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 641] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 641] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 641] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 641] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 641] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 641] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 641] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 641] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 641] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 641] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 641] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 641] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 641] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 641] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 641] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 641] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 641] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 641] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 641] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 641] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 641] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 641] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 641] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 641] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 641] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 641] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 641] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 641] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 641] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 641] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 641] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 641] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 641] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 641] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 641] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 641] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 641] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 641] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 641] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 641] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 641] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 641] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 641] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 641] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 641] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 641] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 641] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 641] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 641] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 641] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 641] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 641] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 641] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 641] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 641] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 641] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 641] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 641] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 641] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 641] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 641] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 641] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 641] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 641] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 641] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 641] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 641] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 641] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 641] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 641] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 641] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 641] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 641] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 641] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 641] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 641] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 641] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 641] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 641] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 641] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 641] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 641] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 641] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 641] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 641] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 641] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 641] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 641] FreeList_1: head:0: 53 tail:1: 37 -[DEBUG][time= 641] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 641] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 641] Brq: ---------------- -[DEBUG][time= 641] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 641] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 641] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 641] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 641] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 641] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 642] Tage: req: pc=0x0080000c8c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 642] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 642] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 642] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f18| 0 1) -[DEBUG][time= 642] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 642] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 642] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 642] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 642] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 642] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 642] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 642] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 642] BusyTable_1: writeback 85 -[DEBUG][time= 642] BusyTable_1: 85 is busy -[DEBUG][time= 642] BPUStage2: in:(1 1) pc=0080000c6c out:(0 1) pc=00800001dc -[DEBUG][time= 642] BPUStage2: validLatch=0 pc=00800001dc -[DEBUG][time= 642] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 642] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 642] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 642] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 642] BPUStage1: in:(1 1) pc=0080000c8c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 642] BPUStage1: outPred:(1) pc=0x0080000c6c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 642] BPUStage3: flushS3=1 -[DEBUG][time= 642] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 642] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 642] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 642] JBTAC: read: pc=0x0080000c8c, histXORAddr=0x0080001362, bank=1, row= 54, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 642] JBTAC: read_resp: pc=0x0080000c6c, bank=1, row= 56, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 642] BTB: read: pc=0x0080000c8c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 642] BTB: read_resp: pc=0x0080000c6c, readIdx= 54------------------------------- -[DEBUG][time= 642] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400000, target=0x008000009c, type=1, ctr=2 -[DEBUG][time= 642] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=12][r= 3]: valid=1, tag=0x00400000, target=0x0080000c4c, type=1, ctr=2 -[DEBUG][time= 642] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 642] BTB: bankIdxInOrder:[DEBUG][time= 642] BTB: 6 [DEBUG][time= 642] BTB: 7 [DEBUG][time= 642] BTB: 8 [DEBUG][time= 642] BTB: 9 [DEBUG][time= 642] BTB: 10 [DEBUG][time= 642] BTB: 11 [DEBUG][time= 642] BTB: 12 [DEBUG][time= 642] BTB: 13 [DEBUG][time= 642] BTB: 14 [DEBUG][time= 642] BTB: 15 [DEBUG][time= 642] BTB: 0 [DEBUG][time= 642] BTB: 1 [DEBUG][time= 642] BTB: 2 [DEBUG][time= 642] BTB: 3 [DEBUG][time= 642] BTB: 4 [DEBUG][time= 642] BTB: 5 [DEBUG][time= 642] BTB: -[INFO ][time= 642] Rename: int walk: pc:0080000080 ldst: 2 old_pdest: 79 -[DEBUG][time= 642] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 642] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 642] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 642] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 642] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 642] Dispatch1: v:0 r:1 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 642] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 642] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 642] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 642] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 642] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 642] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 642] Dispatch2: regfile 0 from 0 -[DEBUG][time= 642] Dispatch2: regfile 1 from 0 -[DEBUG][time= 642] Dispatch2: regfile 2 from 1 -[DEBUG][time= 642] Dispatch2: regfile 3 from 1 -[DEBUG][time= 642] Dispatch2: regfile 4 from 2 -[DEBUG][time= 642] Dispatch2: regfile 5 from 2 -[DEBUG][time= 642] Dispatch2: regfile 6 from 3 -[DEBUG][time= 642] Dispatch2: regfile 7 from 3 -[DEBUG][time= 642] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 2: addr 70, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 642] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 642] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 0 -[INFO ][time= 642] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 642] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 642] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 642] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 642] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 642] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 642] DecodeBuffer: out v:0 r:0 pc=008000009c -[INFO ][time= 642] DecodeBuffer: out v:0 r:0 pc=00800000a0 -[INFO ][time= 642] DecodeBuffer: out v:0 r:0 pc=00800000a4 -[INFO ][time= 642] DecodeBuffer: out v:0 r:0 pc=00800000a8 -[INFO ][time= 642] DecodeBuffer: out v:0 r:0 pc=00800000ac -[INFO ][time= 642] DecodeBuffer: out v:0 r:0 pc=00800000b0 -[ERROR][time= 642] Roq: CSR block should only happen in s_idle -[DEBUG][time= 642] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 642] Roq: walked pc 0080000080 wen 1 ldst 2 data 0000000000000000 -[INFO ][time= 642] Roq: walked pc 008000007c wen 1 ldst 0 data 0000000000000007 -[INFO ][time= 642] Roq: rolling back: head 25 tail 27 walk 0:26 -[DEBUG][time= 642] Roq: head 0:25 tail 1:27 -[DEBUG][time= 642] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwvvvvvvw -[DEBUG][time= 642] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 642] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 642] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 642] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 642] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 642] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 642] Roq: 0080000078 w 008000007c v 0080000080 v 0080000034 v -[DEBUG][time= 642] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 642] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 642] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f18 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 642] LsExeUnit: forwarding data from stq, addr 0000000080000f18 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 642] LsExeUnit: sbuffer id 0 ptr 0 pc 008000003c addr 0000000080000f18 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 642] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 642] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 642] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 642] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 642] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 642] LsExeUnit: retiringStore now... -[DEBUG][time= 642] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147486828------> s1 fire!!! -[DEBUG][time= 642] FakeCache: [Stage1_data] instr1:0x00000513 instr2:0x00113c23 -[DEBUG][time= 642] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 642] FakeCache: [Stage2_data] instr1:0xfa010113 instr2:0x02810313 -[DEBUG][time= 642] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 642] FakeCache: [Stage3_data] instr1:0x0c113c23 instr2:0x0c913423 -[DEBUG][time= 642] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 642] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000c8c || if1_pcUpdate:1 if1_pc:0x0080000c6c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 642] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000c6c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 642] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 642] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 642] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000c4c if3_npc:0x0080000c6c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 642] IFU: [IF4]if4_valid:0 || if4_pc:0x008000019c if4_npc:0x00800001bc -[DEBUG][time= 642] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001bc -[DEBUG][time= 642] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 642] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 642] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 642] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 642] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 642] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 642] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 642] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 642] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 642] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 642] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 642] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 642] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 642] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 642] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 642] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 642] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 642] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 642] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 642] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 642] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 642] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 642] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 642] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 642] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 642] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 642] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 642] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 642] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 642] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 642] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 642] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 642] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 642] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 642] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 642] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 642] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 642] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 642] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 642] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 642] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 642] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 642] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 642] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 642] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 642] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 642] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 642] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 642] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 642] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 642] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 642] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 642] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 642] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 642] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 642] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 642] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 642] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 642] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 642] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 642] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 642] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 642] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 642] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 642] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 642] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 642] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 642] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 642] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 642] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 642] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 642] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 642] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 642] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 642] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 642] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 642] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 642] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 642] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 642] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 642] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 642] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 642] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 642] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 642] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 642] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 642] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 642] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 642] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 642] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 642] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 642] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 642] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 642] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 642] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 642] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 642] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 642] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 642] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 642] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 642] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 642] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 642] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 642] FreeList_1: head:0: 53 tail:1: 37 -[DEBUG][time= 642] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 642] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 642] Brq: ---------------- -[DEBUG][time= 642] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 642] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 642] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 642] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 642] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 642] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 643] Tage: req: pc=0x0080000cac, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 643] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 643] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 643] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f20| 1 1) tlb (1 0 0080000f20| 1 1) -[DEBUG][time= 643] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 643] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 643] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 643] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 643] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 643] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 643] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 643] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 643] BPUStage2: in:(1 1) pc=0080000c8c out:(1 1) pc=0080000c6c -[DEBUG][time= 643] BPUStage2: validLatch=1 pc=0080000c6c -[DEBUG][time= 643] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 643] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 643] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 643] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 643] BPUStage1: in:(1 1) pc=0080000cac ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 643] BPUStage1: outPred:(1) pc=0x0080000c8c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 643] BPUStage3: [RAS]:pc=0x0080000c6c, rasWritePosition= 1, rasWriteAddr=0x00800001bc -[DEBUG][time= 643] BPUStage3: in:(1 1) pc=0080000c6c -[DEBUG][time= 643] BPUStage3: flushS3=1 -[DEBUG][time= 643] BPUStage3: validLatch=0 predecode.valid=1 -[DEBUG][time= 643] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 643] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 643] JBTAC: read: pc=0x0080000cac, histXORAddr=0x0080001342, bank=1, row= 52, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 643] JBTAC: read_resp: pc=0x0080000c8c, bank=1, row= 54, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 643] Ibuffer: Enque: -[DEBUG][time= 643] Ibuffer: 11111010000000010000000100010011 -[DEBUG][time= 643] Ibuffer: 00000010100000010000001100010011 -[DEBUG][time= 643] Ibuffer: 00000010101100010011010000100011 -[DEBUG][time= 643] Ibuffer: 00000010110000010011100000100011 -[DEBUG][time= 643] Ibuffer: 00000010110100010011110000100011 -[DEBUG][time= 643] Ibuffer: 00000000000001010000011000010011 -[DEBUG][time= 643] Ibuffer: 00000000000000110000011010010011 -[DEBUG][time= 643] Ibuffer: 00000000000000000000010110010011 -[DEBUG][time= 643] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 643] BTB: read: pc=0x0080000cac, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 643] BTB: read_resp: pc=0x0080000c8c, readIdx= 70------------------------------- -[DEBUG][time= 643] BTB: read_resp[b=0][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=1][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=2][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=3][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=4][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=5][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b= 8][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b= 9][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=10][r= 4]: valid=1, tag=0x00400000, target=0x0080000010, type=1, ctr=2 -[DEBUG][time= 643] BTB: read_resp[b=11][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=12][r= 4]: valid=1, tag=0x00400000, target=0x008000005c, type=1, ctr=2 -[DEBUG][time= 643] BTB: read_resp[b=13][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=14][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: read_resp[b=15][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 643] BTB: bankIdxInOrder:[DEBUG][time= 643] BTB: 6 [DEBUG][time= 643] BTB: 7 [DEBUG][time= 643] BTB: 8 [DEBUG][time= 643] BTB: 9 [DEBUG][time= 643] BTB: 10 [DEBUG][time= 643] BTB: 11 [DEBUG][time= 643] BTB: 12 [DEBUG][time= 643] BTB: 13 [DEBUG][time= 643] BTB: 14 [DEBUG][time= 643] BTB: 15 [DEBUG][time= 643] BTB: 0 [DEBUG][time= 643] BTB: 1 [DEBUG][time= 643] BTB: 2 [DEBUG][time= 643] BTB: 3 [DEBUG][time= 643] BTB: 4 [DEBUG][time= 643] BTB: 5 [DEBUG][time= 643] BTB: -[INFO ][time= 643] Rename: pc:008000009c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 643] Rename: pc:00800000a0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[INFO ][time= 643] Rename: pc:00800000a4 in v:0 in rdy:1 lsrc1:15 -> psrc1: 75 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 643] Rename: pc:00800000a8 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 84 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 643] Rename: pc:00800000ac in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:1 -[INFO ][time= 643] Rename: pc:00800000b0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 643] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 643] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 643] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 643] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 643] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 643] Dispatch1: v:0 r:1 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 643] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 643] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 643] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 643] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 643] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 643] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 643] Dispatch2: regfile 0 from 0 -[DEBUG][time= 643] Dispatch2: regfile 1 from 0 -[DEBUG][time= 643] Dispatch2: regfile 2 from 1 -[DEBUG][time= 643] Dispatch2: regfile 3 from 1 -[DEBUG][time= 643] Dispatch2: regfile 4 from 2 -[DEBUG][time= 643] Dispatch2: regfile 5 from 2 -[DEBUG][time= 643] Dispatch2: regfile 6 from 3 -[DEBUG][time= 643] Dispatch2: regfile 7 from 3 -[DEBUG][time= 643] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 2: addr 70, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 643] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 643] Dispatch2: pc 0x0080000060 waits at Ls dispatch queue with index 0 -[INFO ][time= 643] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 643] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 643] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 643] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 643] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 643] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 643] DecodeBuffer: out v:0 r:1 pc=008000009c -[INFO ][time= 643] DecodeBuffer: out v:0 r:1 pc=00800000a0 -[INFO ][time= 643] DecodeBuffer: out v:0 r:1 pc=00800000a4 -[INFO ][time= 643] DecodeBuffer: out v:0 r:1 pc=00800000a8 -[INFO ][time= 643] DecodeBuffer: out v:0 r:1 pc=00800000ac -[INFO ][time= 643] DecodeBuffer: out v:0 r:1 pc=00800000b0 -[ERROR][time= 643] Roq: CSR block should only happen in s_idle -[DEBUG][time= 643] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[DEBUG][time= 643] Roq: head 0:25 tail 1:27 -[DEBUG][time= 643] Roq: wwwwwwvvvvvwwwwwwwvwwwwww--vvvvw -[DEBUG][time= 643] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 643] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 643] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 643] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 643] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 643] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 643] Roq: 0080000078 w 008000007c - 0080000080 - 0080000034 v -[DEBUG][time= 643] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 643] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 643] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f20 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 643] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 643] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 643] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 643] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 643] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 643] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 643] LsExeUnit: retiringStore now... -[INFO ][time= 643] LsExeUnit: [DMEM RESP] data 0000000000000006 -[DEBUG][time= 643] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147486860------> s1 fire!!! -[DEBUG][time= 643] FakeCache: [Stage1_data] instr1:0x01813083 instr2:0x06010113 -[DEBUG][time= 643] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 643] FakeCache: [Stage2_data] instr1:0x00000513 instr2:0x00113c23 -[DEBUG][time= 643] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 643] FakeCache: [Stage3_data] instr1:0xfa010113 instr2:0x02810313 -[DEBUG][time= 643] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 643] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000cac || if1_pcUpdate:1 if1_pc:0x0080000c8c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 643] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000c8c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 643] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 643] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 643] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000c6c if3_npc:0x0080000c8c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 643] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000c4c if4_npc:0x0080000c6c -[DEBUG][time= 643] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001bc -[DEBUG][time= 643] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] starPC:0x0080000c4c GroupPC:0x0080000c40n -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction fa010113 pnpc:0x0080000c50 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction 02810313 pnpc:0x0080000c54 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction 02b13423 pnpc:0x0080000c58 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction 02c13823 pnpc:0x0080000c5c -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction 02d13c23 pnpc:0x0080000c60 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction 00050613 pnpc:0x0080000c64 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction 00030693 pnpc:0x0080000c68 -[DEBUG][time= 643] IFU: [IFU-Out-FetchPacket] instruction 00000593 pnpc:0x0080000c6c -[DEBUG][time= 643] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 643] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 643] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 643] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 643] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 643] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 643] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 643] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 643] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 643] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 643] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 643] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 643] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 643] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 643] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 643] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 643] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 643] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 643] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 643] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 643] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 643] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 643] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 643] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 643] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 643] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 643] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 643] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 643] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 643] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 643] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 643] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 643] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 643] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 643] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 643] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 643] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 643] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 643] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 643] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 643] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 643] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 643] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 643] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 643] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 643] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 643] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 643] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 643] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 643] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 643] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 643] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 643] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 643] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 643] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 643] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 643] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 643] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 643] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 643] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 643] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 643] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 643] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 643] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 643] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 643] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 643] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 643] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 643] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 643] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 643] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 643] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 643] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 643] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 643] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 643] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 643] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 643] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 643] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 643] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 643] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 643] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 643] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 643] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 643] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 643] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 643] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 643] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 643] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 643] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 643] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 643] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 643] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 643] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 643] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 643] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 643] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 643] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 643] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 643] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 643] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 643] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 643] FreeList_1: head:0: 53 tail:1: 37 -[DEBUG][time= 643] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 643] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 643] Brq: ---------------- -[DEBUG][time= 643] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 643] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 643] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 643] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 643] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 643] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:12 -[DEBUG][time= 644] Tage: req: pc=0x0080000ccc, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 644] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 644] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 644] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f30| 0 1) -[DEBUG][time= 644] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 644] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 644] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 644] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 644] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 644] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 644] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 644] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 644] BPUStage2: in:(1 1) pc=0080000cac out:(1 1) pc=0080000c8c -[DEBUG][time= 644] BPUStage2: validLatch=1 pc=0080000c8c -[DEBUG][time= 644] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 644] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 644] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 644] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 644] BPUStage1: in:(1 1) pc=0080000ccc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 644] BPUStage1: outPred:(1) pc=0x0080000cac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 644] BPUStage3: [RAS]:pc=0x0080000c8c, rasWritePosition= 1, rasWriteAddr=0x0080000c8c -[DEBUG][time= 644] BPUStage3: in:(1 1) pc=0080000c8c -[DEBUG][time= 644] BPUStage3: out:1 pc=0080000c6c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000c8c -[DEBUG][time= 644] BPUStage3: flushS3=0 -[DEBUG][time= 644] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 644] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 644] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 644] JBTAC: read: pc=0x0080000ccc, histXORAddr=0x0080001322, bank=1, row= 50, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 644] JBTAC: read_resp: pc=0x0080000cac, bank=1, row= 52, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 644] Frontend: inst:fa010113 pc:0080000c4c -[INFO ][time= 644] Frontend: inst:02810313 pc:0080000c50 -[INFO ][time= 644] Frontend: inst:02b13423 pc:0080000c54 -[INFO ][time= 644] Frontend: inst:02c13823 pc:0080000c58 -[INFO ][time= 644] Frontend: inst:02d13c23 pc:0080000c5c -[INFO ][time= 644] Frontend: inst:00050613 pc:0080000c60 -[DEBUG][time= 644] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c4c instr:fa010113 -[DEBUG][time= 644] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c50 instr:02810313 -[DEBUG][time= 644] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c54 instr:02b13423 -[DEBUG][time= 644] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c58 instr:02c13823 -[DEBUG][time= 644] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c5c instr:02d13c23 -[DEBUG][time= 644] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c60 instr:00050613 -[DEBUG][time= 644] Ibuffer: Enque: -[DEBUG][time= 644] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 644] Ibuffer: 00000000000100010011110000100011 -[DEBUG][time= 644] Ibuffer: 00000100111000010011000000100011 -[DEBUG][time= 644] Ibuffer: 00000100111100010011010000100011 -[DEBUG][time= 644] Ibuffer: 00000101000000010011100000100011 -[DEBUG][time= 644] Ibuffer: 00000101000100010011110000100011 -[DEBUG][time= 644] Ibuffer: 00000000011000010011010000100011 -[DEBUG][time= 644] Ibuffer: 11010000100011111111000011101111 -[DEBUG][time= 644] Ibuffer: Deque: -[DEBUG][time= 644] Ibuffer: 11111010000000010000000100010011 PC=0080000c4c v=1 r=1 -[DEBUG][time= 644] Ibuffer: 00000010100000010000001100010011 PC=0080000c50 v=1 r=1 -[DEBUG][time= 644] Ibuffer: 00000010101100010011010000100011 PC=0080000c54 v=1 r=1 -[DEBUG][time= 644] Ibuffer: 00000010110000010011100000100011 PC=0080000c58 v=1 r=1 -[DEBUG][time= 644] Ibuffer: 00000010110100010011110000100011 PC=0080000c5c v=1 r=1 -[DEBUG][time= 644] Ibuffer: 00000000000001010000011000010011 PC=0080000c60 v=1 r=1 -[DEBUG][time= 644] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 644] BTB: read: pc=0x0080000ccc, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 644] BTB: read_resp: pc=0x0080000cac, readIdx= 86------------------------------- -[DEBUG][time= 644] BTB: read_resp[b=0][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=1][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=2][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=3][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=4][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=5][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=6][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=7][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b= 8][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b= 9][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=10][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=11][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=12][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=13][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=14][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: read_resp[b=15][r= 5]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 644] BTB: bankIdxInOrder:[DEBUG][time= 644] BTB: 6 [DEBUG][time= 644] BTB: 7 [DEBUG][time= 644] BTB: 8 [DEBUG][time= 644] BTB: 9 [DEBUG][time= 644] BTB: 10 [DEBUG][time= 644] BTB: 11 [DEBUG][time= 644] BTB: 12 [DEBUG][time= 644] BTB: 13 [DEBUG][time= 644] BTB: 14 [DEBUG][time= 644] BTB: 15 [DEBUG][time= 644] BTB: 0 [DEBUG][time= 644] BTB: 1 [DEBUG][time= 644] BTB: 2 [DEBUG][time= 644] BTB: 3 [DEBUG][time= 644] BTB: 4 [DEBUG][time= 644] BTB: 5 [DEBUG][time= 644] BTB: -[INFO ][time= 644] Rename: pc:008000009c in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 644] Rename: pc:00800000a0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[INFO ][time= 644] Rename: pc:00800000a4 in v:0 in rdy:1 lsrc1:15 -> psrc1: 75 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 644] Rename: pc:00800000a8 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 84 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 644] Rename: pc:00800000ac in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 32 old_pdest: 79 out v:0 r:1 -[INFO ][time= 644] Rename: pc:00800000b0 in v:0 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[DEBUG][time= 644] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 644] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 644] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 644] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 644] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 644] Dispatch1: v:0 r:1 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 644] Dispatch2: ls dp queue 0: 0080000060 type 1101 -[DEBUG][time= 644] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 644] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 644] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 644] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 644] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 644] Dispatch2: regfile 0 from 0 -[DEBUG][time= 644] Dispatch2: regfile 1 from 0 -[DEBUG][time= 644] Dispatch2: regfile 2 from 1 -[DEBUG][time= 644] Dispatch2: regfile 3 from 1 -[DEBUG][time= 644] Dispatch2: regfile 4 from 2 -[DEBUG][time= 644] Dispatch2: regfile 5 from 2 -[DEBUG][time= 644] Dispatch2: regfile 6 from 3 -[DEBUG][time= 644] Dispatch2: regfile 7 from 3 -[DEBUG][time= 644] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 2: addr 70, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 8: addr 79, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 9: addr 78, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 12: addr 78, state 1 -[DEBUG][time= 644] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 644] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 644] Dispatch2: pc 0x0080000060 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 644] Dispatch2: pc 0x0080000060 leaves Ls dispatch queue with nroq 18 -[INFO ][time= 644] DecodeBuffer: in v:1 r:1 pc=0080000c4c -[INFO ][time= 644] DecodeBuffer: in v:1 r:1 pc=0080000c50 -[INFO ][time= 644] DecodeBuffer: in v:1 r:1 pc=0080000c54 -[INFO ][time= 644] DecodeBuffer: in v:1 r:1 pc=0080000c58 -[INFO ][time= 644] DecodeBuffer: in v:1 r:1 pc=0080000c5c -[INFO ][time= 644] DecodeBuffer: in v:1 r:1 pc=0080000c60 -[INFO ][time= 644] DecodeBuffer: out v:0 r:1 pc=008000009c -[INFO ][time= 644] DecodeBuffer: out v:0 r:1 pc=00800000a0 -[INFO ][time= 644] DecodeBuffer: out v:0 r:1 pc=00800000a4 -[INFO ][time= 644] DecodeBuffer: out v:0 r:1 pc=00800000a8 -[INFO ][time= 644] DecodeBuffer: out v:0 r:1 pc=00800000ac -[INFO ][time= 644] DecodeBuffer: out v:0 r:1 pc=00800000b0 -[ERROR][time= 644] Roq: CSR block should only happen in s_idle -[DEBUG][time= 644] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 644] Roq: writebacked 1 insts -[INFO ][time= 644] Roq: writebacked pc 0x0080000034 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 644] Roq: head 0:25 tail 1:27 -[DEBUG][time= 644] Roq: wwwwwwvvvvvwwwwwwwvwwwwww--vvvvw -[DEBUG][time= 644] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 644] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 644] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 644] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 644] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 644] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 644] Roq: 0080000078 w 008000007c - 0080000080 - 0080000034 v -[DEBUG][time= 644] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 644] DispatchQueue_2: LsDpQ: num_deq = 1, head = (14 -> 15) -[DEBUG][time= 644] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 14), tail = (0, 15), -[DEBUG][time= 644] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f30 size 3 data 0000000000000004 mask 00ff cmd 0 -[DEBUG][time= 644] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 644] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 644] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 644] LsExeUnit: LSU fire: pc 0080000034 addr 0000000080000f30 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 644] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 644] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 644] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147486892------> s1 fire!!! -[DEBUG][time= 644] FakeCache: [Stage1_data] instr1:0x0007c703 instr2:0x00150513 -[DEBUG][time= 644] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 644] FakeCache: [Stage2_data] instr1:0x01813083 instr2:0x06010113 -[DEBUG][time= 644] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 644] FakeCache: [Stage3_data] instr1:0x00000513 instr2:0x00113c23 -[DEBUG][time= 644] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 644] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000ccc || if1_pcUpdate:1 if1_pc:0x0080000cac || if2_ready:1------IF1->fire!!! -[DEBUG][time= 644] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000cac || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 644] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 644] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 644] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000c8c if3_npc:0x0080000cac || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 644] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000c6c if4_npc:0x0080000c8c -[DEBUG][time= 644] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000c8c -[DEBUG][time= 644] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] starPC:0x0080000c6c GroupPC:0x0080000c60n -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000c70 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction 00113c23 pnpc:0x0080000c74 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction 04e13023 pnpc:0x0080000c78 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction 04f13423 pnpc:0x0080000c7c -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction 05013823 pnpc:0x0080000c80 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction 05113c23 pnpc:0x0080000c84 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction 00613423 pnpc:0x0080000c88 -[DEBUG][time= 644] IFU: [IFU-Out-FetchPacket] instruction d08ff0ef pnpc:0x0080000c8c -[DEBUG][time= 644] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 644] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 644] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 644] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 644] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 644] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 644] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 644] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 644] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 644] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 644] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 644] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 644] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 644] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 644] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 644] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 644] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 644] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 644] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 644] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 644] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 644] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 644] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 644] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 644] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 644] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 644] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 644] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 644] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 644] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 644] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 644] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 644] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 644] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 644] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 644] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 644] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 644] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 644] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 644] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 644] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 644] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 644] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 644] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 644] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 644] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 644] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 644] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 644] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 644] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 644] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 644] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 644] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 644] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 644] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 644] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 644] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 644] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 644] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 644] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 644] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 644] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 644] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 644] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 644] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 644] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 644] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 644] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 644] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 644] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 644] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 644] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 644] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 644] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 644] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 644] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 644] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 644] IssueQueue_7: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 79:1 78:1 0:0) Dest: 32 oldDest: 0 pc:0080000060 roqIdx:12 -[INFO ][time= 644] IssueQueue_7: Deq:(1 1) [ 64|0000000080000f28][ 65|0000000000000004][ 0|0000000000000000] pdest: 32 pc:0080000034 roqIdx:3b -[DEBUG][time= 644] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 644] IssueQueue_7: FireStage:Out(1 1) src1( 64|0000000080000f28) src2( 65|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx:59 -[DEBUG][time= 644] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 644] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 644] IssueQueue_7: 1 |1|1| 64|1|0000000080000f28| 66|1|0000000000000005| 0|0|0000000000000000| 7 |0080000038|3c -[DEBUG][time= 644] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 644] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 644] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 644] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 644] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 644] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 644] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 644] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 644] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 644] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 644] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 644] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 644] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 644] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 644] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 644] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 644] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 644] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 644] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 644] FreeList_1: req:0 canAlloc:1 pdest: 85 headNext:0: 53 -[DEBUG][time= 644] FreeList_1: head:0: 53 tail:1: 37 -[DEBUG][time= 644] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 644] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 644] Brq: ---------------- -[DEBUG][time= 644] Brq: enq v:0 rdy:1 pc:0080000c4c brTag:f:0 v:12 -[DEBUG][time= 644] Brq: enq v:0 rdy:1 pc:0080000c50 brTag:f:0 v:12 -[DEBUG][time= 644] Brq: enq v:0 rdy:1 pc:0080000c54 brTag:f:0 v:12 -[DEBUG][time= 644] Brq: enq v:0 rdy:1 pc:0080000c58 brTag:f:0 v:12 -[DEBUG][time= 644] Brq: enq v:0 rdy:1 pc:0080000c5c brTag:f:0 v:12 -[DEBUG][time= 644] Brq: enq v:0 rdy:1 pc:0080000c60 brTag:f:0 v:12 -[DEBUG][time= 645] Tage: req: pc=0x0080000cec, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 645] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 645] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 645] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f20| 0 1) tlb (1 1 0080000f20| 0 1) -[DEBUG][time= 645] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 645] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 645] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 645] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 645] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 645] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 645] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 645] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 645] BusyTable_1: Allocate 85 -[DEBUG][time= 645] BusyTable_1: Allocate 86 -[DEBUG][time= 645] BusyTable_1: Allocate 87 -[DEBUG][time= 645] BPUStage2: in:(1 1) pc=0080000ccc out:(1 1) pc=0080000cac -[DEBUG][time= 645] BPUStage2: validLatch=1 pc=0080000cac -[DEBUG][time= 645] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 645] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 645] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 645] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 645] BPUStage1: in:(1 1) pc=0080000cec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 645] BPUStage1: outPred:(1) pc=0x0080000ccc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 645] BPUStage3: [RAS]:pc=0x0080000cac, rasWritePosition= 1, rasWriteAddr=0x0080000cac -[DEBUG][time= 645] BPUStage3: in:(1 1) pc=0080000cac -[DEBUG][time= 645] BPUStage3: out:1 pc=0080000c8c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000cac -[DEBUG][time= 645] BPUStage3: flushS3=0 -[DEBUG][time= 645] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 645] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=2 -[DEBUG][time= 645] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 645] JBTAC: read: pc=0x0080000cec, histXORAddr=0x0080001302, bank=1, row= 48, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 645] JBTAC: read_resp: pc=0x0080000ccc, bank=1, row= 50, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 645] Frontend: inst:00030693 pc:0080000c64 -[INFO ][time= 645] Frontend: inst:00000593 pc:0080000c68 -[INFO ][time= 645] Frontend: inst:00000513 pc:0080000c6c -[INFO ][time= 645] Frontend: inst:00113c23 pc:0080000c70 -[INFO ][time= 645] Frontend: inst:04e13023 pc:0080000c74 -[INFO ][time= 645] Frontend: inst:04f13423 pc:0080000c78 -[DEBUG][time= 645] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c64 instr:00030693 -[DEBUG][time= 645] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c68 instr:00000593 -[DEBUG][time= 645] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c6c instr:00000513 -[DEBUG][time= 645] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c70 instr:00113c23 -[DEBUG][time= 645] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c74 instr:04e13023 -[DEBUG][time= 645] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c78 instr:04f13423 -[DEBUG][time= 645] Ibuffer: Enque: -[DEBUG][time= 645] Ibuffer: 00000001100000010011000010000011 -[DEBUG][time= 645] Ibuffer: 00000110000000010000000100010011 -[DEBUG][time= 645] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 645] Ibuffer: 00000000000001010100011100000011 -[DEBUG][time= 645] Ibuffer: 00000000000001010000011110010011 -[DEBUG][time= 645] Ibuffer: 00000000000000000000010100010011 -[DEBUG][time= 645] Ibuffer: 00000000000001110000110001100011 -[DEBUG][time= 645] Ibuffer: 00000000000101111000011110010011 -[DEBUG][time= 645] Ibuffer: Deque: -[DEBUG][time= 645] Ibuffer: 00000000000000110000011010010011 PC=0080000c64 v=1 r=1 -[DEBUG][time= 645] Ibuffer: 00000000000000000000010110010011 PC=0080000c68 v=1 r=1 -[DEBUG][time= 645] Ibuffer: 00000000000000000000010100010011 PC=0080000c6c v=1 r=1 -[DEBUG][time= 645] Ibuffer: 00000000000100010011110000100011 PC=0080000c70 v=1 r=1 -[DEBUG][time= 645] Ibuffer: 00000100111000010011000000100011 PC=0080000c74 v=1 r=1 -[DEBUG][time= 645] Ibuffer: 00000100111100010011010000100011 PC=0080000c78 v=1 r=1 -[DEBUG][time= 645] Ibuffer: last_head_ptr= 12 last_tail_ptr= 32 -[DEBUG][time= 645] BTB: read: pc=0x0080000cec, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 645] BTB: read_resp: pc=0x0080000ccc, readIdx=102------------------------------- -[DEBUG][time= 645] BTB: read_resp[b=0][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=1][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=2][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=3][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=4][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=5][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=6][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=7][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b= 8][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b= 9][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=10][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=11][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=12][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=13][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=14][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: read_resp[b=15][r= 6]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 645] BTB: bankIdxInOrder:[DEBUG][time= 645] BTB: 6 [DEBUG][time= 645] BTB: 7 [DEBUG][time= 645] BTB: 8 [DEBUG][time= 645] BTB: 9 [DEBUG][time= 645] BTB: 10 [DEBUG][time= 645] BTB: 11 [DEBUG][time= 645] BTB: 12 [DEBUG][time= 645] BTB: 13 [DEBUG][time= 645] BTB: 14 [DEBUG][time= 645] BTB: 15 [DEBUG][time= 645] BTB: 0 [DEBUG][time= 645] BTB: 1 [DEBUG][time= 645] BTB: 2 [DEBUG][time= 645] BTB: 3 [DEBUG][time= 645] BTB: 4 [DEBUG][time= 645] BTB: 5 [DEBUG][time= 645] BTB: -[INFO ][time= 645] Rename: pc:0080000c4c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 79 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 85 old_pdest: 79 out v:1 r:1 -[INFO ][time= 645] Rename: pc:0080000c50 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 6 -> pdest: 86 old_pdest: 6 out v:1 r:1 -[INFO ][time= 645] Rename: pc:0080000c54 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2:11 -> psrc2: 80 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 645] Rename: pc:0080000c58 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2:12 -> psrc2: 74 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 645] Rename: pc:0080000c5c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2:13 -> psrc2: 71 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 645] Rename: pc:0080000c60 in v:1 in rdy:1 lsrc1:10 -> psrc1: 83 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:12 -> pdest: 87 old_pdest: 74 out v:1 r:1 -[DEBUG][time= 645] Dispatch1: v:0 r:1 pc 0x0080000074 of type 0011 is in 0-th slot -[DEBUG][time= 645] Dispatch1: v:0 r:1 pc 0x0080000078 of type 0000 is in 1-th slot -[DEBUG][time= 645] Dispatch1: v:0 r:1 pc 0x008000007c of type 0000 is in 2-th slot -[DEBUG][time= 645] Dispatch1: v:0 r:1 pc 0x0080000080 of type 0011 is in 3-th slot -[DEBUG][time= 645] Dispatch1: v:0 r:1 pc 0x0080000084 of type 1101 is in 4-th slot -[DEBUG][time= 645] Dispatch1: v:0 r:1 pc 0x0080000088 of type 0000 is in 5-th slot -[DEBUG][time= 645] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 645] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 645] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 645] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 645] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 645] Dispatch2: regfile 0 from 0 -[DEBUG][time= 645] Dispatch2: regfile 1 from 0 -[DEBUG][time= 645] Dispatch2: regfile 2 from 1 -[DEBUG][time= 645] Dispatch2: regfile 3 from 1 -[DEBUG][time= 645] Dispatch2: regfile 4 from 2 -[DEBUG][time= 645] Dispatch2: regfile 5 from 2 -[DEBUG][time= 645] Dispatch2: regfile 6 from 3 -[DEBUG][time= 645] Dispatch2: regfile 7 from 3 -[DEBUG][time= 645] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 2: addr 70, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 9: addr 57, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 12: addr 57, state 1 -[DEBUG][time= 645] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 645] Dispatch2: pc 0x0080000060 reads operands from ( 8, 79, 0000000080008fe0), ( 9, 78, 000000008000009c), ( 0, 0, 0000000000000000) -[INFO ][time= 645] DecodeBuffer: in v:1 r:1 pc=0080000c64 -[INFO ][time= 645] DecodeBuffer: in v:1 r:1 pc=0080000c68 -[INFO ][time= 645] DecodeBuffer: in v:1 r:1 pc=0080000c6c -[INFO ][time= 645] DecodeBuffer: in v:1 r:1 pc=0080000c70 -[INFO ][time= 645] DecodeBuffer: in v:1 r:1 pc=0080000c74 -[INFO ][time= 645] DecodeBuffer: in v:1 r:1 pc=0080000c78 -[INFO ][time= 645] DecodeBuffer: out v:1 r:1 pc=0080000c4c -[INFO ][time= 645] DecodeBuffer: out v:1 r:1 pc=0080000c50 -[INFO ][time= 645] DecodeBuffer: out v:1 r:1 pc=0080000c54 -[INFO ][time= 645] DecodeBuffer: out v:1 r:1 pc=0080000c58 -[INFO ][time= 645] DecodeBuffer: out v:1 r:1 pc=0080000c5c -[INFO ][time= 645] DecodeBuffer: out v:1 r:1 pc=0080000c60 -[ERROR][time= 645] Roq: CSR block should only happen in s_idle -[DEBUG][time= 645] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 645] Roq: retired pc 0080000034 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 645] Roq: retired 1 insts -[DEBUG][time= 645] Roq: head 0:25 tail 1:27 -[DEBUG][time= 645] Roq: wwwwwwvvvvvwwwwwwwvwwwwww--wvvvw -[DEBUG][time= 645] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 645] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 645] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 645] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 645] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 645] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 645] Roq: 0080000078 w 008000007c - 0080000080 - 0080000034 w -[DEBUG][time= 645] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 645] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f20 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 645] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 645] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 645] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 645] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 645] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 645] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 645] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 1 stqCommited 2 emptySlot 3 -[DEBUG][time= 645] LsExeUnit: retiringStore now... -[INFO ][time= 645] LsExeUnit: [DMEM STORE REQ] addr 0x80000f20 wdata 0x0000000000000006 size 3 -[DEBUG][time= 645] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147486924------> s1 fire!!! -[DEBUG][time= 645] FakeCache: [Stage1_data] instr1:0x3d206564 instr2:0x0a642520 -[DEBUG][time= 645] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 645] FakeCache: [Stage2_data] instr1:0x0007c703 instr2:0x00150513 -[DEBUG][time= 645] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 645] FakeCache: [Stage3_data] instr1:0x01813083 instr2:0x06010113 -[DEBUG][time= 645] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 645] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000cec || if1_pcUpdate:1 if1_pc:0x0080000ccc || if2_ready:1------IF1->fire!!! -[DEBUG][time= 645] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000ccc || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 645] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 645] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 645] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000cac if3_npc:0x0080000ccc || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 645] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000c8c if4_npc:0x0080000cac -[DEBUG][time= 645] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000cac -[DEBUG][time= 645] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] starPC:0x0080000c8c GroupPC:0x0080000c80n -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 01813083 pnpc:0x0080000c90 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 06010113 pnpc:0x0080000c94 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000c98 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 00054703 pnpc:0x0080000c9c -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 00050793 pnpc:0x0080000ca0 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 00000513 pnpc:0x0080000ca4 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 00070c63 pnpc:0x0080000ca8 -[DEBUG][time= 645] IFU: [IFU-Out-FetchPacket] instruction 00178793 pnpc:0x0080000cac -[DEBUG][time= 645] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 645] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 645] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 645] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 645] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 645] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 645] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 645] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 645] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 645] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 645] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 645] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 645] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 645] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 645] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 645] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 645] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 645] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 645] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 645] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 645] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 645] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 645] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 645] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 645] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 645] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 645] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 645] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 645] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 645] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 645] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 645] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 645] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 645] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 645] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 645] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 645] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 645] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 645] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 645] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 645] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 645] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 645] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 645] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 645] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 645] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 645] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 645] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 645] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 645] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 645] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 645] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 645] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 645] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 645] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 645] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 645] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 645] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 645] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 645] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 645] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 645] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 645] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 645] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 645] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 645] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 645] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 645] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 645] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 645] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 645] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 645] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 645] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 645] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 645] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 645] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 645] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 645] IssueQueue_7: EnqData: src1:0000000080008fe0 src2:000000008000009c src3:0000000000000000 pc:0080000060 roqIdx:12(for last cycle's Ctrl) -[DEBUG][time= 645] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 645] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 66|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:60 -[DEBUG][time= 645] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 645] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 645] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 645] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 645] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 645] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 645] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 645] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 645] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 645] IssueQueue_7: 1 |1|1| 79|1|0000000080000f28| 78|1|0000000000000005| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 645] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 645] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 645] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 645] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 645] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 645] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 645] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 645] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 645] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 645] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 645] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 645] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 645] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 645] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 54 -[DEBUG][time= 645] FreeList_1: req:1 canAlloc:1 pdest: 85 headNext:0: 54 -[DEBUG][time= 645] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 55 -[DEBUG][time= 645] FreeList_1: req:1 canAlloc:1 pdest: 86 headNext:0: 55 -[DEBUG][time= 645] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 55 -[DEBUG][time= 645] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 645] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 55 -[DEBUG][time= 645] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 645] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 55 -[DEBUG][time= 645] FreeList_1: req:0 canAlloc:1 pdest: 87 headNext:0: 55 -[DEBUG][time= 645] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 645] FreeList_1: req:1 canAlloc:1 pdest: 87 headNext:0: 56 -[DEBUG][time= 645] FreeList_1: head:0: 53 tail:1: 37 -[DEBUG][time= 645] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 645] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 645] Brq: ---------------- -[DEBUG][time= 645] Brq: enq v:0 rdy:1 pc:0080000c64 brTag:f:0 v:12 -[DEBUG][time= 645] Brq: enq v:0 rdy:1 pc:0080000c68 brTag:f:0 v:12 -[DEBUG][time= 645] Brq: enq v:0 rdy:1 pc:0080000c6c brTag:f:0 v:12 -[DEBUG][time= 645] Brq: enq v:0 rdy:1 pc:0080000c70 brTag:f:0 v:12 -[DEBUG][time= 645] Brq: enq v:0 rdy:1 pc:0080000c74 brTag:f:0 v:12 -[DEBUG][time= 645] Brq: enq v:0 rdy:1 pc:0080000c78 brTag:f:0 v:12 -[DEBUG][time= 646] Tage: req: pc=0x0080000d0c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 646] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 646] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 646] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f20| 0 1) -[DEBUG][time= 646] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 646] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 646] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 646] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 646] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 646] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 646] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 646] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 646] BusyTable_1: 85 is busy -[DEBUG][time= 646] BusyTable_1: 86 is busy -[DEBUG][time= 646] BusyTable_1: 87 is busy -[DEBUG][time= 646] BPUStage2: in:(1 1) pc=0080000cec out:(1 1) pc=0080000ccc -[DEBUG][time= 646] BPUStage2: validLatch=1 pc=0080000ccc -[DEBUG][time= 646] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 646] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 646] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 646] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 646] BPUStage1: in:(1 1) pc=0080000d0c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 646] BPUStage1: outPred:(1) pc=0x0080000cec, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 646] BPUStage3: [RAS]:pc=0x0080000ccc, rasWritePosition= 1, rasWriteAddr=0x0080000ccc -[DEBUG][time= 646] BPUStage3: in:(1 1) pc=0080000ccc -[DEBUG][time= 646] BPUStage3: out:1 pc=0080000cac redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000ccc -[DEBUG][time= 646] BPUStage3: flushS3=0 -[DEBUG][time= 646] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 646] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=3 -[DEBUG][time= 646] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 646] JBTAC: read: pc=0x0080000d0c, histXORAddr=0x00800012e2, bank=1, row= 46, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 646] JBTAC: read_resp: pc=0x0080000cec, bank=1, row= 48, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 646] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 646] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 646] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 646] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 646] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 646] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 646] Ibuffer: Enque: -[DEBUG][time= 646] Ibuffer: 00000000000001111100011100000011 -[DEBUG][time= 646] Ibuffer: 00000000000101010000010100010011 -[DEBUG][time= 646] Ibuffer: 11111110000001110001101011100011 -[DEBUG][time= 646] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 646] Ibuffer: 00000000000000001000000001100111 -[DEBUG][time= 646] Ibuffer: 01110100011010010111100001000101 -[DEBUG][time= 646] Ibuffer: 01110100011010010111011100100000 -[DEBUG][time= 646] Ibuffer: 01101111011000110010000001101000 -[DEBUG][time= 646] Ibuffer: Deque: -[DEBUG][time= 646] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 646] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 646] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 646] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 646] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 646] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 646] Ibuffer: last_head_ptr= 24 last_tail_ptr= 48 -[DEBUG][time= 646] BTB: read: pc=0x0080000d0c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 646] BTB: read_resp: pc=0x0080000cec, readIdx=118------------------------------- -[DEBUG][time= 646] BTB: read_resp[b=0][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=1][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=2][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=3][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=4][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=5][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=6][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=7][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b= 8][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b= 9][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=10][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=11][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=12][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=13][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=14][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: read_resp[b=15][r= 7]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 646] BTB: bankIdxInOrder:[DEBUG][time= 646] BTB: 6 [DEBUG][time= 646] BTB: 7 [DEBUG][time= 646] BTB: 8 [DEBUG][time= 646] BTB: 9 [DEBUG][time= 646] BTB: 10 [DEBUG][time= 646] BTB: 11 [DEBUG][time= 646] BTB: 12 [DEBUG][time= 646] BTB: 13 [DEBUG][time= 646] BTB: 14 [DEBUG][time= 646] BTB: 15 [DEBUG][time= 646] BTB: 0 [DEBUG][time= 646] BTB: 1 [DEBUG][time= 646] BTB: 2 [DEBUG][time= 646] BTB: 3 [DEBUG][time= 646] BTB: 4 [DEBUG][time= 646] BTB: 5 [DEBUG][time= 646] BTB: -[INFO ][time= 646] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 646] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 646] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 646] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 646] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 646] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 646] Dispatch1: pc 0x0080000c4c accepted by queue 1 0 0 -[INFO ][time= 646] Dispatch1: pc 0x0080000c50 accepted by queue 1 0 0 -[INFO ][time= 646] Dispatch1: pc 0x0080000c54 accepted by queue 0 0 1 -[DEBUG][time= 646] Dispatch1: pc 0x0080000c4c receives nroq 25 -[DEBUG][time= 646] Dispatch1: v:1 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 646] Dispatch1: pc 0x0080000c50 receives nroq 26 -[DEBUG][time= 646] Dispatch1: v:1 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 646] Dispatch1: pc 0x0080000c54 receives nroq 27 -[DEBUG][time= 646] Dispatch1: v:1 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 646] Dispatch1: v:1 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 646] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 646] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 646] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 646] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 646] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 646] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 646] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 646] Dispatch2: regfile 0 from 0 -[DEBUG][time= 646] Dispatch2: regfile 1 from 0 -[DEBUG][time= 646] Dispatch2: regfile 2 from 1 -[DEBUG][time= 646] Dispatch2: regfile 3 from 1 -[DEBUG][time= 646] Dispatch2: regfile 4 from 2 -[DEBUG][time= 646] Dispatch2: regfile 5 from 2 -[DEBUG][time= 646] Dispatch2: regfile 6 from 3 -[DEBUG][time= 646] Dispatch2: regfile 7 from 3 -[DEBUG][time= 646] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 2: addr 70, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 9: addr 57, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 12: addr 57, state 1 -[DEBUG][time= 646] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 646] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 646] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 646] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 646] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 646] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 646] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 646] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 646] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 646] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 646] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 646] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 646] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 646] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 646] Roq: CSR block should only happen in s_idle -[DEBUG][time= 646] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 646] Roq: dispatched 3 insts -[DEBUG][time= 646] Roq: head 0:25 tail 1:28 -[DEBUG][time= 646] Roq: wwwwwwvvvvvwwwwwwwvwwwwww---vvvw -[DEBUG][time= 646] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 646] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 646] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 646] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 646] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 646] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 646] Roq: 0080000078 w 008000007c - 0080000080 - 0080000034 - -[DEBUG][time= 646] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 646] DispatchQueue_2: LsDpQ: num_enq = 1, tail = (15 -> 0) -[DEBUG][time= 646] DispatchQueue: IntDpQ: num_enq = 2, tail = ( 8 -> 10) -[DEBUG][time= 646] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f20 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 646] LsExeUnit: forwarding data from stq, addr 0000000080000f20 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 646] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000040 addr 0000000080000f20 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 646] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 646] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 646] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 646] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 646] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 1 stqCommited 3 emptySlot 3 -[DEBUG][time= 646] LsExeUnit: retiringStore now... -[DEBUG][time= 646] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147486956------> s1 fire!!! -[DEBUG][time= 646] FakeCache: [Stage1_data] instr1:0xfffff69c instr2:0xfffff524 -[DEBUG][time= 646] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 646] FakeCache: [Stage2_data] instr1:0x3d206564 instr2:0x0a642520 -[DEBUG][time= 646] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 646] FakeCache: [Stage3_data] instr1:0x0007c703 instr2:0x00150513 -[DEBUG][time= 646] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 646] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000d0c || if1_pcUpdate:1 if1_pc:0x0080000cec || if2_ready:1------IF1->fire!!! -[DEBUG][time= 646] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000cec || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 646] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 646] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 646] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000ccc if3_npc:0x0080000cec || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 646] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000cac if4_npc:0x0080000ccc -[DEBUG][time= 646] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000ccc -[DEBUG][time= 646] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] starPC:0x0080000cac GroupPC:0x0080000ca0n -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction 0007c703 pnpc:0x0080000cb0 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction 00150513 pnpc:0x0080000cb4 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction fe071ae3 pnpc:0x0080000cb8 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000cbc -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction 00008067 pnpc:0x0080000cc0 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction 74697845 pnpc:0x0080000cc4 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction 74697720 pnpc:0x0080000cc8 -[DEBUG][time= 646] IFU: [IFU-Out-FetchPacket] instruction 6f632068 pnpc:0x0080000ccc -[DEBUG][time= 646] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 646] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 646] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 646] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 646] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 646] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 646] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 646] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 646] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 646] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 646] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 646] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 646] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 646] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 646] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 646] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 646] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 646] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 646] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 646] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 646] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 646] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 646] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 646] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 646] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 646] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 646] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 646] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 646] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 646] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 646] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 646] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 646] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 646] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 646] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 646] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 646] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 646] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 646] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 646] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 646] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 646] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 646] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 646] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 646] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 646] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 646] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 646] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 646] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 646] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 646] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 646] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 646] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 646] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 646] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 646] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 646] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 646] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 646] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 646] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 646] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 646] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 646] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 646] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 646] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 646] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 646] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 646] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 646] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 646] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 646] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 646] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 646] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 646] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 646] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 646] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 646] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 646] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 646] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 66|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:60 -[DEBUG][time= 646] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 646] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 646] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 646] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 646] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 646] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 646] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 646] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 646] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 646] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 646] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 646] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 646] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 646] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 646] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 646] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 646] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 646] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 646] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 646] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 646] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 646] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 646] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 646] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 646] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 646] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 646] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 646] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 646] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 646] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 646] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 646] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 646] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 646] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 646] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 646] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 646] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 646] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 646] Brq: ---------------- -[DEBUG][time= 646] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 646] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 646] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 646] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 646] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 646] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 647] Tage: req: pc=0x0080000d2c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 647] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 647] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 647] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f28| 1 1) tlb (1 0 0080000f28| 1 1) -[DEBUG][time= 647] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 647] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 647] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 647] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 647] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 647] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 647] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 647] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 647] BusyTable_1: 85 is busy -[DEBUG][time= 647] BusyTable_1: 86 is busy -[DEBUG][time= 647] BusyTable_1: 87 is busy -[DEBUG][time= 647] BPUStage2: in:(1 1) pc=0080000d0c out:(1 1) pc=0080000cec -[DEBUG][time= 647] BPUStage2: validLatch=1 pc=0080000cec -[DEBUG][time= 647] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 647] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 647] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 647] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 647] BPUStage1: in:(1 1) pc=0080000d2c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 647] BPUStage1: outPred:(1) pc=0x0080000d0c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 647] BPUStage3: [RAS]:pc=0x0080000cec, rasWritePosition= 1, rasWriteAddr=0x0080000cec -[DEBUG][time= 647] BPUStage3: in:(1 1) pc=0080000cec -[DEBUG][time= 647] BPUStage3: out:1 pc=0080000ccc redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000cec -[DEBUG][time= 647] BPUStage3: flushS3=0 -[DEBUG][time= 647] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 647] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 647] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 647] JBTAC: read: pc=0x0080000d2c, histXORAddr=0x00800012c2, bank=1, row= 44, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 647] JBTAC: read_resp: pc=0x0080000d0c, bank=1, row= 46, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 647] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 647] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 647] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 647] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 647] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 647] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 647] Ibuffer: Enque: -[DEBUG][time= 647] Ibuffer: 00111101001000000110010101100100 -[DEBUG][time= 647] Ibuffer: 00001010011001000010010100100000 -[DEBUG][time= 647] Ibuffer: 00000000000000000000000000000000 -[DEBUG][time= 647] Ibuffer: 11111111111111111111011010110000 -[DEBUG][time= 647] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 647] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 647] Ibuffer: 11111111111111111111010111011100 -[DEBUG][time= 647] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 647] Ibuffer: Deque: -[DEBUG][time= 647] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 647] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 647] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 647] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 647] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 647] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 647] Ibuffer: last_head_ptr= 24 last_tail_ptr= 64 -[DEBUG][time= 647] BTB: read: pc=0x0080000d2c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 647] BTB: read_resp: pc=0x0080000d0c, readIdx=134------------------------------- -[DEBUG][time= 647] BTB: read_resp[b=0][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=1][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=2][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=3][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=4][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=5][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=6][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=7][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b= 8][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b= 9][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=10][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=11][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=12][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=13][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=14][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: read_resp[b=15][r= 8]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 647] BTB: bankIdxInOrder:[DEBUG][time= 647] BTB: 6 [DEBUG][time= 647] BTB: 7 [DEBUG][time= 647] BTB: 8 [DEBUG][time= 647] BTB: 9 [DEBUG][time= 647] BTB: 10 [DEBUG][time= 647] BTB: 11 [DEBUG][time= 647] BTB: 12 [DEBUG][time= 647] BTB: 13 [DEBUG][time= 647] BTB: 14 [DEBUG][time= 647] BTB: 15 [DEBUG][time= 647] BTB: 0 [DEBUG][time= 647] BTB: 1 [DEBUG][time= 647] BTB: 2 [DEBUG][time= 647] BTB: 3 [DEBUG][time= 647] BTB: 4 [DEBUG][time= 647] BTB: 5 [DEBUG][time= 647] BTB: -[INFO ][time= 647] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 647] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 647] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 647] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 647] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 647] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 647] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 647] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 647] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 647] Dispatch1: v:1 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 647] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 647] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 647] Dispatch2: int dp queue 0: 0080000c4c type 0011 -[DEBUG][time= 647] Dispatch2: int dp queue 1: 0080000c50 type 0011 -[DEBUG][time= 647] Dispatch2: ls dp queue 0: 0080000c54 type 1101 -[DEBUG][time= 647] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 647] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 647] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 647] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 647] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 647] Dispatch2: regfile 0 from 0 -[DEBUG][time= 647] Dispatch2: regfile 1 from 0 -[DEBUG][time= 647] Dispatch2: regfile 2 from 1 -[DEBUG][time= 647] Dispatch2: regfile 3 from 1 -[DEBUG][time= 647] Dispatch2: regfile 4 from 2 -[DEBUG][time= 647] Dispatch2: regfile 5 from 2 -[DEBUG][time= 647] Dispatch2: regfile 6 from 3 -[DEBUG][time= 647] Dispatch2: regfile 7 from 3 -[DEBUG][time= 647] Dispatch2: int regfile 0: addr 79, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 2: addr 85, state 0 -[DEBUG][time= 647] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 8: addr 85, state 0 -[DEBUG][time= 647] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 9: addr 80, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 12: addr 80, state 1 -[DEBUG][time= 647] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 647] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 647] Dispatch2: pc 0x0080000c4c with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 647] Dispatch2: pc 0x0080000c50 with type 0011 srcState(0 1 0) enters reservation station 2 from 1 -[INFO ][time= 647] Dispatch2: pc 0x0080000c4c leaves Int dispatch queue with nroq 25 -[INFO ][time= 647] Dispatch2: pc 0x0080000c50 leaves Int dispatch queue with nroq 26 -[DEBUG][time= 647] Dispatch2: pc 0x0080000c54 waits at Ls dispatch queue with index 0 -[INFO ][time= 647] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 647] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 647] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 647] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 647] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 647] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 647] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 647] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 647] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 647] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 647] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 647] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 647] Roq: CSR block should only happen in s_idle -[DEBUG][time= 647] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 647] Roq: head 0:28 tail 1:28 -[DEBUG][time= 647] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwvvvvvvw -[DEBUG][time= 647] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 647] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 647] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 647] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 647] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 647] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 647] Roq: 0080000078 w 0080000c4c v 0080000c50 v 0080000c54 v -[DEBUG][time= 647] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 647] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 15), tail = (1, 0), -[DEBUG][time= 647] DispatchQueue: IntDpQ: num_deq = 2, head = ( 8 -> 10) -[DEBUG][time= 647] DispatchQueue: IntDpQ: valid_entries = 2, head = (1, 8), tail = (1, 10), -[DEBUG][time= 647] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f28 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 647] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 647] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 647] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 647] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 647] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 647] LsExeUnit: stqinfo: stqValid.asUInt 00000101 stqHead 2 stqTail 2 stqCommited 2 emptySlot 1 -[DEBUG][time= 647] LsExeUnit: retiringStore now... -[INFO ][time= 647] LsExeUnit: [DMEM RESP] data 0000000000000003 -[DEBUG][time= 647] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147486988------> s1 fire!!! -[DEBUG][time= 647] FakeCache: [Stage1_data] instr1:0xfffff684 instr2:0xfffff920 -[DEBUG][time= 647] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 647] FakeCache: [Stage2_data] instr1:0xfffff69c instr2:0xfffff524 -[DEBUG][time= 647] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 647] FakeCache: [Stage3_data] instr1:0x3d206564 instr2:0x0a642520 -[DEBUG][time= 647] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 647] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000d2c || if1_pcUpdate:1 if1_pc:0x0080000d0c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 647] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000d0c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 647] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 647] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 647] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000cec if3_npc:0x0080000d0c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 647] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000ccc if4_npc:0x0080000cec -[DEBUG][time= 647] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000cec -[DEBUG][time= 647] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] starPC:0x0080000ccc GroupPC:0x0080000cc0n -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction 3d206564 pnpc:0x0080000cd0 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction 0a642520 pnpc:0x0080000cd4 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction 00000000 pnpc:0x0080000cd8 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction fffff6b0 pnpc:0x0080000cdc -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000ce0 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000ce4 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction fffff5dc pnpc:0x0080000ce8 -[DEBUG][time= 647] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000cec -[DEBUG][time= 647] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 647] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 647] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 647] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 647] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 647] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 647] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 647] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 647] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 647] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 647] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 647] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 79:1 0:1 0:0) Dest: 85 oldDest: 79 pc:0080000c4c roqIdx:19 -[DEBUG][time= 647] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 647] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 647] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 647] IssueQueue_1: 0 |0|1| 0|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| b |0080000070|16 <- -[DEBUG][time= 647] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a -[DEBUG][time= 647] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 647] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 647] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 647] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 647] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 647] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 647] IssueQueue_2: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 85:0 0:1 0:0) Dest: 86 oldDest: 6 pc:0080000c50 roqIdx:1a -[DEBUG][time= 647] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 647] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 647] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 647] IssueQueue_2: 2 |0|1| 77|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |0080000068|14 <- -[DEBUG][time= 647] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 -[DEBUG][time= 647] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 647] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 647] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 647] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 647] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 647] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 647] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 647] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 647] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 647] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 647] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 647] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 647] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 647] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 647] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 647] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 647] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 647] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 647] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 647] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 647] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 647] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 647] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 647] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 647] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 647] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 647] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 647] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 647] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 647] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 647] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 647] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 647] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 647] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 647] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 647] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 647] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 647] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 647] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 647] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 647] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 647] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 647] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 647] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 647] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 647] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 647] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 647] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 647] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 647] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 647] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 647] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 66|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:60 -[DEBUG][time= 647] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 647] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 647] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 647] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 647] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 647] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 647] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 647] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 647] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 647] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 647] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 647] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 647] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 647] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 647] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 647] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 647] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 647] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 647] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 647] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 647] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 647] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 647] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 647] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 647] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 647] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 647] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 647] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 647] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 647] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 647] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 647] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 647] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 647] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 647] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 647] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 647] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 647] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 647] Brq: ---------------- -[DEBUG][time= 647] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 647] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 647] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 647] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 647] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 647] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 648] Tage: req: pc=0x0080000d4c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 648] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 648] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 648] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f38| 0 1) -[DEBUG][time= 648] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 648] RegfileReadPortGen: int 0: want 0100, deqChoice: 1 -[DEBUG][time= 648] RegfileReadPortGen: int 1: want 0000, deqChoice: 3 -[DEBUG][time= 648] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 648] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 648] RegfileReadPortGen: dynamicExuSrc 0: 0 1000 -[DEBUG][time= 648] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 648] RegfileReadPortGen: dynamicExuSrc 2: 1 0100 -[DEBUG][time= 648] BusyTable_1: writeback 85 -[DEBUG][time= 648] BusyTable_1: 85 is busy -[DEBUG][time= 648] BusyTable_1: 86 is busy -[DEBUG][time= 648] BusyTable_1: 87 is busy -[DEBUG][time= 648] BPUStage2: in:(1 1) pc=0080000d2c out:(1 1) pc=0080000d0c -[DEBUG][time= 648] BPUStage2: validLatch=1 pc=0080000d0c -[DEBUG][time= 648] DispatchGen: priority: data(0) = 1, priority = 2 -[DEBUG][time= 648] DispatchGen: priority: data(1) = 1, priority = 3 -[DEBUG][time= 648] DispatchGen: priority: data(2) = 0, priority = 0 -[DEBUG][time= 648] DispatchGen: priority: data(3) = 0, priority = 1 -[INFO ][time= 648] WriteBackArbMtoN: out(1) pc(0x0080000c4c) writebacks 0x0000000080008f80 to pdest( 85) ldest( 2) -[DEBUG][time= 648] BPUStage1: in:(1 1) pc=0080000d4c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 648] BPUStage1: outPred:(1) pc=0x0080000d2c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 648] BPUStage3: [RAS]:pc=0x0080000d0c, rasWritePosition= 1, rasWriteAddr=0x0080000d0c -[DEBUG][time= 648] BPUStage3: in:(1 1) pc=0080000d0c -[DEBUG][time= 648] BPUStage3: out:1 pc=0080000cec redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000d0c -[DEBUG][time= 648] BPUStage3: flushS3=0 -[DEBUG][time= 648] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 648] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 648] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 648] JBTAC: read: pc=0x0080000d4c, histXORAddr=0x00800012a2, bank=1, row= 42, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 648] JBTAC: read_resp: pc=0x0080000d2c, bank=1, row= 44, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 648] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 648] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 648] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 648] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 648] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 648] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 648] Ibuffer: Enque: -[DEBUG][time= 648] Ibuffer: 11111111111111111111011010011100 -[DEBUG][time= 648] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 648] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 648] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 648] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 648] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 648] Ibuffer: 11111111111111111111011010010000 -[DEBUG][time= 648] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 648] Ibuffer: Deque: -[DEBUG][time= 648] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 648] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 648] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 648] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 648] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 648] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 648] Ibuffer: last_head_ptr= 24 last_tail_ptr= 80 -[DEBUG][time= 648] BTB: read: pc=0x0080000d4c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 648] BTB: read_resp: pc=0x0080000d2c, readIdx=150------------------------------- -[DEBUG][time= 648] BTB: read_resp[b=0][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=1][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=2][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=3][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=4][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=5][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=6][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=7][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b= 8][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b= 9][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=10][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=11][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=12][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=13][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=14][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: read_resp[b=15][r= 9]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 648] BTB: bankIdxInOrder:[DEBUG][time= 648] BTB: 6 [DEBUG][time= 648] BTB: 7 [DEBUG][time= 648] BTB: 8 [DEBUG][time= 648] BTB: 9 [DEBUG][time= 648] BTB: 10 [DEBUG][time= 648] BTB: 11 [DEBUG][time= 648] BTB: 12 [DEBUG][time= 648] BTB: 13 [DEBUG][time= 648] BTB: 14 [DEBUG][time= 648] BTB: 15 [DEBUG][time= 648] BTB: 0 [DEBUG][time= 648] BTB: 1 [DEBUG][time= 648] BTB: 2 [DEBUG][time= 648] BTB: 3 [DEBUG][time= 648] BTB: 4 [DEBUG][time= 648] BTB: 5 [DEBUG][time= 648] BTB: -[DEBUG][time= 648] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 7 -[DEBUG][time= 648] AluExeUnit: src1:0000000080008fe0 src2:ffffffffffffffa0 offset:ffffffffffffffa0 func:0000000 pc:0000000080000c4c -[DEBUG][time= 648] AluExeUnit: res:0000000080008f80 aluRes:10000000080008f80 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080008f80 taken:0 -[INFO ][time= 648] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 648] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 648] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 648] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 648] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 648] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 648] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 648] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 648] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 648] Dispatch1: v:1 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 648] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 648] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 648] Dispatch2: ls dp queue 0: 0080000c54 type 1101 -[DEBUG][time= 648] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 648] Dispatch2: dispatch to iq index 3: 0 -[DEBUG][time= 648] Dispatch2: dispatch to iq index 4: 1 -[DEBUG][time= 648] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 648] Dispatch2: regfile 0 from 2 -[DEBUG][time= 648] Dispatch2: regfile 1 from 2 -[DEBUG][time= 648] Dispatch2: regfile 2 from 0 -[DEBUG][time= 648] Dispatch2: regfile 3 from 0 -[DEBUG][time= 648] Dispatch2: regfile 4 from 0 -[DEBUG][time= 648] Dispatch2: regfile 5 from 0 -[DEBUG][time= 648] Dispatch2: regfile 6 from 1 -[DEBUG][time= 648] Dispatch2: regfile 7 from 1 -[DEBUG][time= 648] Dispatch2: int regfile 0: addr 42, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 2: addr 71, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 3: addr 46, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 4: addr 71, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 5: addr 46, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 9: addr 80, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 12: addr 80, state 1 -[DEBUG][time= 648] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 648] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 648] Dispatch2: pc 0x0080000c54 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 648] Dispatch2: pc 0x0080000c54 leaves Ls dispatch queue with nroq 27 -[DEBUG][time= 648] Dispatch2: pc 0x0080000c4c reads operands from ( 0, 79, 0000000080008fe0), ( 1, 0, ffffffffffffffa0), ( 0, 0, 0000000000000000) -[DEBUG][time= 648] Dispatch2: pc 0x0080000c50 reads operands from ( 2, 85, d95ad4be237be8dc), ( 3, 0, 0000000000000028), ( 0, 0, 0000000000000000) -[INFO ][time= 648] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 648] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 648] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 648] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 648] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 648] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 648] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 648] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 648] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 648] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 648] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 648] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 648] Roq: CSR block should only happen in s_idle -[DEBUG][time= 648] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[INFO ][time= 648] Roq: writebacked 2 insts -[INFO ][time= 648] Roq: writebacked pc 0x0080000c4c wen 1 data 0x0000000080008f80 ldst 2 pdst 85 skip 0 -[INFO ][time= 648] Roq: writebacked pc 0x0080000038 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 648] Roq: head 0:28 tail 1:28 -[DEBUG][time= 648] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwvvvvvvw -[DEBUG][time= 648] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 648] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 648] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 648] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 648] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 648] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 648] Roq: 0080000078 w 0080000c4c v 0080000c50 v 0080000c54 v -[DEBUG][time= 648] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 648] DispatchQueue_2: LsDpQ: num_deq = 1, head = (15 -> 0) -[DEBUG][time= 648] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (0, 15), tail = (1, 0), -[DEBUG][time= 648] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f38 size 3 data 0000000000000005 mask 00ff cmd 0 -[DEBUG][time= 648] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 648] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 648] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 648] LsExeUnit: LSU fire: pc 0080000038 addr 0000000080000f38 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 648] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 648] LsExeUnit: stqinfo: stqValid.asUInt 00000101 stqHead 2 stqTail 2 stqCommited 2 emptySlot 1 -[DEBUG][time= 648] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147487020------> s1 fire!!! -[DEBUG][time= 648] FakeCache: [Stage1_data] instr1:0xfffff630 instr2:0xfffff630 -[DEBUG][time= 648] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 648] FakeCache: [Stage2_data] instr1:0xfffff684 instr2:0xfffff920 -[DEBUG][time= 648] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 648] FakeCache: [Stage3_data] instr1:0xfffff69c instr2:0xfffff524 -[DEBUG][time= 648] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 648] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000d4c || if1_pcUpdate:1 if1_pc:0x0080000d2c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 648] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000d2c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 648] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 648] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 648] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d0c if3_npc:0x0080000d2c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 648] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000cec if4_npc:0x0080000d0c -[DEBUG][time= 648] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d0c -[DEBUG][time= 648] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] starPC:0x0080000cec GroupPC:0x0080000ce0n -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff69c pnpc:0x0080000cf0 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000cf4 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000cf8 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000cfc -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d00 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d04 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff690 pnpc:0x0080000d08 -[DEBUG][time= 648] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d0c -[DEBUG][time= 648] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 648] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 648] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 648] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 648] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 648] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 648] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 648] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 648] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 648] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 648] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 648] IssueQueue_1: EnqData: src1:0000000080008fe0 src2:ffffffffffffffa0 src3:0000000000000000 pc:0080000c4c roqIdx:19(for last cycle's Ctrl) -[INFO ][time= 648] IssueQueue_1: Deq:(1 1) [ 79|0000000080008fe0][ 0|ffffffffffffffa0][ 0|c63124ed8740c04f] pdest: 85 pc:0080000c4c roqIdx:19 -[DEBUG][time= 648] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 648] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 85) -[DEBUG][time= 648] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 648] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 648] IssueQueue_1: 0 |1|1| 79|1|0000000080000070| 0|1|0000000000001000| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 648] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 648] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 648] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 648] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 648] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 648] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 648] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 648] IssueQueue_2: WakeUpHit: IQIdx:2 Src0: 85 Ports:1 Data:0000000080008f80 Pc:0080000c4c RoqIdx:19 -[INFO ][time= 648] IssueQueue_2: EnqData: src1:d95ad4be237be8dc src2:0000000000000028 src3:0000000000000000 pc:0080000c50 roqIdx:1a(for last cycle's Ctrl) -[DEBUG][time= 648] IssueQueue_2: tailAll: 1 KID(100) tailDot:00000011 tailDot2:00000001 selDot:11111111 popDot:00000000 moveDot:11111100 In(0 1) Out(0 1) -[DEBUG][time= 648] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 648] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 648] IssueQueue_2: 2 |1|0| 85|0|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 648] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 648] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 648] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 648] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 648] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 648] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 648] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 648] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 648] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 648] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 648] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 648] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 648] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 648] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 648] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 648] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 648] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 648] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 648] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 648] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 648] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 648] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 648] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 648] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 648] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 648] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 648] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 648] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 648] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 648] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 648] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 648] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 648] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 648] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 648] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 648] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 648] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 648] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 648] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 648] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 648] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 648] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 648] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 648] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 648] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 648] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 648] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 648] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 648] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 648] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 648] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 648] IssueQueue_7: EnqCtrl(1 1) enqSelIq:4 Psrc/Rdy( 85:1 80:1 0:0) Dest: 32 oldDest: 0 pc:0080000c54 roqIdx:1b -[INFO ][time= 648] IssueQueue_7: Deq:(1 1) [ 64|0000000080000f28][ 66|0000000000000005][ 0|0000000000000000] pdest: 32 pc:0080000038 roqIdx:3c -[DEBUG][time= 648] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 648] IssueQueue_7: FireStage:Out(1 1) src1( 64|0000000080000f28) src2( 66|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx:60 -[DEBUG][time= 648] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 648] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 648] IssueQueue_7: 4 |1|1| 64|1|0000000080000f28| 67|1|0000000000000006| 0|0|0000000000000000| 7 |008000003c|3d -[DEBUG][time= 648] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 648] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 648] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 648] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 648] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 648] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 648] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 648] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 648] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 648] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 648] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 648] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 648] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 648] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 648] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 648] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 648] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 648] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 648] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 648] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 648] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 648] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 648] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 648] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 648] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 648] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 648] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 648] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 648] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 648] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 648] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 648] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 648] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 648] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 648] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 648] Brq: ---------------- -[DEBUG][time= 648] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 648] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 648] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 648] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 648] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 648] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 649] Tage: req: pc=0x0080000d6c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 649] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 649] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 649] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f28| 0 1) tlb (1 1 0080000f28| 0 1) -[DEBUG][time= 649] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 649] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 649] RegfileReadPortGen: int 1: want 0100, deqChoice: 1 -[DEBUG][time= 649] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 649] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 649] RegfileReadPortGen: dynamicExuSrc 0: 1 0100 -[DEBUG][time= 649] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 649] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 649] BusyTable_1: 86 is busy -[DEBUG][time= 649] BusyTable_1: 87 is busy -[DEBUG][time= 649] BPUStage2: in:(1 1) pc=0080000d4c out:(1 1) pc=0080000d2c -[DEBUG][time= 649] BPUStage2: validLatch=1 pc=0080000d2c -[DEBUG][time= 649] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 649] DispatchGen: priority: data(1) = 1, priority = 3 -[DEBUG][time= 649] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 649] DispatchGen: priority: data(3) = 0, priority = 2 -[DEBUG][time= 649] BPUStage1: in:(1 1) pc=0080000d6c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 649] BPUStage1: outPred:(1) pc=0x0080000d4c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 649] BPUStage3: [RAS]:pc=0x0080000d2c, rasWritePosition= 1, rasWriteAddr=0x0080000d2c -[DEBUG][time= 649] BPUStage3: in:(1 1) pc=0080000d2c -[DEBUG][time= 649] BPUStage3: out:1 pc=0080000d0c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000d2c -[DEBUG][time= 649] BPUStage3: flushS3=0 -[DEBUG][time= 649] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 649] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 649] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 649] JBTAC: read: pc=0x0080000d6c, histXORAddr=0x0080001282, bank=1, row= 40, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 649] JBTAC: read_resp: pc=0x0080000d4c, bank=1, row= 42, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 649] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 649] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 649] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 649] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 649] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 649] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 649] Ibuffer: Enque: -[DEBUG][time= 649] Ibuffer: 11111111111111111111011010000100 -[DEBUG][time= 649] Ibuffer: 11111111111111111111100100100000 -[DEBUG][time= 649] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 649] Ibuffer: 11111111111111111111011001110000 -[DEBUG][time= 649] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 649] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 649] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 649] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 649] Ibuffer: Deque: -[DEBUG][time= 649] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 649] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 649] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 649] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 649] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 649] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 649] Ibuffer: last_head_ptr= 24 last_tail_ptr= 96 -[DEBUG][time= 649] BTB: read: pc=0x0080000d6c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 649] BTB: read_resp: pc=0x0080000d4c, readIdx=166------------------------------- -[DEBUG][time= 649] BTB: read_resp[b=0][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=1][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=2][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=3][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=4][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=5][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=6][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=7][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b= 8][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b= 9][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=10][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=11][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=12][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=13][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=14][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: read_resp[b=15][r=10]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 649] BTB: bankIdxInOrder:[DEBUG][time= 649] BTB: 6 [DEBUG][time= 649] BTB: 7 [DEBUG][time= 649] BTB: 8 [DEBUG][time= 649] BTB: 9 [DEBUG][time= 649] BTB: 10 [DEBUG][time= 649] BTB: 11 [DEBUG][time= 649] BTB: 12 [DEBUG][time= 649] BTB: 13 [DEBUG][time= 649] BTB: 14 [DEBUG][time= 649] BTB: 15 [DEBUG][time= 649] BTB: 0 [DEBUG][time= 649] BTB: 1 [DEBUG][time= 649] BTB: 2 [DEBUG][time= 649] BTB: 3 [DEBUG][time= 649] BTB: 4 [DEBUG][time= 649] BTB: 5 [DEBUG][time= 649] BTB: -[INFO ][time= 649] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 649] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 649] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 649] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 649] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 649] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 649] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 649] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 649] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 649] Dispatch1: v:1 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 649] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 649] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 649] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 649] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 649] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 649] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 649] Dispatch2: regfile 0 from 0 -[DEBUG][time= 649] Dispatch2: regfile 1 from 0 -[DEBUG][time= 649] Dispatch2: regfile 2 from 2 -[DEBUG][time= 649] Dispatch2: regfile 3 from 2 -[DEBUG][time= 649] Dispatch2: regfile 4 from 1 -[DEBUG][time= 649] Dispatch2: regfile 5 from 1 -[DEBUG][time= 649] Dispatch2: regfile 6 from 0 -[DEBUG][time= 649] Dispatch2: regfile 7 from 0 -[DEBUG][time= 649] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 2: addr 42, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 9: addr 59, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 649] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 649] Dispatch2: pc 0x0080000c54 reads operands from ( 8, 85, 0000000080008f80), ( 9, 80, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 649] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 649] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 649] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 649] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 649] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 649] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 649] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 649] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 649] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 649] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 649] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 649] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 649] Roq: CSR block should only happen in s_idle -[DEBUG][time= 649] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[INFO ][time= 649] Roq: retired pc 0080000038 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 649] Roq: retired 1 insts -[DEBUG][time= 649] Roq: head 0:28 tail 1:28 -[DEBUG][time= 649] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwvvwvvw -[DEBUG][time= 649] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 649] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 649] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 649] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 649] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 649] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 649] Roq: 0080000078 w 0080000c4c w 0080000c50 v 0080000c54 v -[DEBUG][time= 649] Roq: 0080000038 w 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 649] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f28 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 649] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 649] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 649] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 649] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 649] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 649] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 649] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 2 stqCommited 2 emptySlot 3 -[DEBUG][time= 649] LsExeUnit: retiringStore now... -[INFO ][time= 649] LsExeUnit: [DMEM STORE REQ] addr 0x80000f28 wdata 0x0000000000000003 size 3 -[DEBUG][time= 649] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147487052------> s1 fire!!! -[DEBUG][time= 649] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 649] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 649] FakeCache: [Stage2_data] instr1:0xfffff630 instr2:0xfffff630 -[DEBUG][time= 649] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 649] FakeCache: [Stage3_data] instr1:0xfffff684 instr2:0xfffff920 -[DEBUG][time= 649] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 649] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000d6c || if1_pcUpdate:1 if1_pc:0x0080000d4c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 649] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000d4c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 649] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 649] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 649] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d2c if3_npc:0x0080000d4c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 649] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d0c if4_npc:0x0080000d2c -[DEBUG][time= 649] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d2c -[DEBUG][time= 649] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] starPC:0x0080000d0c GroupPC:0x0080000d00n -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff684 pnpc:0x0080000d10 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff920 pnpc:0x0080000d14 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d18 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff670 pnpc:0x0080000d1c -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d20 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d24 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d28 -[DEBUG][time= 649] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d2c -[DEBUG][time= 649] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 649] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 649] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 649] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 649] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 649] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 649] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 649] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 649] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 649] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 649] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 649] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 649] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 649] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 649] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 649] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 649] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 649] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 649] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 649] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 649] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 649] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 649] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(0 1) -[DEBUG][time= 649] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(1, 86) -[DEBUG][time= 649] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 649] IssueQueue_2: 2 |1|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 649] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 649] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 649] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 649] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 649] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 649] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 649] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 649] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 649] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 649] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 649] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 649] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 649] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 649] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 649] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 649] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 649] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 649] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 649] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 649] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 649] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 649] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 649] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 649] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 649] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 649] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 649] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 649] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 649] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 649] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 649] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 649] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 649] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 649] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 649] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 649] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 649] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 649] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 649] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 649] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 649] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 649] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 649] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 649] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 649] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 649] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 649] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 649] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 649] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 649] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 649] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 649] IssueQueue_7: EnqData: src1:0000000080008f80 src2:0000000000000000 src3:0000000000000000 pc:0080000c54 roqIdx:1b(for last cycle's Ctrl) -[DEBUG][time= 649] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 649] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 67|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:61 -[DEBUG][time= 649] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 649] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 649] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 649] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 649] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 649] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 649] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 649] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 649] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 649] IssueQueue_7: 4 |1|1| 85|1|0000000080000f28| 80|1|0000000000000006| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 649] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 649] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 649] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 649] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 649] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 649] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 649] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 649] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 649] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 649] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 649] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 649] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 649] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 649] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 649] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 649] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 649] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 649] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 649] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 649] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 649] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 649] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 649] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 649] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 649] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 649] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 649] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 649] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 649] Brq: ---------------- -[DEBUG][time= 649] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 649] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 649] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 649] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 649] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 649] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 650] Tage: req: pc=0x0080000d8c, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 650] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 650] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 650] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f28| 0 1) -[DEBUG][time= 650] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 650] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 650] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 650] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 650] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 650] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 650] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 650] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 650] BusyTable_1: writeback 86 -[DEBUG][time= 650] BusyTable_1: 86 is busy -[DEBUG][time= 650] BusyTable_1: 87 is busy -[DEBUG][time= 650] BPUStage2: in:(1 1) pc=0080000d6c out:(1 1) pc=0080000d4c -[DEBUG][time= 650] BPUStage2: validLatch=1 pc=0080000d4c -[DEBUG][time= 650] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 650] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 650] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 650] DispatchGen: priority: data(3) = 0, priority = 3 -[INFO ][time= 650] WriteBackArbMtoN: out(2) pc(0x0080000c50) writebacks 0x0000000080008fa8 to pdest( 86) ldest( 6) -[DEBUG][time= 650] BPUStage1: in:(1 1) pc=0080000d8c ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 650] BPUStage1: outPred:(1) pc=0x0080000d6c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 650] BPUStage3: [RAS]:pc=0x0080000d4c, rasWritePosition= 1, rasWriteAddr=0x0080000d4c -[DEBUG][time= 650] BPUStage3: in:(1 1) pc=0080000d4c -[DEBUG][time= 650] BPUStage3: out:1 pc=0080000d2c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000d4c -[DEBUG][time= 650] BPUStage3: flushS3=0 -[DEBUG][time= 650] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 650] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 650] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 650] JBTAC: read: pc=0x0080000d8c, histXORAddr=0x0080001262, bank=1, row= 38, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 650] JBTAC: read_resp: pc=0x0080000d6c, bank=1, row= 40, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 650] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 650] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 650] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 650] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 650] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 650] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 650] Ibuffer: Enque: -[DEBUG][time= 650] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 650] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 650] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 650] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 650] Ibuffer: 11111111111111111111011000110000 -[DEBUG][time= 650] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 650] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 650] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 650] Ibuffer: Deque: -[DEBUG][time= 650] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 650] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 650] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 650] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 650] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 650] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 650] Ibuffer: last_head_ptr= 24 last_tail_ptr=112 -[DEBUG][time= 650] BTB: read: pc=0x0080000d8c, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 650] BTB: read_resp: pc=0x0080000d6c, readIdx=182------------------------------- -[DEBUG][time= 650] BTB: read_resp[b=0][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=1][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=2][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=3][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=4][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=5][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=6][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=7][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b= 8][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b= 9][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=10][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=11][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=12][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=13][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=14][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: read_resp[b=15][r=11]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 650] BTB: bankIdxInOrder:[DEBUG][time= 650] BTB: 6 [DEBUG][time= 650] BTB: 7 [DEBUG][time= 650] BTB: 8 [DEBUG][time= 650] BTB: 9 [DEBUG][time= 650] BTB: 10 [DEBUG][time= 650] BTB: 11 [DEBUG][time= 650] BTB: 12 [DEBUG][time= 650] BTB: 13 [DEBUG][time= 650] BTB: 14 [DEBUG][time= 650] BTB: 15 [DEBUG][time= 650] BTB: 0 [DEBUG][time= 650] BTB: 1 [DEBUG][time= 650] BTB: 2 [DEBUG][time= 650] BTB: 3 [DEBUG][time= 650] BTB: 4 [DEBUG][time= 650] BTB: 5 [DEBUG][time= 650] BTB: -[DEBUG][time= 650] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 7 -[DEBUG][time= 650] AluExeUnit_1: src1:0000000080008f80 src2:0000000000000028 offset:0000000000000028 func:0000000 pc:0000000080000c50 -[DEBUG][time= 650] AluExeUnit_1: res:0000000080008fa8 aluRes:00000000080008fa8 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080008fa8 taken:0 -[INFO ][time= 650] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 650] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 650] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 650] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 650] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 650] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 650] Dispatch1: pc 0x0080000c58 accepted by queue 0 0 1 -[DEBUG][time= 650] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 650] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 650] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 650] Dispatch1: pc 0x0080000c58 receives nroq 28 -[DEBUG][time= 650] Dispatch1: v:1 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 650] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 650] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 650] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 650] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 650] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 650] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 650] Dispatch2: regfile 0 from 0 -[DEBUG][time= 650] Dispatch2: regfile 1 from 0 -[DEBUG][time= 650] Dispatch2: regfile 2 from 1 -[DEBUG][time= 650] Dispatch2: regfile 3 from 1 -[DEBUG][time= 650] Dispatch2: regfile 4 from 2 -[DEBUG][time= 650] Dispatch2: regfile 5 from 2 -[DEBUG][time= 650] Dispatch2: regfile 6 from 0 -[DEBUG][time= 650] Dispatch2: regfile 7 from 0 -[DEBUG][time= 650] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 9: addr 59, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 12: addr 59, state 1 -[DEBUG][time= 650] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 650] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 650] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 650] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 650] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 650] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 650] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 650] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 650] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 650] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 650] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 650] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 650] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 650] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 650] Roq: CSR block should only happen in s_idle -[DEBUG][time= 650] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 1) (0, 1) (0, 1) -[INFO ][time= 650] Roq: dispatched 1 insts -[INFO ][time= 650] Roq: writebacked 1 insts -[INFO ][time= 650] Roq: writebacked pc 0x0080000c50 wen 1 data 0x0000000080008fa8 ldst 6 pdst 86 skip 0 -[DEBUG][time= 650] Roq: head 0:28 tail 1:29 -[DEBUG][time= 650] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwvv-vvw -[DEBUG][time= 650] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 650] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 650] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 650] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 650] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 650] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 650] Roq: 0080000078 w 0080000c4c w 0080000c50 v 0080000c54 v -[DEBUG][time= 650] Roq: 0080000038 - 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 650] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 0 -> 1) -[DEBUG][time= 650] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f28 size 3 data 0000000000000003 mask 00ff cmd 1 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 0 data 03 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 650] LsExeUnit: forwarding data from stq, addr 0000000080000f28 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 650] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000030 addr 0000000080000f28 data 0000000000000003 func 0b wmask 000000011111111 -[DEBUG][time= 650] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 650] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 650] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 650] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 650] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 2 stqCommited 3 emptySlot 3 -[DEBUG][time= 650] LsExeUnit: retiringStore now... -[DEBUG][time= 650] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147487084------> s1 fire!!! -[DEBUG][time= 650] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 650] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 650] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 650] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 650] FakeCache: [Stage3_data] instr1:0xfffff630 instr2:0xfffff630 -[DEBUG][time= 650] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 650] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000d8c || if1_pcUpdate:1 if1_pc:0x0080000d6c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 650] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000d6c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 650] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 650] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 650] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d4c if3_npc:0x0080000d6c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 650] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d2c if4_npc:0x0080000d4c -[DEBUG][time= 650] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d4c -[DEBUG][time= 650] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] starPC:0x0080000d2c GroupPC:0x0080000d20n -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d30 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d34 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d38 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d3c -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff630 pnpc:0x0080000d40 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d44 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d48 -[DEBUG][time= 650] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d4c -[DEBUG][time= 650] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 650] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 650] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 650] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 650] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 650] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 650] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 650] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 650] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 650] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 650] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 650] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 650] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 650] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 650] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 650] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 650] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 650] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 650] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 650] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 650] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 650] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 650] IssueQueue_2: Deq:(1 1) [ 85|0000000080008f80][ 0|0000000000000028][ 0|0000000000000000] pdest: 86 pc:0080000c50 roqIdx:1a -[DEBUG][time= 650] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(1 1) -[INFO ][time= 650] IssueQueue_2: FireStage:Out(1 1) src1( 85|0000000080008f80) src2( 0|0000000000000028) src3( 0|0000000000000000) deqFlush:0 pc:0080000c50 roqIdx:26 -[DEBUG][time= 650] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 650] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 650] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 650] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 650] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 650] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 650] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 650] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 650] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 650] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 650] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 650] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 650] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 650] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 650] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 650] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 650] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 650] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 650] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 650] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 650] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 650] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 650] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 650] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 650] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 650] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 650] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 650] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 650] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 650] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 650] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 650] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 650] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 650] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 650] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 650] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 650] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 650] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 650] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 650] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 650] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 650] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 650] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 650] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 650] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 650] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 650] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 650] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 650] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 650] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 650] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 650] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 650] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 650] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 650] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 650] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 67|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:61 -[DEBUG][time= 650] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 650] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 650] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 650] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 650] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 650] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 650] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 650] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 650] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 650] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 650] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 650] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 650] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 650] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 650] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 650] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 650] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 650] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 650] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 650] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 650] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 650] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 650] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 650] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 650] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 650] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 650] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 650] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 650] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 650] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 650] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 650] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 650] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 650] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 650] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 650] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 650] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 650] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 650] Brq: ---------------- -[DEBUG][time= 650] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 650] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 650] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 650] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 650] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 650] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 651] Tage: req: pc=0x0080000dac, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 651] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 651] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 651] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f30| 1 1) tlb (1 0 0080000f30| 1 1) -[DEBUG][time= 651] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 651] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 651] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 651] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 651] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 651] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 651] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 651] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 651] BusyTable_1: 87 is busy -[DEBUG][time= 651] BPUStage2: in:(1 1) pc=0080000d8c out:(1 1) pc=0080000d6c -[DEBUG][time= 651] BPUStage2: validLatch=1 pc=0080000d6c -[DEBUG][time= 651] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 651] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 651] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 651] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 651] BPUStage1: in:(1 1) pc=0080000dac ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 651] BPUStage1: outPred:(1) pc=0x0080000d8c, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 651] BPUStage3: [RAS]:pc=0x0080000d6c, rasWritePosition= 1, rasWriteAddr=0x0080000d6c -[DEBUG][time= 651] BPUStage3: in:(1 1) pc=0080000d6c -[DEBUG][time= 651] BPUStage3: out:1 pc=0080000d4c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000d6c -[DEBUG][time= 651] BPUStage3: flushS3=0 -[DEBUG][time= 651] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 651] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 651] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 651] JBTAC: read: pc=0x0080000dac, histXORAddr=0x0080001242, bank=1, row= 36, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 651] JBTAC: read_resp: pc=0x0080000d8c, bank=1, row= 38, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 651] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 651] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 651] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 651] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 651] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 651] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 651] Ibuffer: Enque: -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 651] Ibuffer: Deque: -[DEBUG][time= 651] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 651] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 651] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 651] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 651] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 651] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 651] Ibuffer: last_head_ptr= 24 last_tail_ptr= 0 -[DEBUG][time= 651] BTB: read: pc=0x0080000dac, baseBank= 6, realMask=1111111111111111 -[DEBUG][time= 651] BTB: read_resp: pc=0x0080000d8c, readIdx=198------------------------------- -[DEBUG][time= 651] BTB: read_resp[b=0][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=1][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=2][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=3][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=4][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=5][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=6][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=7][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b= 8][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b= 9][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=10][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=11][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=12][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=13][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=14][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: read_resp[b=15][r=12]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 651] BTB: bankIdxInOrder:[DEBUG][time= 651] BTB: 6 [DEBUG][time= 651] BTB: 7 [DEBUG][time= 651] BTB: 8 [DEBUG][time= 651] BTB: 9 [DEBUG][time= 651] BTB: 10 [DEBUG][time= 651] BTB: 11 [DEBUG][time= 651] BTB: 12 [DEBUG][time= 651] BTB: 13 [DEBUG][time= 651] BTB: 14 [DEBUG][time= 651] BTB: 15 [DEBUG][time= 651] BTB: 0 [DEBUG][time= 651] BTB: 1 [DEBUG][time= 651] BTB: 2 [DEBUG][time= 651] BTB: 3 [DEBUG][time= 651] BTB: 4 [DEBUG][time= 651] BTB: 5 [DEBUG][time= 651] BTB: -[INFO ][time= 651] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 651] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 651] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 651] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 651] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 651] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 651] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 651] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 651] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 651] Dispatch1: v:0 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 651] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 651] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 651] Dispatch2: ls dp queue 0: 0080000c58 type 1101 -[DEBUG][time= 651] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 651] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 651] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 651] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 651] Dispatch2: regfile 0 from 0 -[DEBUG][time= 651] Dispatch2: regfile 1 from 0 -[DEBUG][time= 651] Dispatch2: regfile 2 from 1 -[DEBUG][time= 651] Dispatch2: regfile 3 from 1 -[DEBUG][time= 651] Dispatch2: regfile 4 from 2 -[DEBUG][time= 651] Dispatch2: regfile 5 from 2 -[DEBUG][time= 651] Dispatch2: regfile 6 from 0 -[DEBUG][time= 651] Dispatch2: regfile 7 from 0 -[DEBUG][time= 651] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 9: addr 74, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 12: addr 74, state 1 -[DEBUG][time= 651] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 651] Dispatch2: pc 0x0080000c58 waits at Ls dispatch queue with index 0 -[INFO ][time= 651] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 651] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 651] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 651] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 651] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 651] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 651] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 651] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 651] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 651] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 651] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 651] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 651] Roq: CSR block should only happen in s_idle -[DEBUG][time= 651] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[DEBUG][time= 651] Roq: head 0:29 tail 1:29 -[DEBUG][time= 651] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwwvvvvw -[DEBUG][time= 651] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 651] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 651] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 651] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 651] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 651] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 651] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 651] Roq: 0080000c58 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 651] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 0), tail = (1, 1), -[DEBUG][time= 651] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f30 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 651] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 651] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 651] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 651] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 651] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 651] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 651] LsExeUnit: retiringStore now... -[INFO ][time= 651] LsExeUnit: [DMEM RESP] data 0000000000000004 -[DEBUG][time= 651] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147487116------> s1 fire!!! -[DEBUG][time= 651] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 651] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 651] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 651] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 651] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 651] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 651] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dac || if1_pcUpdate:1 if1_pc:0x0080000d8c || if2_ready:1------IF1->fire!!! -[DEBUG][time= 651] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000d8c || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 651] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 651] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 651] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d6c if3_npc:0x0080000d8c || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 651] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d4c if4_npc:0x0080000d6c -[DEBUG][time= 651] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d6c -[DEBUG][time= 651] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] starPC:0x0080000d4c GroupPC:0x0080000d40n -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d50 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d54 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d58 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d5c -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d60 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d64 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d68 -[DEBUG][time= 651] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d6c -[DEBUG][time= 651] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 651] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 651] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 651] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 651] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 651] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 651] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 651] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 651] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 651] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 651] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 651] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 651] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 651] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 651] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 651] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 651] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 651] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 651] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 651] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 651] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 651] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 651] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 651] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 651] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 651] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 651] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 651] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 651] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 651] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 651] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 651] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 651] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 651] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 651] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 651] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 651] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 651] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 651] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 651] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 651] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 651] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 651] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 651] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 651] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 651] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 651] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 651] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 651] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 651] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 651] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 651] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 651] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 651] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 651] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 651] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 651] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 651] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 651] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 651] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 651] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 651] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 651] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 651] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 651] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 651] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 651] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 651] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 651] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 651] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 651] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 651] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 651] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 651] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 651] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 651] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 651] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 651] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 651] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 67|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:61 -[DEBUG][time= 651] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 651] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 651] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 651] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 651] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 651] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 651] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 651] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 651] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 651] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 651] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 651] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 651] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 651] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 651] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 651] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 651] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 651] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 651] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 651] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 651] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 651] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 651] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 651] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 651] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 651] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 651] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 651] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 651] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 651] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 651] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 651] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 651] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 651] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 651] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 651] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 651] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 651] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 651] Brq: ---------------- -[DEBUG][time= 651] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 651] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 651] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 651] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 651] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 651] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 652] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 652] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 652] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f40| 0 1) -[DEBUG][time= 652] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 652] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 652] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 652] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 652] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 652] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 652] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 652] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 652] BusyTable_1: 87 is busy -[DEBUG][time= 652] BPUStage2: in:(1 0) pc=0080000dac out:(1 0) pc=0080000d8c -[DEBUG][time= 652] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 652] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 652] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 652] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 652] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 652] BPUStage1: in:(0 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 652] BPUStage1: outPred:(1) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 652] BPUStage3: flushS3=0 -[DEBUG][time= 652] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 652] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 652] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 652] JBTAC: read_resp: pc=0x0080000dac, bank=1, row= 36, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 652] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 652] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 652] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 652] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 652] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 652] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 652] Ibuffer: Deque: -[DEBUG][time= 652] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 652] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 652] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 652] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 652] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 652] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 652] BTB: read_resp: pc=0x0080000dac, readIdx=214------------------------------- -[DEBUG][time= 652] BTB: read_resp[b=0][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=1][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=2][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=3][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=4][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=5][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=6][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=7][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=12][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=13][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=14][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: read_resp[b=15][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 652] BTB: bankIdxInOrder:[DEBUG][time= 652] BTB: 6 [DEBUG][time= 652] BTB: 7 [DEBUG][time= 652] BTB: 8 [DEBUG][time= 652] BTB: 9 [DEBUG][time= 652] BTB: 10 [DEBUG][time= 652] BTB: 11 [DEBUG][time= 652] BTB: 12 [DEBUG][time= 652] BTB: 13 [DEBUG][time= 652] BTB: 14 [DEBUG][time= 652] BTB: 15 [DEBUG][time= 652] BTB: 0 [DEBUG][time= 652] BTB: 1 [DEBUG][time= 652] BTB: 2 [DEBUG][time= 652] BTB: 3 [DEBUG][time= 652] BTB: 4 [DEBUG][time= 652] BTB: 5 [DEBUG][time= 652] BTB: -[INFO ][time= 652] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 652] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 652] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 652] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 652] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 652] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 652] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 652] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 652] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 652] Dispatch1: v:0 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 652] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 652] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 652] Dispatch2: ls dp queue 0: 0080000c58 type 1101 -[DEBUG][time= 652] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 652] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 652] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 652] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 652] Dispatch2: regfile 0 from 0 -[DEBUG][time= 652] Dispatch2: regfile 1 from 0 -[DEBUG][time= 652] Dispatch2: regfile 2 from 1 -[DEBUG][time= 652] Dispatch2: regfile 3 from 1 -[DEBUG][time= 652] Dispatch2: regfile 4 from 2 -[DEBUG][time= 652] Dispatch2: regfile 5 from 2 -[DEBUG][time= 652] Dispatch2: regfile 6 from 0 -[DEBUG][time= 652] Dispatch2: regfile 7 from 0 -[DEBUG][time= 652] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 9: addr 74, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 12: addr 74, state 1 -[DEBUG][time= 652] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 652] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 652] Dispatch2: pc 0x0080000c58 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 652] Dispatch2: pc 0x0080000c58 leaves Ls dispatch queue with nroq 28 -[INFO ][time= 652] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 652] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 652] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 652] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 652] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 652] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 652] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 652] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 652] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 652] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 652] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 652] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 652] Roq: CSR block should only happen in s_idle -[DEBUG][time= 652] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 652] Roq: writebacked 1 insts -[INFO ][time= 652] Roq: writebacked pc 0x008000003c wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 652] Roq: head 0:29 tail 1:29 -[DEBUG][time= 652] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwwvvvvw -[DEBUG][time= 652] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 652] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 652] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 652] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 652] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 652] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 652] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 652] Roq: 0080000c58 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 652] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 0 -> 1) -[DEBUG][time= 652] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 0), tail = (1, 1), -[DEBUG][time= 652] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f40 size 3 data 0000000000000006 mask 00ff cmd 0 -[DEBUG][time= 652] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 652] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 652] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 652] LsExeUnit: LSU fire: pc 008000003c addr 0000000080000f40 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 652] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 652] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 652] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487148 -[DEBUG][time= 652] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 652] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 652] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 652] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 652] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 652] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 652] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:0 if1_pc:0x0080000dac || if2_ready:0 -[DEBUG][time= 652] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:0 -[DEBUG][time= 652] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 652] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 652] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:0 -[DEBUG][time= 652] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 652] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 652] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 652] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 652] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 652] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 652] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 652] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 652] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 652] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 652] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 652] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 652] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 652] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 652] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 652] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 652] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 652] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 652] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 652] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 652] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 652] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 652] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 652] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 652] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 652] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 652] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 652] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 652] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 652] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 652] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 652] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 652] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 652] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 652] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 652] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 652] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 652] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 652] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 652] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 652] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 652] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 652] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 652] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 652] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 652] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 652] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 652] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 652] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 652] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 652] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 652] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 652] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 652] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 652] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 652] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 652] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 652] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 652] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 652] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 652] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 652] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 652] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 652] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 652] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 652] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 652] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 652] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 652] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 652] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 652] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 652] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 652] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 652] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 652] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 652] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 652] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 652] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 652] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 652] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 652] IssueQueue_7: EnqCtrl(1 1) enqSelIq:5 Psrc/Rdy( 85:1 74:1 0:0) Dest: 32 oldDest: 0 pc:0080000c58 roqIdx:1c -[INFO ][time= 652] IssueQueue_7: Deq:(1 1) [ 64|0000000080000f28][ 67|0000000000000006][ 0|0000000000000000] pdest: 32 pc:008000003c roqIdx:3d -[DEBUG][time= 652] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 652] IssueQueue_7: FireStage:Out(1 1) src1( 64|0000000080000f28) src2( 67|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx:61 -[DEBUG][time= 652] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 652] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 652] IssueQueue_7: 5 |1|1| 64|1|0000000080000f28| 68|1|0000000000000007| 0|0|0000000000000000| 7 |0080000040|3e -[DEBUG][time= 652] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 652] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 652] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 652] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 652] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 652] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 652] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 652] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 652] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 652] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 652] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 652] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 652] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 652] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 652] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 652] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 652] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 652] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 652] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 652] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 652] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 652] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 652] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 652] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 652] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 652] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 652] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 652] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 652] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 652] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 652] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 652] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 652] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 652] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 652] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 652] Brq: ---------------- -[DEBUG][time= 652] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 652] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 652] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 652] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 652] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 652] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 653] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 653] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 653] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f30| 0 1) tlb (1 1 0080000f30| 0 1) -[DEBUG][time= 653] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 653] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 653] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 653] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 653] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 653] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 653] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 653] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 653] BusyTable_1: 87 is busy -[DEBUG][time= 653] BPUStage2: in:(0 0) pc=0080000dac out:(1 0) pc=0080000d8c -[DEBUG][time= 653] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 653] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 653] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 653] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 653] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 653] BPUStage1: in:(0 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 653] BPUStage1: outPred:(0) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 653] BPUStage3: flushS3=0 -[DEBUG][time= 653] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 653] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 653] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 653] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 653] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 653] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 653] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 653] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 653] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 653] Ibuffer: Deque: -[DEBUG][time= 653] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 653] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 653] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 653] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 653] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 653] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[INFO ][time= 653] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 653] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 653] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 653] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 653] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 653] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 653] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 653] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 653] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 653] Dispatch1: v:0 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 653] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 653] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 653] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 653] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 653] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 653] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 653] Dispatch2: regfile 0 from 0 -[DEBUG][time= 653] Dispatch2: regfile 1 from 0 -[DEBUG][time= 653] Dispatch2: regfile 2 from 1 -[DEBUG][time= 653] Dispatch2: regfile 3 from 1 -[DEBUG][time= 653] Dispatch2: regfile 4 from 2 -[DEBUG][time= 653] Dispatch2: regfile 5 from 2 -[DEBUG][time= 653] Dispatch2: regfile 6 from 0 -[DEBUG][time= 653] Dispatch2: regfile 7 from 0 -[DEBUG][time= 653] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 9: addr 60, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 12: addr 60, state 1 -[DEBUG][time= 653] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 653] Dispatch2: pc 0x0080000c58 reads operands from ( 8, 85, 0000000080008f80), ( 9, 74, 0000000000000008), ( 0, 0, 0000000000000000) -[INFO ][time= 653] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 653] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 653] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 653] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 653] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 653] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 653] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 653] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 653] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 653] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 653] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 653] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 653] Roq: CSR block should only happen in s_idle -[DEBUG][time= 653] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 653] Roq: retired pc 008000003c wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 653] Roq: retired 1 insts -[DEBUG][time= 653] Roq: head 0:29 tail 1:29 -[DEBUG][time= 653] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwwvvwvw -[DEBUG][time= 653] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 653] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 653] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 653] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 653] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 653] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 653] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 653] Roq: 0080000c58 v 008000003c w 0080000040 v 0080000044 w -[DEBUG][time= 653] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f30 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 653] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 653] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 653] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 653] LsExeUnit: sbuffer id 2 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 653] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 653] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 653] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 2 emptySlot 3 -[DEBUG][time= 653] LsExeUnit: retiringStore now... -[INFO ][time= 653] LsExeUnit: [DMEM STORE REQ] addr 0x80000f30 wdata 0x0000000000000004 size 3 -[DEBUG][time= 653] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487148 -[DEBUG][time= 653] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 653] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 653] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 653] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 653] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 653] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 653] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:0 if1_pc:0x0080000dac || if2_ready:0 -[DEBUG][time= 653] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:0 -[DEBUG][time= 653] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 653] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 653] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:0 -[DEBUG][time= 653] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 653] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 653] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 653] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 653] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 653] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 653] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 653] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 653] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 653] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 653] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 653] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 653] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 653] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 653] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 653] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 653] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 653] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 653] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 653] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 653] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 653] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 653] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 653] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 653] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 653] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 653] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 653] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 653] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 653] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 653] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 653] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 653] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 653] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 653] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 653] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 653] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 653] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 653] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 653] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 653] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 653] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 653] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 653] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 653] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 653] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 653] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 653] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 653] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 653] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 653] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 653] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 653] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 653] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 653] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 653] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 653] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 653] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 653] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 653] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 653] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 653] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 653] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 653] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 653] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 653] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 653] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 653] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 653] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 653] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 653] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 653] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 653] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 653] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 653] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 653] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 653] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 653] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 653] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 653] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 653] IssueQueue_7: EnqData: src1:0000000080008f80 src2:0000000000000008 src3:0000000000000000 pc:0080000c58 roqIdx:1c(for last cycle's Ctrl) -[DEBUG][time= 653] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 653] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 68|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:62 -[DEBUG][time= 653] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 653] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 653] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 653] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 653] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 653] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 653] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 653] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 653] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 653] IssueQueue_7: 5 |1|1| 85|1|0000000080000f28| 74|1|0000000000000007| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 653] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 653] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 653] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 653] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 653] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 653] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 653] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 653] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 653] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 653] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 653] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 653] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 653] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 653] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 653] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 653] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 653] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 653] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 653] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 653] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 653] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 653] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 653] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 653] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 653] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 653] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 653] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 653] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 653] Brq: ---------------- -[DEBUG][time= 653] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 653] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 653] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 653] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 653] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 653] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 654] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 654] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 654] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f30| 0 1) -[DEBUG][time= 654] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 654] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 654] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 654] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 654] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 654] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 654] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 654] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 654] BusyTable_1: 87 is busy -[DEBUG][time= 654] BPUStage2: in:(0 0) pc=0080000dac out:(1 0) pc=0080000d8c -[DEBUG][time= 654] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 654] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 654] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 654] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 654] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 654] BPUStage1: in:(0 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 654] BPUStage1: outPred:(0) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 654] BPUStage3: flushS3=0 -[DEBUG][time= 654] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 654] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 654] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 654] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 654] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 654] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 654] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 654] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 654] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 654] Ibuffer: Deque: -[DEBUG][time= 654] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 654] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 654] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 654] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 654] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 654] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[INFO ][time= 654] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 654] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 654] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 654] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 654] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 654] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 654] Dispatch1: pc 0x0080000c5c accepted by queue 0 0 1 -[DEBUG][time= 654] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 654] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 654] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 654] Dispatch1: v:0 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 654] Dispatch1: pc 0x0080000c5c receives nroq 29 -[DEBUG][time= 654] Dispatch1: v:1 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 654] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 654] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 654] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 654] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 654] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 654] Dispatch2: regfile 0 from 0 -[DEBUG][time= 654] Dispatch2: regfile 1 from 0 -[DEBUG][time= 654] Dispatch2: regfile 2 from 1 -[DEBUG][time= 654] Dispatch2: regfile 3 from 1 -[DEBUG][time= 654] Dispatch2: regfile 4 from 2 -[DEBUG][time= 654] Dispatch2: regfile 5 from 2 -[DEBUG][time= 654] Dispatch2: regfile 6 from 0 -[DEBUG][time= 654] Dispatch2: regfile 7 from 0 -[DEBUG][time= 654] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 9: addr 60, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 12: addr 60, state 1 -[DEBUG][time= 654] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 654] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 654] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 654] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 654] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 654] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 654] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 654] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 654] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 654] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 654] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 654] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 654] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 654] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 654] Roq: CSR block should only happen in s_idle -[DEBUG][time= 654] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 1) (0, 1) -[INFO ][time= 654] Roq: dispatched 1 insts -[DEBUG][time= 654] Roq: head 0:29 tail 1:30 -[DEBUG][time= 654] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwwvv-vw -[DEBUG][time= 654] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 654] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 654] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 654] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 654] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 654] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 654] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 654] Roq: 0080000c58 v 008000003c - 0080000040 v 0080000044 w -[DEBUG][time= 654] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 1 -> 2) -[DEBUG][time= 654] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f30 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 654] LsExeUnit: forwarding data from stq, addr 0000000080000f30 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 654] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000034 addr 0000000080000f30 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 654] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 654] LsExeUnit: sbuffer id 2 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 654] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 654] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 654] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 654] LsExeUnit: retiringStore now... -[DEBUG][time= 654] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487148 -[DEBUG][time= 654] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 654] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 654] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 654] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 654] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 654] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 654] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:0 if1_pc:0x0080000dac || if2_ready:0 -[DEBUG][time= 654] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:0 -[DEBUG][time= 654] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 654] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 654] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:0 -[DEBUG][time= 654] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 654] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 654] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 654] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 654] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 654] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 654] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 654] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 654] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 654] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 654] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 654] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 654] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 654] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 654] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 654] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 654] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 654] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 654] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 654] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 654] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 654] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 654] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 654] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 654] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 654] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 654] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 654] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 654] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 654] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 654] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 654] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 654] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 654] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 654] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 654] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 654] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 654] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 654] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 654] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 654] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 654] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 654] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 654] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 654] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 654] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 654] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 654] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 654] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 654] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 654] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 654] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 654] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 654] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 654] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 654] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 654] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 654] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 654] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 654] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 654] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 654] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 654] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 654] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 654] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 654] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 654] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 654] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 654] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 654] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 654] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 654] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 654] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 654] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 654] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 654] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 654] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 654] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 654] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 654] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 654] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 654] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 68|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:62 -[DEBUG][time= 654] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 654] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 654] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 654] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 654] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 654] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 654] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 654] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 654] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 654] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 654] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 654] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 654] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 654] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 654] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 654] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 654] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 654] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 654] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 654] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 654] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 654] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 654] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 654] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 654] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 654] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 654] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 654] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 654] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 654] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 654] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 654] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 654] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 654] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 654] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 654] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 654] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 654] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 654] Brq: ---------------- -[DEBUG][time= 654] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 654] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 654] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 654] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 654] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 654] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 655] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 655] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 655] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f38| 1 1) tlb (1 0 0080000f38| 1 1) -[DEBUG][time= 655] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 655] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 655] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 655] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 655] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 655] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 655] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 655] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 655] BusyTable_1: 87 is busy -[DEBUG][time= 655] BPUStage2: in:(0 0) pc=0080000dac out:(1 0) pc=0080000d8c -[DEBUG][time= 655] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 655] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 655] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 655] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 655] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 655] BPUStage1: in:(0 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 655] BPUStage1: outPred:(0) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 655] BPUStage3: flushS3=0 -[DEBUG][time= 655] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 655] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 655] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 655] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 655] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 655] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 655] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 655] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 655] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 655] Ibuffer: Deque: -[DEBUG][time= 655] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 655] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 655] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 655] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 655] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 655] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[INFO ][time= 655] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 655] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 655] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 655] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 655] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 655] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 655] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 655] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 655] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 655] Dispatch1: v:0 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 655] Dispatch1: v:0 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 655] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 655] Dispatch2: ls dp queue 0: 0080000c5c type 1101 -[DEBUG][time= 655] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 655] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 655] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 655] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 655] Dispatch2: regfile 0 from 0 -[DEBUG][time= 655] Dispatch2: regfile 1 from 0 -[DEBUG][time= 655] Dispatch2: regfile 2 from 1 -[DEBUG][time= 655] Dispatch2: regfile 3 from 1 -[DEBUG][time= 655] Dispatch2: regfile 4 from 2 -[DEBUG][time= 655] Dispatch2: regfile 5 from 2 -[DEBUG][time= 655] Dispatch2: regfile 6 from 0 -[DEBUG][time= 655] Dispatch2: regfile 7 from 0 -[DEBUG][time= 655] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 9: addr 71, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 12: addr 71, state 1 -[DEBUG][time= 655] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 655] Dispatch2: pc 0x0080000c5c waits at Ls dispatch queue with index 0 -[INFO ][time= 655] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 655] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 655] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 655] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 655] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 655] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 655] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 655] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 655] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 655] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 655] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 655] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 655] Roq: CSR block should only happen in s_idle -[DEBUG][time= 655] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) -[DEBUG][time= 655] Roq: head 0:30 tail 1:30 -[DEBUG][time= 655] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwwvvvvw -[DEBUG][time= 655] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 655] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 655] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 655] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 655] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 655] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 655] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 655] Roq: 0080000c58 v 0080000c5c v 0080000040 v 0080000044 w -[DEBUG][time= 655] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 1), tail = (1, 2), -[DEBUG][time= 655] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f38 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 655] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 655] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 655] LsExeUnit: sbuffer id 1 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 655] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 655] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 655] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 655] LsExeUnit: retiringStore now... -[INFO ][time= 655] LsExeUnit: [DMEM RESP] data 0000000000000005 -[DEBUG][time= 655] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487148 -[DEBUG][time= 655] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 655] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 655] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 655] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 655] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 655] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 655] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:0 if1_pc:0x0080000dac || if2_ready:0 -[DEBUG][time= 655] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:0 -[DEBUG][time= 655] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 655] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 655] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:0 -[DEBUG][time= 655] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 655] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 655] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 655] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 655] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 655] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 655] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 655] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 655] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 655] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 655] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 655] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 655] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 655] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 655] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 655] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 655] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 655] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 655] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 655] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 655] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 655] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 655] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 655] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 655] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 655] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 655] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 655] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 655] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 655] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 655] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 655] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 655] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 655] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 655] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 655] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 655] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 655] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 655] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 655] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 655] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 655] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 655] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 655] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 655] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 655] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 655] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 655] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 655] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 655] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 655] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 655] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 655] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 655] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 655] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 655] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 655] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 655] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 655] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 655] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 655] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 655] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 655] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 655] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 655] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 655] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 655] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 655] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 655] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 655] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 655] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 655] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 655] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 655] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 655] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 655] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 655] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 655] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 655] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 655] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 655] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 655] IssueQueue_7: FireStage:Out(1 0) src1( 64|0000000080000f28) src2( 68|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:62 -[DEBUG][time= 655] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 655] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 655] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 655] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 655] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 655] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 655] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 655] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 655] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 655] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 655] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 655] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 655] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 655] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 655] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 655] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 655] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 655] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 655] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 655] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 655] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 655] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 655] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 655] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 655] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 655] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 655] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 655] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 655] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 655] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 655] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 655] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 655] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 655] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 655] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 655] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 655] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 655] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 655] Brq: ---------------- -[DEBUG][time= 655] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 655] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 655] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 655] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 655] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 655] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 656] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 656] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 656] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f48| 0 1) -[DEBUG][time= 656] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 656] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 656] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 656] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 656] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 656] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 656] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 656] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 656] BusyTable_1: 87 is busy -[DEBUG][time= 656] BPUStage2: in:(0 0) pc=0080000dac out:(1 0) pc=0080000d8c -[DEBUG][time= 656] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 656] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 656] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 656] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 656] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 656] BPUStage1: in:(0 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 656] BPUStage1: outPred:(0) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 656] BPUStage3: flushS3=0 -[DEBUG][time= 656] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 656] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 656] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 656] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 656] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 656] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 656] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 656] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 656] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 656] Ibuffer: Deque: -[DEBUG][time= 656] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 656] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 656] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 656] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 656] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 656] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[INFO ][time= 656] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 656] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 656] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 656] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 656] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 656] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[DEBUG][time= 656] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 656] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 656] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 656] Dispatch1: v:0 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 656] Dispatch1: v:0 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 656] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 656] Dispatch2: ls dp queue 0: 0080000c5c type 1101 -[DEBUG][time= 656] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 656] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 656] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 656] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 656] Dispatch2: regfile 0 from 0 -[DEBUG][time= 656] Dispatch2: regfile 1 from 0 -[DEBUG][time= 656] Dispatch2: regfile 2 from 1 -[DEBUG][time= 656] Dispatch2: regfile 3 from 1 -[DEBUG][time= 656] Dispatch2: regfile 4 from 2 -[DEBUG][time= 656] Dispatch2: regfile 5 from 2 -[DEBUG][time= 656] Dispatch2: regfile 6 from 0 -[DEBUG][time= 656] Dispatch2: regfile 7 from 0 -[DEBUG][time= 656] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 9: addr 71, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 12: addr 71, state 1 -[DEBUG][time= 656] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 656] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 656] Dispatch2: pc 0x0080000c5c with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 656] Dispatch2: pc 0x0080000c5c leaves Ls dispatch queue with nroq 29 -[INFO ][time= 656] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 656] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 656] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 656] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 656] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 656] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 656] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 656] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 656] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 656] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 656] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 656] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 656] Roq: CSR block should only happen in s_idle -[DEBUG][time= 656] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) -[INFO ][time= 656] Roq: writebacked 1 insts -[INFO ][time= 656] Roq: writebacked pc 0x0080000040 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 656] Roq: head 0:30 tail 1:30 -[DEBUG][time= 656] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwwvvvvw -[DEBUG][time= 656] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 656] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 656] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 656] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 656] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 656] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 656] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 656] Roq: 0080000c58 v 0080000c5c v 0080000040 v 0080000044 w -[DEBUG][time= 656] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 1 -> 2) -[DEBUG][time= 656] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 1), tail = (1, 2), -[DEBUG][time= 656] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 0 -[DEBUG][time= 656] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 656] LsExeUnit: sbuffer id 1 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 656] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 656] LsExeUnit: LSU fire: pc 0080000040 addr 0000000080000f48 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 656] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 656] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 656] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487148 -[DEBUG][time= 656] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 656] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 656] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 656] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 656] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 656] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 656] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:0 if1_pc:0x0080000dac || if2_ready:0 -[DEBUG][time= 656] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:0 -[DEBUG][time= 656] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 656] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 656] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:0 -[DEBUG][time= 656] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 656] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 656] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 656] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 656] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 656] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 656] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 656] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 656] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 656] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 656] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 656] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 656] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 656] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 656] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 656] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 656] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 656] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 656] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 656] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 656] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 656] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 656] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 656] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 656] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 656] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 656] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 656] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 656] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 656] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 656] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 656] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 656] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 656] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 656] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 656] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 656] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 656] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 656] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 656] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 656] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 656] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 656] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 656] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 656] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 656] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 656] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 656] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 656] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 656] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 656] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 656] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 656] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 656] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 656] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 656] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 656] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 656] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 656] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 656] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 656] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 656] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 656] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 656] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 656] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 656] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 656] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 656] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 656] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 656] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 656] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 656] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 656] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 656] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 656] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 656] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 656] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 656] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 656] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 656] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 656] IssueQueue_7: EnqCtrl(1 1) enqSelIq:6 Psrc/Rdy( 85:1 71:1 0:0) Dest: 32 oldDest: 0 pc:0080000c5c roqIdx:1d -[INFO ][time= 656] IssueQueue_7: Deq:(1 1) [ 64|0000000080000f28][ 68|0000000000000007][ 0|0000000000000000] pdest: 32 pc:0080000040 roqIdx:3e -[DEBUG][time= 656] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 656] IssueQueue_7: FireStage:Out(1 1) src1( 64|0000000080000f28) src2( 68|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:62 -[DEBUG][time= 656] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 656] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 656] IssueQueue_7: 6 |1|1| 70|1|0000000080000f50| 69|1|0000000000000004| 0|0|0000000000000000| 8 |0080000030|06 -[DEBUG][time= 656] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 656] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 656] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 656] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 656] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 656] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 656] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 656] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 656] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 656] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 656] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 656] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 656] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 656] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 656] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 656] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 656] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 656] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 656] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 656] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 656] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 656] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 656] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 656] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 656] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 656] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 656] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 656] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 656] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 656] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 656] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 656] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 656] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 656] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 656] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 656] Brq: ---------------- -[DEBUG][time= 656] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 656] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 656] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 656] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 656] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 656] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 657] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 657] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 657] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f38| 0 1) tlb (1 1 0080000f38| 0 1) -[DEBUG][time= 657] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 657] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 657] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 657] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 657] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 657] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 657] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 657] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 657] BusyTable_1: 87 is busy -[DEBUG][time= 657] BPUStage2: in:(0 0) pc=0080000dac out:(1 0) pc=0080000d8c -[DEBUG][time= 657] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 657] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 657] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 657] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 657] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 657] BPUStage1: in:(0 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 657] BPUStage1: outPred:(0) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 657] BPUStage3: flushS3=0 -[DEBUG][time= 657] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 657] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 657] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 657] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 657] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 657] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 657] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 657] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 657] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 657] Ibuffer: Deque: -[DEBUG][time= 657] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 657] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 657] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 657] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 657] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[DEBUG][time= 657] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=0 -[INFO ][time= 657] Rename: pc:0080000c64 in v:1 in rdy:0 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:0 r:0 -[INFO ][time= 657] Rename: pc:0080000c68 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 88 old_pdest: 80 out v:0 r:0 -[INFO ][time= 657] Rename: pc:0080000c6c in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 88 old_pdest: 83 out v:0 r:0 -[INFO ][time= 657] Rename: pc:0080000c70 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 657] Rename: pc:0080000c74 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 657] Rename: pc:0080000c78 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 657] Rename: int rat arch: ldest:15 pdest: 69 -[INFO ][time= 657] Rename: int rat arch: ldest:14 pdest: 70 -[INFO ][time= 657] Rename: int rat arch: ldest:13 pdest: 71 -[INFO ][time= 657] Rename: int rat arch: ldest:10 pdest: 72 -[DEBUG][time= 657] Dispatch1: v:0 r:0 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 657] Dispatch1: v:0 r:0 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 657] Dispatch1: v:0 r:0 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 657] Dispatch1: v:0 r:0 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 657] Dispatch1: v:0 r:0 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 657] Dispatch1: v:1 r:0 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 657] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 657] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 657] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 657] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 657] Dispatch2: regfile 0 from 0 -[DEBUG][time= 657] Dispatch2: regfile 1 from 0 -[DEBUG][time= 657] Dispatch2: regfile 2 from 1 -[DEBUG][time= 657] Dispatch2: regfile 3 from 1 -[DEBUG][time= 657] Dispatch2: regfile 4 from 2 -[DEBUG][time= 657] Dispatch2: regfile 5 from 2 -[DEBUG][time= 657] Dispatch2: regfile 6 from 0 -[DEBUG][time= 657] Dispatch2: regfile 7 from 0 -[DEBUG][time= 657] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 9: addr 61, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 12: addr 61, state 1 -[DEBUG][time= 657] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 657] Dispatch2: pc 0x0080000c5c reads operands from ( 8, 85, 0000000080008f80), ( 9, 71, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 657] DecodeBuffer: in v:1 r:0 pc=0080000c7c -[INFO ][time= 657] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 657] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 657] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 657] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 657] DecodeBuffer: in v:0 r:0 pc=0080000c7c -[INFO ][time= 657] DecodeBuffer: out v:1 r:0 pc=0080000c64 -[INFO ][time= 657] DecodeBuffer: out v:1 r:0 pc=0080000c68 -[INFO ][time= 657] DecodeBuffer: out v:1 r:0 pc=0080000c6c -[INFO ][time= 657] DecodeBuffer: out v:1 r:0 pc=0080000c70 -[INFO ][time= 657] DecodeBuffer: out v:1 r:0 pc=0080000c74 -[INFO ][time= 657] DecodeBuffer: out v:1 r:0 pc=0080000c78 -[ERROR][time= 657] Roq: CSR block should only happen in s_idle -[DEBUG][time= 657] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) -[INFO ][time= 657] Roq: retired pc 0080000040 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 657] Roq: retired pc 0080000044 wen 1 ldst 15 data 0000000000000004 -[INFO ][time= 657] Roq: retired pc 0080000048 wen 1 ldst 14 data 0000000080000f50 -[INFO ][time= 657] Roq: retired pc 008000004c wen 0 ldst 0 data 0000000000000080 -[INFO ][time= 657] Roq: retired pc 0080000020 wen 1 ldst 13 data 0000000000000005 -[INFO ][time= 657] Roq: retired pc 0080000024 wen 1 ldst 10 data 0000000000000006 -[INFO ][time= 657] Roq: retired 6 insts -[DEBUG][time= 657] Roq: head 0:30 tail 1:30 -[DEBUG][time= 657] Roq: wwwwwwvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 657] Roq: 0080000048 w 008000004c w 0080000020 w 0080000024 w -[DEBUG][time= 657] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 657] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 657] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 657] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 657] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 657] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 657] Roq: 0080000c58 v 0080000c5c v 0080000040 w 0080000044 w -[DEBUG][time= 657] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f38 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 657] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 657] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 657] LsExeUnit: sbuffer id 1 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 657] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 657] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 657] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 657] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 1 stqCommited 2 emptySlot 3 -[DEBUG][time= 657] LsExeUnit: retiringStore now... -[INFO ][time= 657] LsExeUnit: [DMEM STORE REQ] addr 0x80000f38 wdata 0x0000000000000005 size 3 -[DEBUG][time= 657] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487148 -[DEBUG][time= 657] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 657] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 657] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 657] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 657] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 657] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 657] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:0 if1_pc:0x0080000dac || if2_ready:0 -[DEBUG][time= 657] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:0 -[DEBUG][time= 657] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 657] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 657] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:0 -[DEBUG][time= 657] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 657] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 657] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 657] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 657] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 657] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 657] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 657] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 657] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 657] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 657] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 657] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 657] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 657] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 657] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 657] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 657] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 657] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 657] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 657] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 657] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 657] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 657] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 657] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 657] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 657] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 657] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 657] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 657] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 657] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 657] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 657] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 657] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 657] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 657] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 657] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 657] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 657] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 657] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 657] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 657] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 657] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 657] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 657] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 657] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 657] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 657] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 657] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 657] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 657] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 657] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 657] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 657] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 657] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 657] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 657] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 657] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 657] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 657] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 657] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 657] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 657] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 657] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 657] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 657] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 657] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 657] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 657] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 657] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 657] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 657] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 657] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 657] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 657] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 657] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 657] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 657] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 657] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 657] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 657] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 657] IssueQueue_7: EnqData: src1:0000000080008f80 src2:0000000000000005 src3:0000000000000000 pc:0080000c5c roqIdx:1d(for last cycle's Ctrl) -[DEBUG][time= 657] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 657] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 69|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx: 6 -[DEBUG][time= 657] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 657] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 657] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 657] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 657] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 657] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 657] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 657] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 657] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 657] IssueQueue_7: 6 |1|1| 85|1|0000000080000f50| 71|1|0000000000000004| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 657] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 657] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 657] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 657] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 657] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 657] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 657] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 657] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 657] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 657] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 657] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 657] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 657] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 657] FreeList_1: dealloc preg: 63 -[DEBUG][time= 657] FreeList_1: dealloc preg: 64 -[DEBUG][time= 657] FreeList_1: dealloc preg: 65 -[DEBUG][time= 657] FreeList_1: dealloc preg: 66 -[DEBUG][time= 657] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 657] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 657] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 657] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 657] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 657] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 657] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 657] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 657] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 657] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 657] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 56 -[DEBUG][time= 657] FreeList_1: req:0 canAlloc:1 pdest: 88 headNext:0: 56 -[DEBUG][time= 657] FreeList_1: head:0: 56 tail:1: 37 -[DEBUG][time= 657] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 657] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 657] Brq: ---------------- -[DEBUG][time= 657] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 657] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 657] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 657] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 657] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 657] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 658] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 658] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 658] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f38| 0 1) -[DEBUG][time= 658] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 658] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 658] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 658] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 658] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 658] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 658] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 658] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 658] BusyTable_1: Allocate 88 -[DEBUG][time= 658] BusyTable_1: Allocate 89 -[DEBUG][time= 658] BusyTable_1: Allocate 90 -[DEBUG][time= 658] BusyTable_1: 87 is busy -[DEBUG][time= 658] BPUStage2: in:(0 0) pc=0080000dac out:(1 0) pc=0080000d8c -[DEBUG][time= 658] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 658] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 658] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 658] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 658] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 658] BPUStage1: in:(0 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 658] BPUStage1: outPred:(0) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 658] BPUStage3: flushS3=0 -[DEBUG][time= 658] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 658] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 658] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[INFO ][time= 658] Frontend: inst:05013823 pc:0080000c7c -[INFO ][time= 658] Frontend: inst:05113c23 pc:0080000c80 -[INFO ][time= 658] Frontend: inst:00613423 pc:0080000c84 -[INFO ][time= 658] Frontend: inst:d08ff0ef pc:0080000c88 -[INFO ][time= 658] Frontend: inst:01813083 pc:0080000c8c -[INFO ][time= 658] Frontend: inst:06010113 pc:0080000c90 -[DEBUG][time= 658] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c7c instr:05013823 -[DEBUG][time= 658] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c80 instr:05113c23 -[DEBUG][time= 658] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c84 instr:00613423 -[DEBUG][time= 658] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000c88 instr:d08ff0ef -[DEBUG][time= 658] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c8c instr:01813083 -[DEBUG][time= 658] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c90 instr:06010113 -[DEBUG][time= 658] Ibuffer: Deque: -[DEBUG][time= 658] Ibuffer: 00000101000000010011100000100011 PC=0080000c7c v=1 r=1 -[DEBUG][time= 658] Ibuffer: 00000101000100010011110000100011 PC=0080000c80 v=1 r=1 -[DEBUG][time= 658] Ibuffer: 00000000011000010011010000100011 PC=0080000c84 v=1 r=1 -[DEBUG][time= 658] Ibuffer: 11010000100011111111000011101111 PC=0080000c88 v=1 r=1 -[DEBUG][time= 658] Ibuffer: 00000001100000010011000010000011 PC=0080000c8c v=1 r=1 -[DEBUG][time= 658] Ibuffer: 00000110000000010000000100010011 PC=0080000c90 v=1 r=1 -[INFO ][time= 658] Rename: pc:0080000c64 in v:1 in rdy:1 lsrc1: 6 -> psrc1: 86 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:13 -> pdest: 88 old_pdest: 71 out v:1 r:1 -[INFO ][time= 658] Rename: pc:0080000c68 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:11 -> pdest: 89 old_pdest: 80 out v:1 r:1 -[INFO ][time= 658] Rename: pc:0080000c6c in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 90 old_pdest: 83 out v:1 r:1 -[INFO ][time= 658] Rename: pc:0080000c70 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 1 -> psrc2: 84 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 658] Rename: pc:0080000c74 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2:14 -> psrc2: 76 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 658] Rename: pc:0080000c78 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2:15 -> psrc2: 75 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 658] Rename: int rat arch: ldest:11 pdest: 73 -[INFO ][time= 658] Rename: int rat arch: ldest:12 pdest: 74 -[INFO ][time= 658] Dispatch1: pc 0x0080000c60 accepted by queue 1 0 0 -[DEBUG][time= 658] Dispatch1: v:0 r:1 pc 0x0080000c4c of type 0011 is in 0-th slot -[DEBUG][time= 658] Dispatch1: v:0 r:1 pc 0x0080000c50 of type 0011 is in 1-th slot -[DEBUG][time= 658] Dispatch1: v:0 r:1 pc 0x0080000c54 of type 1101 is in 2-th slot -[DEBUG][time= 658] Dispatch1: v:0 r:1 pc 0x0080000c58 of type 1101 is in 3-th slot -[DEBUG][time= 658] Dispatch1: v:0 r:1 pc 0x0080000c5c of type 1101 is in 4-th slot -[DEBUG][time= 658] Dispatch1: pc 0x0080000c60 receives nroq 30 -[DEBUG][time= 658] Dispatch1: v:1 r:1 pc 0x0080000c60 of type 0011 is in 5-th slot -[DEBUG][time= 658] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 658] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 658] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 658] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 658] Dispatch2: regfile 0 from 0 -[DEBUG][time= 658] Dispatch2: regfile 1 from 0 -[DEBUG][time= 658] Dispatch2: regfile 2 from 1 -[DEBUG][time= 658] Dispatch2: regfile 3 from 1 -[DEBUG][time= 658] Dispatch2: regfile 4 from 2 -[DEBUG][time= 658] Dispatch2: regfile 5 from 2 -[DEBUG][time= 658] Dispatch2: regfile 6 from 0 -[DEBUG][time= 658] Dispatch2: regfile 7 from 0 -[DEBUG][time= 658] Dispatch2: int regfile 0: addr 71, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 1: addr 46, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 6: addr 71, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 7: addr 46, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 9: addr 61, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 12: addr 61, state 1 -[DEBUG][time= 658] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 658] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 658] DecodeBuffer: in v:1 r:1 pc=0080000c7c -[INFO ][time= 658] DecodeBuffer: in v:1 r:1 pc=0080000c80 -[INFO ][time= 658] DecodeBuffer: in v:1 r:1 pc=0080000c84 -[INFO ][time= 658] DecodeBuffer: in v:1 r:1 pc=0080000c88 -[INFO ][time= 658] DecodeBuffer: in v:1 r:1 pc=0080000c8c -[INFO ][time= 658] DecodeBuffer: in v:1 r:1 pc=0080000c90 -[INFO ][time= 658] DecodeBuffer: out v:1 r:1 pc=0080000c64 -[INFO ][time= 658] DecodeBuffer: out v:1 r:1 pc=0080000c68 -[INFO ][time= 658] DecodeBuffer: out v:1 r:1 pc=0080000c6c -[INFO ][time= 658] DecodeBuffer: out v:1 r:1 pc=0080000c70 -[INFO ][time= 658] DecodeBuffer: out v:1 r:1 pc=0080000c74 -[INFO ][time= 658] DecodeBuffer: out v:1 r:1 pc=0080000c78 -[ERROR][time= 658] Roq: CSR block should only happen in s_idle -[DEBUG][time= 658] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 1) -[INFO ][time= 658] Roq: dispatched 1 insts -[INFO ][time= 658] Roq: retired pc 0080000028 wen 1 ldst 11 data 0000000000000007 -[INFO ][time= 658] Roq: retired pc 008000002c wen 1 ldst 12 data 0000000000000008 -[INFO ][time= 658] Roq: retired 2 insts -[DEBUG][time= 658] Roq: head 0:30 tail 0: 4 -[DEBUG][time= 658] Roq: ----wwvvvvvwwwwwwwvwwwwwwwwvvv-- -[DEBUG][time= 658] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 658] Roq: 0080000028 w 008000002c w 0080000030 v 0080000034 v -[DEBUG][time= 658] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 658] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 658] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 658] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 658] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 658] Roq: 0080000c58 v 0080000c5c v 0080000040 - 0080000044 - -[DEBUG][time= 658] DispatchQueue: IntDpQ: num_enq = 1, tail = (10 -> 11) -[DEBUG][time= 658] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f38 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 658] LsExeUnit: forwarding data from stq, addr 0000000080000f38 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 658] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000038 addr 0000000080000f38 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 658] LsExeUnit: sbuffer id 1 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 658] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 658] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 658] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 658] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 1 stqCommited 3 emptySlot 3 -[DEBUG][time= 658] LsExeUnit: retiringStore now... -[DEBUG][time= 658] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487148 -[DEBUG][time= 658] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 658] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 658] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 658] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 658] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 658] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 658] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:0 if1_pc:0x0080000dac || if2_ready:0 -[DEBUG][time= 658] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:0 -[DEBUG][time= 658] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 658] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 658] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:0 -[DEBUG][time= 658] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 658] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 658] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 658] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 658] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 658] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 658] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 658] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 658] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 658] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 658] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 658] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 658] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 658] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 658] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 658] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 658] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 658] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 658] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 658] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 658] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 658] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 658] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 658] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 658] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 658] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 658] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 658] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 658] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 658] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 658] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 658] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 658] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 658] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 658] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 658] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 658] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 658] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 658] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 658] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 658] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 658] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 658] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 658] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 658] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 658] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 658] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 658] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 658] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 658] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 658] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 658] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 658] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 658] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 658] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 658] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 658] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 658] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 658] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 658] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 658] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 658] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 658] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 658] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 658] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 658] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 658] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 658] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 658] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 658] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 658] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 658] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 658] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 658] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 658] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 658] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 658] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 658] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 658] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 658] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 658] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 658] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 69|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx: 6 -[DEBUG][time= 658] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 658] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 658] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 658] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 658] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 658] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 658] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 658] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 658] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 658] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 658] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 658] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 658] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 658] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 658] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 658] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 658] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 658] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 658] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 658] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 658] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 658] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 658] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 658] FreeList_1: dealloc preg: 67 -[DEBUG][time= 658] FreeList_1: dealloc preg: 68 -[DEBUG][time= 658] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 57 -[DEBUG][time= 658] FreeList_1: req:1 canAlloc:1 pdest: 88 headNext:0: 57 -[DEBUG][time= 658] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 58 -[DEBUG][time= 658] FreeList_1: req:1 canAlloc:1 pdest: 89 headNext:0: 58 -[DEBUG][time= 658] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 59 -[DEBUG][time= 658] FreeList_1: req:1 canAlloc:1 pdest: 90 headNext:0: 59 -[DEBUG][time= 658] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 59 -[DEBUG][time= 658] FreeList_1: req:0 canAlloc:1 pdest: 91 headNext:0: 59 -[DEBUG][time= 658] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 59 -[DEBUG][time= 658] FreeList_1: req:0 canAlloc:1 pdest: 91 headNext:0: 59 -[DEBUG][time= 658] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 59 -[DEBUG][time= 658] FreeList_1: req:0 canAlloc:1 pdest: 91 headNext:0: 59 -[DEBUG][time= 658] FreeList_1: head:0: 56 tail:1: 41 -[DEBUG][time= 658] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 658] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:12 -[DEBUG][time= 658] Brq: ---------------- -[DEBUG][time= 658] Brq: enq v:0 rdy:1 pc:0080000c7c brTag:f:0 v:12 -[DEBUG][time= 658] Brq: enq v:0 rdy:1 pc:0080000c80 brTag:f:0 v:12 -[DEBUG][time= 658] Brq: enq v:0 rdy:1 pc:0080000c84 brTag:f:0 v:12 -[DEBUG][time= 658] Brq: enq v:1 rdy:1 pc:0080000c88 brTag:f:0 v:12 -[DEBUG][time= 658] Brq: enq v:0 rdy:1 pc:0080000c8c brTag:f:0 v:13 -[DEBUG][time= 658] Brq: enq v:0 rdy:1 pc:0080000c90 brTag:f:0 v:13 -[DEBUG][time= 659] Tage: req: pc=0x0080000dcc, hist=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 659] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 659] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 659] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f40| 1 1) tlb (1 0 0080000f40| 1 1) -[DEBUG][time= 659] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 659] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 659] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 659] RegfileReadPortGen: int 2: want 0100, deqChoice: 1 -[DEBUG][time= 659] RegfileReadPortGen: int 3: want 0000, deqChoice: 3 -[DEBUG][time= 659] RegfileReadPortGen: dynamicExuSrc 0: 2 0010 -[DEBUG][time= 659] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 659] RegfileReadPortGen: dynamicExuSrc 2: 3 0001 -[DEBUG][time= 659] BusyTable_1: Allocate 91 -[DEBUG][time= 659] BusyTable_1: Allocate 92 -[DEBUG][time= 659] BusyTable_1: Allocate 93 -[DEBUG][time= 659] BusyTable_1: 87 is busy -[DEBUG][time= 659] BusyTable_1: 88 is busy -[DEBUG][time= 659] BusyTable_1: 89 is busy -[DEBUG][time= 659] BusyTable_1: 90 is busy -[DEBUG][time= 659] BPUStage2: in:(0 1) pc=0080000dac out:(1 1) pc=0080000d8c -[DEBUG][time= 659] BPUStage2: validLatch=1 pc=0080000d8c -[DEBUG][time= 659] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 659] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 659] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 659] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 659] BPUStage1: in:(1 1) pc=0080000dcc ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 659] BPUStage1: outPred:(0) pc=0x0080000dac, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 659] BPUStage3: [RAS]:pc=0x0080000d8c, rasWritePosition= 1, rasWriteAddr=0x0080000d8c -[DEBUG][time= 659] BPUStage3: in:(1 1) pc=0080000d8c -[DEBUG][time= 659] BPUStage3: out:1 pc=0080000d6c redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000d8c -[DEBUG][time= 659] BPUStage3: flushS3=0 -[DEBUG][time= 659] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 659] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 659] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 659] JBTAC: read: pc=0x0080000dcc, histXORAddr=0x0080001222, bank=1, row= 34, hist=0000000000000000000000000000000000000000000000000000111111110111 -[INFO ][time= 659] Frontend: inst:00008067 pc:0080000c94 -[INFO ][time= 659] Frontend: inst:00054703 pc:0080000c98 -[INFO ][time= 659] Frontend: inst:00050793 pc:0080000c9c -[INFO ][time= 659] Frontend: inst:00000513 pc:0080000ca0 -[INFO ][time= 659] Frontend: inst:00070c63 pc:0080000ca4 -[INFO ][time= 659] Frontend: inst:00178793 pc:0080000ca8 -[DEBUG][time= 659] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000c94 instr:00008067 -[DEBUG][time= 659] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c98 instr:00054703 -[DEBUG][time= 659] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000c9c instr:00050793 -[DEBUG][time= 659] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000ca0 instr:00000513 -[DEBUG][time= 659] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(1 1) pc:0080000ca4 instr:00070c63 -[DEBUG][time= 659] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000ca8 instr:00178793 -[DEBUG][time= 659] Ibuffer: Enque: -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: 11111111111111111111010100100100 -[DEBUG][time= 659] Ibuffer: Deque: -[DEBUG][time= 659] Ibuffer: 00000000000000001000000001100111 PC=0080000c94 v=1 r=1 -[DEBUG][time= 659] Ibuffer: 00000000000001010100011100000011 PC=0080000c98 v=1 r=1 -[DEBUG][time= 659] Ibuffer: 00000000000001010000011110010011 PC=0080000c9c v=1 r=1 -[DEBUG][time= 659] Ibuffer: 00000000000000000000010100010011 PC=0080000ca0 v=1 r=1 -[DEBUG][time= 659] Ibuffer: 00000000000001110000110001100011 PC=0080000ca4 v=1 r=1 -[DEBUG][time= 659] Ibuffer: 00000000000101111000011110010011 PC=0080000ca8 v=1 r=1 -[DEBUG][time= 659] Ibuffer: last_head_ptr= 36 last_tail_ptr= 16 -[DEBUG][time= 659] BTB: read: pc=0x0080000dcc, baseBank= 6, realMask=1111111111111111 -[INFO ][time= 659] Rename: pc:0080000c7c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2:16 -> psrc2: 46 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 659] Rename: pc:0080000c80 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2:17 -> psrc2: 17 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 659] Rename: pc:0080000c84 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 6 -> psrc2: 86 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 659] Rename: pc:0080000c88 in v:1 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 91 old_pdest: 84 out v:1 r:1 -[INFO ][time= 659] Rename: pc:0080000c8c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 1 -> pdest: 92 old_pdest: 91 out v:1 r:1 -[INFO ][time= 659] Rename: pc:0080000c90 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 93 old_pdest: 85 out v:1 r:1 -[INFO ][time= 659] Dispatch1: pc 0x0080000c64 accepted by queue 1 0 0 -[INFO ][time= 659] Dispatch1: pc 0x0080000c68 accepted by queue 1 0 0 -[INFO ][time= 659] Dispatch1: pc 0x0080000c6c accepted by queue 1 0 0 -[INFO ][time= 659] Dispatch1: pc 0x0080000c70 accepted by queue 0 0 1 -[INFO ][time= 659] Dispatch1: pc 0x0080000c74 accepted by queue 0 0 1 -[INFO ][time= 659] Dispatch1: pc 0x0080000c78 accepted by queue 0 0 1 -[DEBUG][time= 659] Dispatch1: pc 0x0080000c64 receives nroq 31 -[DEBUG][time= 659] Dispatch1: v:1 r:1 pc 0x0080000c64 of type 0011 is in 0-th slot -[DEBUG][time= 659] Dispatch1: pc 0x0080000c68 receives nroq 32 -[DEBUG][time= 659] Dispatch1: v:1 r:1 pc 0x0080000c68 of type 0011 is in 1-th slot -[DEBUG][time= 659] Dispatch1: pc 0x0080000c6c receives nroq 33 -[DEBUG][time= 659] Dispatch1: v:1 r:1 pc 0x0080000c6c of type 0011 is in 2-th slot -[DEBUG][time= 659] Dispatch1: pc 0x0080000c70 receives nroq 34 -[DEBUG][time= 659] Dispatch1: v:1 r:1 pc 0x0080000c70 of type 1101 is in 3-th slot -[DEBUG][time= 659] Dispatch1: pc 0x0080000c74 receives nroq 35 -[DEBUG][time= 659] Dispatch1: v:1 r:1 pc 0x0080000c74 of type 1101 is in 4-th slot -[DEBUG][time= 659] Dispatch1: pc 0x0080000c78 receives nroq 36 -[DEBUG][time= 659] Dispatch1: v:1 r:1 pc 0x0080000c78 of type 1101 is in 5-th slot -[DEBUG][time= 659] Dispatch2: int dp queue 0: 0080000c60 type 0011 -[DEBUG][time= 659] Dispatch2: dispatch to iq index 0: 2 -[DEBUG][time= 659] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 659] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 659] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 659] Dispatch2: regfile 0 from 0 -[DEBUG][time= 659] Dispatch2: regfile 1 from 0 -[DEBUG][time= 659] Dispatch2: regfile 2 from 1 -[DEBUG][time= 659] Dispatch2: regfile 3 from 1 -[DEBUG][time= 659] Dispatch2: regfile 4 from 2 -[DEBUG][time= 659] Dispatch2: regfile 5 from 2 -[DEBUG][time= 659] Dispatch2: regfile 6 from 0 -[DEBUG][time= 659] Dispatch2: regfile 7 from 0 -[DEBUG][time= 659] Dispatch2: int regfile 0: addr 83, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 2: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 4: addr 42, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 6: addr 83, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 8: addr 58, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 9: addr 61, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 12: addr 61, state 1 -[DEBUG][time= 659] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 659] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 659] Dispatch2: pc 0x0080000c60 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 659] Dispatch2: pc 0x0080000c60 leaves Int dispatch queue with nroq 30 -[INFO ][time= 659] DecodeBuffer: in v:1 r:1 pc=0080000c94 -[INFO ][time= 659] DecodeBuffer: in v:1 r:1 pc=0080000c98 -[INFO ][time= 659] DecodeBuffer: in v:1 r:1 pc=0080000c9c -[INFO ][time= 659] DecodeBuffer: in v:1 r:1 pc=0080000ca0 -[INFO ][time= 659] DecodeBuffer: in v:1 r:1 pc=0080000ca4 -[INFO ][time= 659] DecodeBuffer: in v:1 r:1 pc=0080000ca8 -[INFO ][time= 659] DecodeBuffer: out v:1 r:1 pc=0080000c7c -[INFO ][time= 659] DecodeBuffer: out v:1 r:1 pc=0080000c80 -[INFO ][time= 659] DecodeBuffer: out v:1 r:1 pc=0080000c84 -[INFO ][time= 659] DecodeBuffer: out v:1 r:1 pc=0080000c88 -[INFO ][time= 659] DecodeBuffer: out v:1 r:1 pc=0080000c8c -[INFO ][time= 659] DecodeBuffer: out v:1 r:1 pc=0080000c90 -[ERROR][time= 659] Roq: CSR block should only happen in s_idle -[DEBUG][time= 659] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 659] Roq: dispatched 6 insts -[DEBUG][time= 659] Roq: head 0:31 tail 0: 6 -[DEBUG][time= 659] Roq: ------vvvvvwwwwwwwvwwwwwwwwvvvv- -[DEBUG][time= 659] Roq: 0080000048 - 008000004c - 0080000020 - 0080000024 - -[DEBUG][time= 659] Roq: 0080000028 - 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 659] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 659] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 659] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 659] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 659] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 659] Roq: 0080000c58 v 0080000c5c v 0080000c60 v 0080000044 - -[DEBUG][time= 659] DispatchQueue_2: LsDpQ: num_enq = 3, tail = ( 2 -> 5) -[DEBUG][time= 659] DispatchQueue: IntDpQ: num_deq = 1, head = (10 -> 11) -[DEBUG][time= 659] DispatchQueue: IntDpQ: num_enq = 3, tail = (11 -> 14) -[DEBUG][time= 659] DispatchQueue: IntDpQ: valid_entries = 1, head = (1, 10), tail = (1, 11), -[DEBUG][time= 659] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f40 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 659] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 659] LsExeUnit: sbuffer id 0 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 659] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 659] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 659] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 659] LsExeUnit: stqinfo: stqValid.asUInt 00000101 stqHead 2 stqTail 2 stqCommited 2 emptySlot 1 -[DEBUG][time= 659] LsExeUnit: retiringStore now... -[INFO ][time= 659] LsExeUnit: [DMEM RESP] data 0000000000000006 -[DEBUG][time= 659] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147487148------> s1 fire!!! -[DEBUG][time= 659] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 659] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 659] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 659] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 659] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 659] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 659] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dcc || if1_pcUpdate:1 if1_pc:0x0080000dac || if2_ready:1------IF1->fire!!! -[DEBUG][time= 659] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dac || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 659] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 659] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 659] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000d8c if3_npc:0x0080000dac || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 659] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d6c if4_npc:0x0080000d8c -[DEBUG][time= 659] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000d8c -[DEBUG][time= 659] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] starPC:0x0080000d6c GroupPC:0x0080000d60n -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d70 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d74 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d78 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d7c -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d80 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d84 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d88 -[DEBUG][time= 659] IFU: [IFU-Out-FetchPacket] instruction fffff524 pnpc:0x0080000d8c -[DEBUG][time= 659] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 659] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 659] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 659] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 659] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 659] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 659] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 659] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 659] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 659] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 659] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 659] IssueQueue_1: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 83:1 0:1 0:0) Dest: 87 oldDest: 74 pc:0080000c60 roqIdx:1e -[DEBUG][time= 659] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 659] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 659] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 659] IssueQueue_1: 1 |0|1| 79|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| d |0080000080|1a <- -[DEBUG][time= 659] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 -[DEBUG][time= 659] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 659] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 659] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 659] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 659] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 659] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 659] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 659] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 659] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 659] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 659] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 659] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 659] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 659] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 659] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 659] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 659] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 659] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 659] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 659] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 659] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 659] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 659] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 659] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 659] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 659] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 659] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 659] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 659] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 659] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 659] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 659] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 659] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 659] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 659] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 659] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 659] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 659] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 659] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 659] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 659] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 659] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 659] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 659] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 659] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 659] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 659] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 659] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 659] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 659] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 659] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 659] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 659] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 659] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 659] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 659] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 659] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 659] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 659] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 659] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 659] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 659] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(0 0) Out(1 0) -[INFO ][time= 659] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 69|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx: 6 -[DEBUG][time= 659] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 659] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 659] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 659] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 659] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 659] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 659] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 659] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 659] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 659] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 659] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 659] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 659] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 659] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 659] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 659] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 659] FreeList: do checkPt at BrqIdx=12 headPtr:0: 0 -[DEBUG][time= 659] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 659] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 659] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 659] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 659] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 659] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 659] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 59 -[DEBUG][time= 659] FreeList_1: req:0 canAlloc:1 pdest: 91 headNext:0: 59 -[DEBUG][time= 659] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 59 -[DEBUG][time= 659] FreeList_1: req:0 canAlloc:1 pdest: 91 headNext:0: 59 -[DEBUG][time= 659] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 59 -[DEBUG][time= 659] FreeList_1: req:0 canAlloc:1 pdest: 91 headNext:0: 59 -[DEBUG][time= 659] FreeList_1: do checkPt at BrqIdx=12 headPtr:0: 60 -[DEBUG][time= 659] FreeList_1: req:1 canAlloc:1 pdest: 91 headNext:0: 60 -[DEBUG][time= 659] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 659] FreeList_1: req:1 canAlloc:1 pdest: 92 headNext:0: 61 -[DEBUG][time= 659] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 659] FreeList_1: req:1 canAlloc:1 pdest: 93 headNext:0: 62 -[DEBUG][time= 659] FreeList_1: head:0: 59 tail:1: 43 -[DEBUG][time= 659] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 659] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:13 -[DEBUG][time= 659] Brq: ---------------- -[DEBUG][time= 659] Brq: enq v:1 rdy:1 pc:0080000c94 brTag:f:0 v:13 -[DEBUG][time= 659] Brq: enq v:0 rdy:1 pc:0080000c98 brTag:f:0 v:14 -[DEBUG][time= 659] Brq: enq v:0 rdy:1 pc:0080000c9c brTag:f:0 v:14 -[DEBUG][time= 659] Brq: enq v:0 rdy:1 pc:0080000ca0 brTag:f:0 v:14 -[DEBUG][time= 659] Brq: enq v:1 rdy:1 pc:0080000ca4 brTag:f:0 v:14 -[DEBUG][time= 659] Brq: enq v:0 rdy:1 pc:0080000ca8 brTag:f:0 v:15 -[DEBUG][time= 660] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 660] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 660] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f50| 0 1) -[DEBUG][time= 660] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 660] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 660] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 660] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 660] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 660] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 660] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 660] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 660] BusyTable_1: writeback 87 -[DEBUG][time= 660] BusyTable_1: 87 is busy -[DEBUG][time= 660] BusyTable_1: 88 is busy -[DEBUG][time= 660] BusyTable_1: 89 is busy -[DEBUG][time= 660] BusyTable_1: 90 is busy -[DEBUG][time= 660] BusyTable_1: 91 is busy -[DEBUG][time= 660] BusyTable_1: 92 is busy -[DEBUG][time= 660] BusyTable_1: 93 is busy -[DEBUG][time= 660] BPUStage2: in:(1 1) pc=0080000dcc out:(0 0) pc=0080000d8c -[DEBUG][time= 660] BPUStage2: validLatch=0 pc=0080000d8c -[DEBUG][time= 660] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 660] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 660] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 660] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 660] WriteBackArbMtoN: out(1) pc(0x0080000c60) writebacks 0x0000000080000cc0 to pdest( 87) ldest(12) -[DEBUG][time= 660] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 660] BPUStage1: outPred:(1) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 660] BPUStage3: flushS3=0 -[DEBUG][time= 660] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 660] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 660] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 660] JBTAC: read_resp: pc=0x0080000dcc, bank=1, row= 34, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 660] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 660] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 660] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 660] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 660] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 660] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 660] Ibuffer: Deque: -[DEBUG][time= 660] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 660] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 660] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 660] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 660] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 660] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 660] BTB: read_resp: pc=0x0080000dcc, readIdx=230------------------------------- -[DEBUG][time= 660] BTB: read_resp[b=0][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=1][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=2][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=3][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=4][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=5][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=6][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=7][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b= 8][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b= 9][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=10][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=11][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=12][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=13][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=14][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: read_resp[b=15][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 660] BTB: bankIdxInOrder:[DEBUG][time= 660] BTB: 6 [DEBUG][time= 660] BTB: 7 [DEBUG][time= 660] BTB: 8 [DEBUG][time= 660] BTB: 9 [DEBUG][time= 660] BTB: 10 [DEBUG][time= 660] BTB: 11 [DEBUG][time= 660] BTB: 12 [DEBUG][time= 660] BTB: 13 [DEBUG][time= 660] BTB: 14 [DEBUG][time= 660] BTB: 15 [DEBUG][time= 660] BTB: 0 [DEBUG][time= 660] BTB: 1 [DEBUG][time= 660] BTB: 2 [DEBUG][time= 660] BTB: 3 [DEBUG][time= 660] BTB: 4 [DEBUG][time= 660] BTB: 5 [DEBUG][time= 660] BTB: -[DEBUG][time= 660] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 7 -[DEBUG][time= 660] AluExeUnit: src1:0000000080000cc0 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000c60 -[DEBUG][time= 660] AluExeUnit: res:0000000080000cc0 aluRes:00000000080000cc0 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080000cc0 taken:0 -[INFO ][time= 660] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 660] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 660] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 660] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 660] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 660] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 660] Dispatch1: pc 0x0080000c7c accepted by queue 0 0 1 -[DEBUG][time= 660] Dispatch1: pc 0x0080000c7c receives nroq 37 -[DEBUG][time= 660] Dispatch1: v:1 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 660] Dispatch1: v:1 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 660] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 660] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 660] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 660] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 660] Dispatch2: int dp queue 0: 0080000c64 type 0011 -[DEBUG][time= 660] Dispatch2: int dp queue 1: 0080000c68 type 0011 -[DEBUG][time= 660] Dispatch2: int dp queue 2: 0080000c6c type 0011 -[DEBUG][time= 660] Dispatch2: ls dp queue 0: 0080000c70 type 1101 -[DEBUG][time= 660] Dispatch2: ls dp queue 1: 0080000c74 type 1101 -[DEBUG][time= 660] Dispatch2: ls dp queue 2: 0080000c78 type 1101 -[DEBUG][time= 660] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 660] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 660] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 660] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 660] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 660] Dispatch2: regfile 0 from 3 -[DEBUG][time= 660] Dispatch2: regfile 1 from 3 -[DEBUG][time= 660] Dispatch2: regfile 2 from 0 -[DEBUG][time= 660] Dispatch2: regfile 3 from 0 -[DEBUG][time= 660] Dispatch2: regfile 4 from 1 -[DEBUG][time= 660] Dispatch2: regfile 5 from 1 -[DEBUG][time= 660] Dispatch2: regfile 6 from 2 -[DEBUG][time= 660] Dispatch2: regfile 7 from 2 -[DEBUG][time= 660] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 2: addr 86, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 4: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 9: addr 84, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 12: addr 84, state 1 -[DEBUG][time= 660] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 660] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 660] Dispatch2: pc 0x0080000c64 with type 0011 srcState(1 1 0) enters reservation station 2 from 0 -[INFO ][time= 660] Dispatch2: pc 0x0080000c68 with type 0011 srcState(1 1 0) enters reservation station 3 from 1 -[INFO ][time= 660] Dispatch2: pc 0x0080000c6c with type 0011 srcState(1 1 0) enters reservation station 4 from 2 -[INFO ][time= 660] Dispatch2: pc 0x0080000c70 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 660] Dispatch2: pc 0x0080000c64 leaves Int dispatch queue with nroq 31 -[INFO ][time= 660] Dispatch2: pc 0x0080000c68 leaves Int dispatch queue with nroq 32 -[INFO ][time= 660] Dispatch2: pc 0x0080000c6c leaves Int dispatch queue with nroq 33 -[INFO ][time= 660] Dispatch2: pc 0x0080000c70 leaves Ls dispatch queue with nroq 34 -[DEBUG][time= 660] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 1 -[DEBUG][time= 660] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 2 -[DEBUG][time= 660] Dispatch2: pc 0x0080000c60 reads operands from ( 0, 83, 0000000080000cc0), ( 1, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[INFO ][time= 660] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 660] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 660] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 660] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 660] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 660] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 660] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 660] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 660] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 660] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 660] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 660] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 660] Roq: CSR block should only happen in s_idle -[DEBUG][time= 660] Roq: (ready, valid): (1, 1) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 660] Roq: dispatched 1 insts -[INFO ][time= 660] Roq: writebacked 2 insts -[INFO ][time= 660] Roq: writebacked pc 0x0080000c60 wen 1 data 0x0000000080000cc0 ldst 12 pdst 87 skip 0 -[INFO ][time= 660] Roq: writebacked pc 0x0080000030 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 660] Roq: head 1: 5 tail 0: 6 -[DEBUG][time= 660] Roq: vvvvv-vvvvvwwwwwwwvwwwwwwwwvvvvv -[DEBUG][time= 660] Roq: 0080000c68 v 0080000c6c v 0080000c70 v 0080000c74 v -[DEBUG][time= 660] Roq: 0080000c78 v 008000002c - 0080000030 v 0080000034 v -[DEBUG][time= 660] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 660] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 660] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 660] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 660] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 660] Roq: 0080000c58 v 0080000c5c v 0080000c60 v 0080000c64 v -[DEBUG][time= 660] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 2 -> 3) -[DEBUG][time= 660] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 5 -> 6) -[DEBUG][time= 660] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 2), tail = (1, 5), -[DEBUG][time= 660] DispatchQueue: IntDpQ: num_deq = 3, head = (11 -> 14) -[DEBUG][time= 660] DispatchQueue: IntDpQ: valid_entries = 3, head = (1, 11), tail = (1, 14), -[DEBUG][time= 660] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f50 size 3 data 0000000000000004 mask 00ff cmd 0 -[DEBUG][time= 660] LsExeUnit: sbuffer id 0 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 660] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 660] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 660] LsExeUnit: LSU fire: pc 0080000030 addr 0000000080000f50 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 660] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 660] LsExeUnit: stqinfo: stqValid.asUInt 00000101 stqHead 2 stqTail 2 stqCommited 2 emptySlot 1 -[DEBUG][time= 660] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 660] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 660] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 660] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 660] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 660] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 660] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 660] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 660] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 660] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 660] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 660] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 660] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 660] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 660] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 660] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 660] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 660] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 660] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 660] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 660] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 660] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 660] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 660] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 660] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 660] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 660] IssueQueue_1: EnqData: src1:0000000080000cc0 src2:0000000000000000 src3:0000000000000000 pc:0080000c60 roqIdx:1e(for last cycle's Ctrl) -[INFO ][time= 660] IssueQueue_1: Deq:(1 1) [ 83|0000000080000cc0][ 0|0000000000000000][ 0|c63124ed8740c04f] pdest: 87 pc:0080000c60 roqIdx:1e -[DEBUG][time= 660] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 660] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 87) -[DEBUG][time= 660] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 660] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 660] IssueQueue_1: 1 |1|1| 83|1|0000000080008fe0| 0|1|fffffffffffffff0| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 660] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 660] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 660] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 660] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 660] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 660] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 660] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 660] IssueQueue_2: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 86:1 0:1 0:0) Dest: 88 oldDest: 71 pc:0080000c64 roqIdx:1f -[DEBUG][time= 660] IssueQueue_2: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 660] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 660] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 660] IssueQueue_2: 0 |0|1| 82|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| b |0080000074|17 <- -[DEBUG][time= 660] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a -[DEBUG][time= 660] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 660] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 660] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 660] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 660] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 660] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 660] IssueQueue_3: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 0:1 0:1 0:0) Dest: 89 oldDest: 80 pc:0080000c68 roqIdx:20 -[DEBUG][time= 660] IssueQueue_3: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 660] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 660] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 660] IssueQueue_3: 2 |0|1| 71|1|0000000000000005| 46|1|0000000000000005| 0|0|0000000000000000| 8 |008000004c|0d <- -[DEBUG][time= 660] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 -[DEBUG][time= 660] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 660] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 660] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 660] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 660] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 660] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 660] IssueQueue_4: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 90 oldDest: 83 pc:0080000c6c roqIdx:21 -[DEBUG][time= 660] IssueQueue_4: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 660] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 660] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 660] IssueQueue_4: 1 |0|1| 63|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| 7 |008000002c|39 <- -[DEBUG][time= 660] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 -[DEBUG][time= 660] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 660] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 660] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 660] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 660] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 660] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 660] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 660] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 660] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 660] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 660] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 660] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 660] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 660] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 660] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 660] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 660] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 660] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 660] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 660] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 660] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 660] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 660] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 660] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 660] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 660] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 660] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 660] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 660] IssueQueue_7: EnqCtrl(1 1) enqSelIq:7 Psrc/Rdy( 85:1 84:1 0:0) Dest: 32 oldDest: 0 pc:0080000c70 roqIdx:22 -[INFO ][time= 660] IssueQueue_7: Deq:(1 1) [ 70|0000000080000f50][ 69|0000000000000004][ 0|0000000000000000] pdest: 32 pc:0080000030 roqIdx:06 -[DEBUG][time= 660] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 660] IssueQueue_7: FireStage:Out(1 1) src1( 70|0000000080000f50) src2( 69|0000000000000004) src3( 0|0000000000000000) deqFlush:0 pc:0080000030 roqIdx: 6 -[DEBUG][time= 660] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 660] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 660] IssueQueue_7: 7 |1|1| 70|1|0000000080000f50| 71|1|0000000000000005| 0|0|0000000000000000| 8 |0080000034|07 -[DEBUG][time= 660] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 660] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 660] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 660] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 660] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 660] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 660] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 660] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 660] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 660] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 660] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 660] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 660] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 660] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 660] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 660] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 660] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 660] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 660] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 660] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 660] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 660] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 660] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 660] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 660] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 660] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 660] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 660] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 660] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 660] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 660] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 660] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 660] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 660] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 660] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 660] Brq: ---------------- -[DEBUG][time= 660] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 660] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 660] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 660] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 660] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 660] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 661] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 661] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 661] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f40| 0 1) tlb (1 1 0080000f40| 0 1) -[DEBUG][time= 661] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 661] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 661] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 661] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 661] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 661] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 661] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 661] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 661] BusyTable_1: writeback 88 -[DEBUG][time= 661] BusyTable_1: writeback 89 -[DEBUG][time= 661] BusyTable_1: writeback 90 -[DEBUG][time= 661] BusyTable_1: 88 is busy -[DEBUG][time= 661] BusyTable_1: 89 is busy -[DEBUG][time= 661] BusyTable_1: 90 is busy -[DEBUG][time= 661] BusyTable_1: 91 is busy -[DEBUG][time= 661] BusyTable_1: 92 is busy -[DEBUG][time= 661] BusyTable_1: 93 is busy -[DEBUG][time= 661] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 661] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 661] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 661] DispatchGen: priority: data(1) = 1, priority = 1 -[DEBUG][time= 661] DispatchGen: priority: data(2) = 1, priority = 2 -[DEBUG][time= 661] DispatchGen: priority: data(3) = 1, priority = 3 -[INFO ][time= 661] WriteBackArbMtoN: out(2) pc(0x0080000c64) writebacks 0x0000000080008fa8 to pdest( 88) ldest(13) -[INFO ][time= 661] WriteBackArbMtoN: out(3) pc(0x0080000c68) writebacks 0x0000000000000000 to pdest( 89) ldest(11) -[INFO ][time= 661] WriteBackArbMtoN: out(4) pc(0x0080000c6c) writebacks 0x0000000000000000 to pdest( 90) ldest(10) -[DEBUG][time= 661] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 661] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 661] BPUStage3: flushS3=0 -[DEBUG][time= 661] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 661] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 661] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 661] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 661] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 661] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 661] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 661] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 661] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 661] Ibuffer: Deque: -[DEBUG][time= 661] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 661] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 661] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 661] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 661] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 661] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 661] AluExeUnit_1: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 7 -[DEBUG][time= 661] AluExeUnit_1: src1:0000000080008fa8 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000c64 -[DEBUG][time= 661] AluExeUnit_1: res:0000000080008fa8 aluRes:00000000080008fa8 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080008fa8 taken:0 -[DEBUG][time= 661] AluExeUnit_2: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 7 -[DEBUG][time= 661] AluExeUnit_2: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000c68 -[DEBUG][time= 661] AluExeUnit_2: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[DEBUG][time= 661] AluExeUnit_3: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:1 v: 7 -[DEBUG][time= 661] AluExeUnit_3: src1:0000000000000000 src2:0000000000000000 offset:0000000000000000 func:0000000 pc:0000000080000c6c -[DEBUG][time= 661] AluExeUnit_3: res:0000000000000000 aluRes:00000000000000000 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0000000000 taken:1 -[INFO ][time= 661] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 661] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 661] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 661] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 661] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 661] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 661] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 661] Dispatch1: v:1 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 661] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 661] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 661] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 661] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 661] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 661] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 661] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 661] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 661] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 661] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 661] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 661] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 661] Dispatch2: regfile 0 from 0 -[DEBUG][time= 661] Dispatch2: regfile 1 from 0 -[DEBUG][time= 661] Dispatch2: regfile 2 from 1 -[DEBUG][time= 661] Dispatch2: regfile 3 from 1 -[DEBUG][time= 661] Dispatch2: regfile 4 from 2 -[DEBUG][time= 661] Dispatch2: regfile 5 from 2 -[DEBUG][time= 661] Dispatch2: regfile 6 from 3 -[DEBUG][time= 661] Dispatch2: regfile 7 from 3 -[DEBUG][time= 661] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 661] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 661] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 661] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 661] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 661] Dispatch2: pc 0x0080000c64 reads operands from ( 2, 86, 0000000080008fa8), ( 3, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 661] Dispatch2: pc 0x0080000c68 reads operands from ( 4, 0, 0000000000000000), ( 5, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 661] Dispatch2: pc 0x0080000c6c reads operands from ( 6, 0, 0000000000000000), ( 7, 0, 0000000000000000), ( 0, 0, 0000000000000000) -[DEBUG][time= 661] Dispatch2: pc 0x0080000c70 reads operands from ( 8, 85, 0000000080008f80), ( 9, 84, 000000008000007c), ( 0, 0, 0000000000000000) -[INFO ][time= 661] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 661] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 661] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 661] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 661] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 661] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 661] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 661] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 661] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 661] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 661] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 661] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 661] Roq: CSR block should only happen in s_idle -[DEBUG][time= 661] Roq: (ready, valid): (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 661] Roq: writebacked 3 insts -[INFO ][time= 661] Roq: writebacked pc 0x0080000c64 wen 1 data 0x0000000080008fa8 ldst 13 pdst 88 skip 0 -[INFO ][time= 661] Roq: writebacked pc 0x0080000c68 wen 1 data 0x0000000000000000 ldst 11 pdst 89 skip 0 -[INFO ][time= 661] Roq: writebacked pc 0x0080000c6c wen 1 data 0x0000000000000000 ldst 10 pdst 90 skip 0 -[INFO ][time= 661] Roq: retired pc 0080000030 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 661] Roq: retired 1 insts -[DEBUG][time= 661] Roq: head 1: 6 tail 0: 6 -[DEBUG][time= 661] Roq: vvvvvvwvvvvwwwwwwwvwwwwwwwwvvvwv -[DEBUG][time= 661] Roq: 0080000c68 v 0080000c6c v 0080000c70 v 0080000c74 v -[DEBUG][time= 661] Roq: 0080000c78 v 0080000c7c v 0080000030 w 0080000034 v -[DEBUG][time= 661] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 661] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 661] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 661] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 661] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 661] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 v -[DEBUG][time= 661] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 3), tail = (1, 6), -[DEBUG][time= 661] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f40 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 661] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 661] LsExeUnit: sbuffer id 0 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 661] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 661] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 661] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 661] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 661] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 2 stqCommited 2 emptySlot 3 -[DEBUG][time= 661] LsExeUnit: retiringStore now... -[INFO ][time= 661] LsExeUnit: [DMEM STORE REQ] addr 0x80000f40 wdata 0x0000000000000006 size 3 -[DEBUG][time= 661] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 661] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 661] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 661] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 661] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 661] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 661] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 661] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 661] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 661] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 661] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 661] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 661] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 661] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 661] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 661] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 661] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 661] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 661] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 661] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 661] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 661] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 661] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 661] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 661] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 661] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 661] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 661] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 661] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 661] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 661] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 661] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 661] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 661] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 661] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 661] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 661] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 661] IssueQueue_2: EnqData: src1:0000000080008fa8 src2:0000000000000000 src3:0000000000000000 pc:0080000c64 roqIdx:1f(for last cycle's Ctrl) -[INFO ][time= 661] IssueQueue_2: Deq:(1 1) [ 86|0000000080008fa8][ 0|0000000000000000][ 0|56e780095a4543a2] pdest: 88 pc:0080000c64 roqIdx:1f -[DEBUG][time= 661] IssueQueue_2: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 661] IssueQueue_2: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 88) -[DEBUG][time= 661] IssueQueue_2: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 661] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 661] IssueQueue_2: 0 |1|1| 86|1|0000000080001070| 0|1|fffffffffffffc50| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 661] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 661] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 661] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 661] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 661] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 661] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 661] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 661] IssueQueue_3: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000c68 roqIdx:20(for last cycle's Ctrl) -[INFO ][time= 661] IssueQueue_3: Deq:(1 1) [ 0|0000000000000000][ 0|0000000000000000][ 0|04ea9d532908f0c8] pdest: 89 pc:0080000c68 roqIdx:20 -[DEBUG][time= 661] IssueQueue_3: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 661] IssueQueue_3: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 89) -[DEBUG][time= 661] IssueQueue_3: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:2 deqSelIq:2 deqReady:1 -[DEBUG][time= 661] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 661] IssueQueue_3: 2 |1|1| 0|1|0000000000000005| 0|1|0000000000000005| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 661] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 661] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 661] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 661] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 661] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 661] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 661] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 661] IssueQueue_4: EnqData: src1:0000000000000000 src2:0000000000000000 src3:0000000000000000 pc:0080000c6c roqIdx:21(for last cycle's Ctrl) -[INFO ][time= 661] IssueQueue_4: Deq:(1 1) [ 0|0000000000000000][ 0|0000000000000000][ 0|cc3111a43502079a] pdest: 90 pc:0080000c6c roqIdx:21 -[DEBUG][time= 661] IssueQueue_4: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 661] IssueQueue_4: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 90) -[DEBUG][time= 661] IssueQueue_4: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 661] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 661] IssueQueue_4: 1 |1|1| 0|1|0000000000000003| 0|1|0000000000000004| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 661] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 661] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 661] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 661] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 661] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 661] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 661] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 661] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 661] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 661] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 661] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 661] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 661] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 661] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 661] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 661] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 661] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 661] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 661] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 661] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 661] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 661] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 661] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 661] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 661] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 661] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 661] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 661] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 661] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 661] IssueQueue_7: EnqData: src1:0000000080008f80 src2:000000008000007c src3:0000000000000000 pc:0080000c70 roqIdx:22(for last cycle's Ctrl) -[DEBUG][time= 661] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 661] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 661] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 661] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 661] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 661] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 661] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 661] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 661] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 661] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 661] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 661] IssueQueue_7: 7 |1|1| 85|1|0000000080000f50| 84|1|0000000000000005| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 661] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 661] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 661] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 661] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 661] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 661] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 661] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 661] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 661] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 661] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 661] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 661] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 661] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 661] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 661] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 661] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 661] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 661] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 661] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 661] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 661] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 661] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 661] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 661] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 661] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 661] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 661] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 661] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 661] Brq: ---------------- -[DEBUG][time= 661] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 661] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 661] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 661] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 661] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 661] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 662] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 662] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 662] XSCore: c-mem(0 0 80000f00| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f40| 0 1) -[DEBUG][time= 662] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 662] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 662] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 662] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 662] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 662] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 662] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 662] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 662] BusyTable_1: 91 is busy -[DEBUG][time= 662] BusyTable_1: 92 is busy -[DEBUG][time= 662] BusyTable_1: 93 is busy -[DEBUG][time= 662] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 662] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 662] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 662] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 662] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 662] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 662] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 662] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 662] BPUStage3: flushS3=0 -[DEBUG][time= 662] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 662] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 662] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 662] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 662] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 662] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 662] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 662] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 662] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 662] Ibuffer: Deque: -[DEBUG][time= 662] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 662] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 662] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 662] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 662] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 662] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 662] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 662] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 662] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 662] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 662] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 662] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 662] Dispatch1: pc 0x0080000c80 accepted by queue 0 0 1 -[DEBUG][time= 662] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 662] Dispatch1: pc 0x0080000c80 receives nroq 38 -[DEBUG][time= 662] Dispatch1: v:1 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 662] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 662] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 662] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 662] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 662] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 662] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 662] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 662] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 662] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 662] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 662] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 662] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 662] Dispatch2: regfile 0 from 0 -[DEBUG][time= 662] Dispatch2: regfile 1 from 0 -[DEBUG][time= 662] Dispatch2: regfile 2 from 1 -[DEBUG][time= 662] Dispatch2: regfile 3 from 1 -[DEBUG][time= 662] Dispatch2: regfile 4 from 2 -[DEBUG][time= 662] Dispatch2: regfile 5 from 2 -[DEBUG][time= 662] Dispatch2: regfile 6 from 3 -[DEBUG][time= 662] Dispatch2: regfile 7 from 3 -[DEBUG][time= 662] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 662] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 662] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 662] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 662] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[INFO ][time= 662] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 662] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 662] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 662] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 662] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 662] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 662] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 662] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 662] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 662] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 662] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 662] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 662] Roq: CSR block should only happen in s_idle -[DEBUG][time= 662] Roq: (ready, valid): (1, 0) (1, 1) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 662] Roq: dispatched 1 insts -[DEBUG][time= 662] Roq: head 1: 6 tail 0: 7 -[DEBUG][time= 662] Roq: wwvvvv-vvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 662] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 662] Roq: 0080000c78 v 0080000c7c v 0080000030 - 0080000034 v -[DEBUG][time= 662] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 662] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 662] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 662] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 662] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 662] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 662] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 6 -> 7) -[DEBUG][time= 662] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 3), tail = (1, 6), -[DEBUG][time= 662] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f40 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 662] LsExeUnit: forwarding data from stq, addr 0000000080000f40 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 662] LsExeUnit: sbuffer id 0 ptr 2 pc 008000003c addr 0000000080000f40 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 662] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 662] LsExeUnit: sbuffer id 2 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 662] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 662] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 662] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 2 stqCommited 3 emptySlot 3 -[DEBUG][time= 662] LsExeUnit: retiringStore now... -[DEBUG][time= 662] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 662] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 662] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 662] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 662] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 662] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 662] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 662] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 662] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 662] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 662] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 662] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 662] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 662] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 662] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 662] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 662] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 662] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 662] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 662] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 662] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 662] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 662] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 662] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 662] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 662] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 662] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 662] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 662] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 662] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 662] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 662] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 662] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 662] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 662] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 662] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 662] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 662] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 662] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 662] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 662] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 662] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 662] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 662] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 662] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 662] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 662] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 662] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 662] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 662] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 662] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 662] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 662] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 662] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 662] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 662] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 662] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 662] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 662] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 662] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 662] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 662] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 662] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 662] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 662] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 662] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 662] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 662] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 662] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 662] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 662] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 662] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 662] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 662] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 662] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 662] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 662] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 662] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 662] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 662] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 662] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 662] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 662] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 662] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 662] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 662] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 662] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 662] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 662] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 662] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 662] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 662] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 662] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 662] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 662] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 662] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 662] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 662] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 662] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 662] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 662] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 662] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 662] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 662] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 662] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 662] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 662] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 662] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 662] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 662] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 662] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 662] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 662] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 662] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 662] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 662] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 662] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 662] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 662] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 662] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 662] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 662] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 662] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 662] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 662] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 662] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 662] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 662] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 662] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 662] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 662] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 662] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 662] Brq: ---------------- -[DEBUG][time= 662] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 662] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 662] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 662] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 662] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 662] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 663] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 663] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 663] XSCore: c-mem(0 0 00000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 663] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 663] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 663] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 663] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 663] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 663] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 663] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 663] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 663] BusyTable_1: 91 is busy -[DEBUG][time= 663] BusyTable_1: 92 is busy -[DEBUG][time= 663] BusyTable_1: 93 is busy -[DEBUG][time= 663] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 663] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 663] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 663] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 663] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 663] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 663] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 663] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 663] BPUStage3: flushS3=0 -[DEBUG][time= 663] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 663] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 663] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 663] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 663] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 663] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 663] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 663] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 663] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 663] Ibuffer: Deque: -[DEBUG][time= 663] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 663] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 663] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 663] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 663] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 663] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 663] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 663] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 663] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 663] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 663] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 663] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 663] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 663] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 663] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 663] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 663] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 663] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 663] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 663] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 663] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 663] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 663] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 663] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 663] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 663] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 663] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 663] Dispatch2: regfile 0 from 0 -[DEBUG][time= 663] Dispatch2: regfile 1 from 0 -[DEBUG][time= 663] Dispatch2: regfile 2 from 1 -[DEBUG][time= 663] Dispatch2: regfile 3 from 1 -[DEBUG][time= 663] Dispatch2: regfile 4 from 2 -[DEBUG][time= 663] Dispatch2: regfile 5 from 2 -[DEBUG][time= 663] Dispatch2: regfile 6 from 3 -[DEBUG][time= 663] Dispatch2: regfile 7 from 3 -[DEBUG][time= 663] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 663] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 663] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 663] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 663] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 663] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 663] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 663] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 663] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 663] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 663] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 663] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 663] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 663] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 663] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 663] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 663] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 663] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 663] Roq: CSR block should only happen in s_idle -[DEBUG][time= 663] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 663] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 663] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 663] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 663] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 663] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 663] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 663] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 663] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 663] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 663] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 663] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 663] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 663] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 663] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 663] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 663] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 663] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 663] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 663] LsExeUnit: retiringStore now... -[DEBUG][time= 663] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 663] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 663] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 663] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 663] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 663] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 663] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 663] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 663] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 663] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 663] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 663] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 663] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 663] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 663] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 663] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 663] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 663] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 663] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 663] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 663] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 663] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 663] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 663] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 663] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 663] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 663] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 663] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 663] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 663] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 663] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 663] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 663] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 663] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 663] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 663] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 663] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 663] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 663] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 663] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 663] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 663] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 663] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 663] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 663] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 663] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 663] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 663] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 663] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 663] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 663] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 663] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 663] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 663] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 663] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 663] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 663] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 663] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 663] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 663] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 663] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 663] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 663] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 663] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 663] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 663] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 663] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 663] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 663] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 663] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 663] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 663] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 663] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 663] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 663] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 663] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 663] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 663] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 663] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 663] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 663] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 663] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 663] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 663] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 663] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 663] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 663] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 663] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 663] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 663] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 663] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 663] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 663] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 663] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 663] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 663] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 663] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 663] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 663] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 663] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 663] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 663] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 663] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 663] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 663] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 663] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 663] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 663] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 663] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 663] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 663] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 663] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 663] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 663] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 663] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 663] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 663] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 663] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 663] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 663] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 663] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 663] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 663] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 663] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 663] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 663] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 663] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 663] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 663] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 663] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 663] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 663] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 663] Brq: ---------------- -[DEBUG][time= 663] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 663] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 663] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 663] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 663] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 663] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 664] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 664] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 664] XSCore: c-mem(1 1 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 664] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 664] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 664] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 664] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 664] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 664] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 664] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 664] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 664] BusyTable_1: 91 is busy -[DEBUG][time= 664] BusyTable_1: 92 is busy -[DEBUG][time= 664] BusyTable_1: 93 is busy -[DEBUG][time= 664] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 664] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 664] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 664] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 664] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 664] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 664] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 664] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 664] BPUStage3: flushS3=0 -[DEBUG][time= 664] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 664] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 664] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 664] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 664] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 664] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 664] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 664] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 664] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 664] Ibuffer: Deque: -[DEBUG][time= 664] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 664] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 664] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 664] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 664] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 664] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 664] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 664] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 664] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 664] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 664] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 664] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 664] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 664] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 664] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 664] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 664] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 664] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 664] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 664] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 664] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 664] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 664] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 664] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 664] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 664] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 664] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 664] Dispatch2: regfile 0 from 0 -[DEBUG][time= 664] Dispatch2: regfile 1 from 0 -[DEBUG][time= 664] Dispatch2: regfile 2 from 1 -[DEBUG][time= 664] Dispatch2: regfile 3 from 1 -[DEBUG][time= 664] Dispatch2: regfile 4 from 2 -[DEBUG][time= 664] Dispatch2: regfile 5 from 2 -[DEBUG][time= 664] Dispatch2: regfile 6 from 3 -[DEBUG][time= 664] Dispatch2: regfile 7 from 3 -[DEBUG][time= 664] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 664] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 664] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 664] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 664] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 664] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 664] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 664] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 664] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 664] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 664] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 664] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 664] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 664] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 664] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 664] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 664] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 664] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 664] Roq: CSR block should only happen in s_idle -[DEBUG][time= 664] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 664] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 664] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 664] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 664] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 664] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 664] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 664] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 664] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 664] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 664] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 664] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 664] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 664] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 664] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 664] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 664] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 664] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 664] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 664] LsExeUnit: retiringStore now... -[DEBUG][time= 664] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 664] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 664] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 664] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 664] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 664] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 664] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 664] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 664] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 664] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 664] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 664] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 664] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 664] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 664] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 664] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 664] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 664] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 664] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 664] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 664] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 664] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 664] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 664] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 664] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 664] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 664] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 664] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 664] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 664] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 664] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 664] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 664] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 664] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 664] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 664] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 664] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 664] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 664] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 664] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 664] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 664] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 664] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 664] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 664] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 664] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 664] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 664] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 664] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 664] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 664] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 664] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 664] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 664] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 664] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 664] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 664] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 664] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 664] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 664] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 664] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 664] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 664] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 664] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 664] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 664] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 664] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 664] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 664] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 664] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 664] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 664] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 664] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 664] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 664] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 664] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 664] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 664] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 664] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 664] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 664] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 664] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 664] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 664] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 664] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 664] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 664] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 664] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 664] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 664] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 664] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 664] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 664] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 664] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 664] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 664] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 664] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 664] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 664] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 664] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 664] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 664] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 664] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 664] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 664] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 664] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 664] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 664] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 664] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 664] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 664] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 664] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 664] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 664] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 664] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 664] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 664] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 664] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 664] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 664] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 664] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 664] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 664] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 664] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 664] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 664] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 664] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 664] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 664] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 664] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 664] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 664] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 664] Brq: ---------------- -[DEBUG][time= 664] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 664] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 664] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 664] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 664] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 664] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 665] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 665] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 665] XSCore: c-mem(0 0 00000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 665] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 665] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 665] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 665] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 665] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 665] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 665] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 665] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 665] BusyTable_1: 91 is busy -[DEBUG][time= 665] BusyTable_1: 92 is busy -[DEBUG][time= 665] BusyTable_1: 93 is busy -[DEBUG][time= 665] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 665] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 665] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 665] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 665] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 665] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 665] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 665] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 665] BPUStage3: flushS3=0 -[DEBUG][time= 665] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 665] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 665] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 665] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 665] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 665] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 665] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 665] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 665] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 665] Ibuffer: Deque: -[DEBUG][time= 665] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 665] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 665] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 665] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 665] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 665] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 665] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 665] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 665] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 665] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 665] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 665] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 665] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 665] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 665] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 665] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 665] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 665] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 665] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 665] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 665] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 665] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 665] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 665] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 665] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 665] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 665] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 665] Dispatch2: regfile 0 from 0 -[DEBUG][time= 665] Dispatch2: regfile 1 from 0 -[DEBUG][time= 665] Dispatch2: regfile 2 from 1 -[DEBUG][time= 665] Dispatch2: regfile 3 from 1 -[DEBUG][time= 665] Dispatch2: regfile 4 from 2 -[DEBUG][time= 665] Dispatch2: regfile 5 from 2 -[DEBUG][time= 665] Dispatch2: regfile 6 from 3 -[DEBUG][time= 665] Dispatch2: regfile 7 from 3 -[DEBUG][time= 665] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 665] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 665] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 665] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 665] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 665] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 665] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 665] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 665] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 665] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 665] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 665] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 665] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 665] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 665] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 665] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 665] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 665] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 665] Roq: CSR block should only happen in s_idle -[DEBUG][time= 665] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 665] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 665] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 665] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 665] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 665] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 665] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 665] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 665] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 665] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 665] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 665] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 665] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 665] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 665] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 665] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 665] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 665] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 665] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 665] LsExeUnit: retiringStore now... -[DEBUG][time= 665] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 665] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 665] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 665] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 665] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 665] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 665] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 665] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 665] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 665] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 665] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 665] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 665] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 665] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 665] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 665] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 665] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 665] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 665] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 665] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 665] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 665] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 665] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 665] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 665] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 665] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 665] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 665] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 665] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 665] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 665] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 665] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 665] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 665] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 665] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 665] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 665] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 665] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 665] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 665] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 665] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 665] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 665] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 665] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 665] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 665] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 665] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 665] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 665] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 665] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 665] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 665] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 665] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 665] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 665] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 665] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 665] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 665] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 665] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 665] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 665] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 665] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 665] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 665] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 665] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 665] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 665] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 665] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 665] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 665] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 665] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 665] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 665] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 665] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 665] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 665] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 665] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 665] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 665] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 665] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 665] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 665] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 665] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 665] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 665] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 665] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 665] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 665] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 665] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 665] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 665] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 665] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 665] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 665] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 665] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 665] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 665] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 665] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 665] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 665] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 665] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 665] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 665] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 665] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 665] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 665] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 665] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 665] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 665] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 665] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 665] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 665] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 665] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 665] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 665] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 665] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 665] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 665] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 665] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 665] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 665] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 665] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 665] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 665] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 665] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 665] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 665] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 665] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 665] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 665] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 665] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 665] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 665] Brq: ---------------- -[DEBUG][time= 665] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 665] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 665] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 665] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 665] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 665] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 666] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 666] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 666] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 666] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 666] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 666] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 666] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 666] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 666] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 666] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 666] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 666] BusyTable_1: 91 is busy -[DEBUG][time= 666] BusyTable_1: 92 is busy -[DEBUG][time= 666] BusyTable_1: 93 is busy -[DEBUG][time= 666] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 666] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 666] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 666] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 666] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 666] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 666] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 666] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 666] BPUStage3: flushS3=0 -[DEBUG][time= 666] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 666] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 666] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 666] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 666] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 666] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 666] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 666] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 666] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 666] Ibuffer: Deque: -[DEBUG][time= 666] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 666] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 666] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 666] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 666] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 666] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 666] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 666] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 666] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 666] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 666] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 666] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 666] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 666] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 666] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 666] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 666] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 666] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 666] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 666] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 666] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 666] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 666] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 666] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 666] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 666] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 666] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 666] Dispatch2: regfile 0 from 0 -[DEBUG][time= 666] Dispatch2: regfile 1 from 0 -[DEBUG][time= 666] Dispatch2: regfile 2 from 1 -[DEBUG][time= 666] Dispatch2: regfile 3 from 1 -[DEBUG][time= 666] Dispatch2: regfile 4 from 2 -[DEBUG][time= 666] Dispatch2: regfile 5 from 2 -[DEBUG][time= 666] Dispatch2: regfile 6 from 3 -[DEBUG][time= 666] Dispatch2: regfile 7 from 3 -[DEBUG][time= 666] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 666] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 666] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 666] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 666] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 666] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 666] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 666] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 666] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 666] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 666] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 666] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 666] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 666] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 666] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 666] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 666] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 666] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 666] Roq: CSR block should only happen in s_idle -[DEBUG][time= 666] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 666] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 666] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 666] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 666] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 666] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 666] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 666] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 666] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 666] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 666] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 666] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 666] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 666] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 666] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 666] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 666] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 666] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 666] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 666] LsExeUnit: retiringStore now... -[DEBUG][time= 666] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 666] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 666] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 666] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 666] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 666] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 666] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 666] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 666] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 666] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 666] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 666] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 666] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 666] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 666] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 666] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 666] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 666] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 666] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 666] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 666] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 666] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 666] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 666] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 666] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 666] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 666] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 666] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 666] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 666] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 666] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 666] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 666] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 666] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 666] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 666] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 666] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 666] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 666] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 666] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 666] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 666] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 666] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 666] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 666] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 666] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 666] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 666] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 666] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 666] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 666] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 666] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 666] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 666] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 666] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 666] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 666] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 666] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 666] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 666] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 666] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 666] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 666] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 666] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 666] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 666] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 666] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 666] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 666] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 666] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 666] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 666] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 666] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 666] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 666] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 666] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 666] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 666] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 666] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 666] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 666] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 666] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 666] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 666] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 666] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 666] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 666] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 666] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 666] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 666] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 666] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 666] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 666] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 666] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 666] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 666] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 666] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 666] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 666] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 666] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 666] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 666] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 666] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 666] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 666] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 666] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 666] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 666] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 666] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 666] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 666] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 666] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 666] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 666] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 666] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 666] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 666] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 666] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 666] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 666] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 666] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 666] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 666] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 666] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 666] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 666] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 666] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 666] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 666] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 666] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 666] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 666] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 666] Brq: ---------------- -[DEBUG][time= 666] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 666] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 666] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 666] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 666] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 666] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 667] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 667] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 667] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 667] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 667] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 667] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 667] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 667] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 667] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 667] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 667] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 667] BusyTable_1: 91 is busy -[DEBUG][time= 667] BusyTable_1: 92 is busy -[DEBUG][time= 667] BusyTable_1: 93 is busy -[DEBUG][time= 667] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 667] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 667] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 667] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 667] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 667] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 667] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 667] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 667] BPUStage3: flushS3=0 -[DEBUG][time= 667] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 667] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 667] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 667] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 667] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 667] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 667] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 667] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 667] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 667] Ibuffer: Deque: -[DEBUG][time= 667] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 667] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 667] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 667] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 667] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 667] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 667] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 667] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 667] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 667] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 667] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 667] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 667] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 667] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 667] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 667] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 667] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 667] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 667] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 667] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 667] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 667] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 667] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 667] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 667] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 667] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 667] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 667] Dispatch2: regfile 0 from 0 -[DEBUG][time= 667] Dispatch2: regfile 1 from 0 -[DEBUG][time= 667] Dispatch2: regfile 2 from 1 -[DEBUG][time= 667] Dispatch2: regfile 3 from 1 -[DEBUG][time= 667] Dispatch2: regfile 4 from 2 -[DEBUG][time= 667] Dispatch2: regfile 5 from 2 -[DEBUG][time= 667] Dispatch2: regfile 6 from 3 -[DEBUG][time= 667] Dispatch2: regfile 7 from 3 -[DEBUG][time= 667] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 667] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 667] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 667] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 667] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 667] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 667] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 667] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 667] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 667] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 667] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 667] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 667] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 667] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 667] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 667] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 667] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 667] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 667] Roq: CSR block should only happen in s_idle -[DEBUG][time= 667] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 667] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 667] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 667] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 667] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 667] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 667] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 667] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 667] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 667] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 667] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 667] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 667] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 667] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 667] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 667] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 667] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 667] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 667] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 667] LsExeUnit: retiringStore now... -[DEBUG][time= 667] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 667] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 667] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 667] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 667] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 667] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 667] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 667] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 667] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 667] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 667] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 667] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 667] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 667] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 667] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 667] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 667] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 667] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 667] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 667] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 667] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 667] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 667] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 667] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 667] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 667] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 667] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 667] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 667] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 667] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 667] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 667] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 667] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 667] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 667] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 667] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 667] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 667] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 667] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 667] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 667] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 667] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 667] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 667] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 667] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 667] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 667] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 667] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 667] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 667] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 667] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 667] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 667] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 667] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 667] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 667] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 667] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 667] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 667] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 667] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 667] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 667] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 667] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 667] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 667] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 667] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 667] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 667] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 667] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 667] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 667] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 667] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 667] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 667] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 667] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 667] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 667] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 667] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 667] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 667] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 667] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 667] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 667] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 667] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 667] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 667] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 667] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 667] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 667] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 667] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 667] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 667] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 667] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 667] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 667] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 667] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 667] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 667] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 667] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 667] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 667] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 667] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 667] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 667] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 667] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 667] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 667] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 667] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 667] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 667] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 667] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 667] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 667] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 667] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 667] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 667] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 667] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 667] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 667] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 667] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 667] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 667] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 667] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 667] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 667] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 667] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 667] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 667] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 667] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 667] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 667] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 667] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 667] Brq: ---------------- -[DEBUG][time= 667] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 667] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 667] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 667] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 667] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 667] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 668] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 668] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 668] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 668] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 668] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 668] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 668] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 668] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 668] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 668] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 668] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 668] BusyTable_1: 91 is busy -[DEBUG][time= 668] BusyTable_1: 92 is busy -[DEBUG][time= 668] BusyTable_1: 93 is busy -[DEBUG][time= 668] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 668] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 668] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 668] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 668] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 668] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 668] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 668] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 668] BPUStage3: flushS3=0 -[DEBUG][time= 668] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 668] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 668] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 668] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 668] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 668] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 668] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 668] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 668] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 668] Ibuffer: Deque: -[DEBUG][time= 668] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 668] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 668] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 668] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 668] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 668] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 668] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 668] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 668] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 668] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 668] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 668] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 668] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 668] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 668] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 668] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 668] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 668] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 668] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 668] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 668] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 668] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 668] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 668] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 668] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 668] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 668] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 668] Dispatch2: regfile 0 from 0 -[DEBUG][time= 668] Dispatch2: regfile 1 from 0 -[DEBUG][time= 668] Dispatch2: regfile 2 from 1 -[DEBUG][time= 668] Dispatch2: regfile 3 from 1 -[DEBUG][time= 668] Dispatch2: regfile 4 from 2 -[DEBUG][time= 668] Dispatch2: regfile 5 from 2 -[DEBUG][time= 668] Dispatch2: regfile 6 from 3 -[DEBUG][time= 668] Dispatch2: regfile 7 from 3 -[DEBUG][time= 668] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 668] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 668] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 668] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 668] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 668] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 668] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 668] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 668] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 668] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 668] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 668] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 668] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 668] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 668] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 668] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 668] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 668] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 668] Roq: CSR block should only happen in s_idle -[DEBUG][time= 668] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 668] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 668] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 668] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 668] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 668] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 668] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 668] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 668] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 668] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 668] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 668] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 668] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 668] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 668] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 668] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 668] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 668] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 668] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 668] LsExeUnit: retiringStore now... -[DEBUG][time= 668] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 668] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 668] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 668] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 668] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 668] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 668] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 668] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 668] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 668] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 668] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 668] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 668] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 668] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 668] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 668] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 668] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 668] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 668] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 668] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 668] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 668] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 668] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 668] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 668] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 668] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 668] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 668] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 668] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 668] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 668] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 668] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 668] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 668] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 668] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 668] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 668] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 668] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 668] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 668] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 668] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 668] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 668] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 668] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 668] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 668] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 668] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 668] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 668] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 668] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 668] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 668] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 668] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 668] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 668] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 668] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 668] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 668] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 668] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 668] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 668] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 668] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 668] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 668] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 668] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 668] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 668] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 668] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 668] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 668] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 668] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 668] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 668] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 668] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 668] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 668] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 668] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 668] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 668] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 668] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 668] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 668] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 668] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 668] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 668] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 668] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 668] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 668] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 668] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 668] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 668] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 668] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 668] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 668] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 668] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 668] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 668] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 668] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 668] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 668] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 668] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 668] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 668] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 668] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 668] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 668] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 668] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 668] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 668] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 668] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 668] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 668] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 668] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 668] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 668] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 668] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 668] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 668] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 668] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 668] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 668] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 668] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 668] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 668] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 668] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 668] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 668] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 668] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 668] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 668] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 668] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 668] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 668] Brq: ---------------- -[DEBUG][time= 668] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 668] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 668] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 668] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 668] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 668] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 669] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 669] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 669] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 669] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 669] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 669] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 669] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 669] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 669] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 669] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 669] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 669] BusyTable_1: 91 is busy -[DEBUG][time= 669] BusyTable_1: 92 is busy -[DEBUG][time= 669] BusyTable_1: 93 is busy -[DEBUG][time= 669] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 669] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 669] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 669] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 669] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 669] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 669] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 669] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 669] BPUStage3: flushS3=0 -[DEBUG][time= 669] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 669] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 669] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 669] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 669] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 669] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 669] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 669] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 669] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 669] Ibuffer: Deque: -[DEBUG][time= 669] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 669] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 669] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 669] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 669] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 669] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 669] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 669] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 669] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 669] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 669] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 669] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 669] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 669] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 669] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 669] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 669] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 669] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 669] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 669] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 669] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 669] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 669] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 669] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 669] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 669] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 669] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 669] Dispatch2: regfile 0 from 0 -[DEBUG][time= 669] Dispatch2: regfile 1 from 0 -[DEBUG][time= 669] Dispatch2: regfile 2 from 1 -[DEBUG][time= 669] Dispatch2: regfile 3 from 1 -[DEBUG][time= 669] Dispatch2: regfile 4 from 2 -[DEBUG][time= 669] Dispatch2: regfile 5 from 2 -[DEBUG][time= 669] Dispatch2: regfile 6 from 3 -[DEBUG][time= 669] Dispatch2: regfile 7 from 3 -[DEBUG][time= 669] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 669] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 669] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 669] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 669] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 669] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 669] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 669] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 669] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 669] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 669] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 669] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 669] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 669] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 669] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 669] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 669] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 669] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 669] Roq: CSR block should only happen in s_idle -[DEBUG][time= 669] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 669] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 669] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 669] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 669] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 669] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 669] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 669] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 669] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 669] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 669] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 669] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 669] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 669] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 669] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 669] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 669] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 669] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 669] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 669] LsExeUnit: retiringStore now... -[DEBUG][time= 669] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 669] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 669] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 669] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 669] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 669] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 669] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 669] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 669] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 669] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 669] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 669] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 669] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 669] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 669] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 669] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 669] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 669] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 669] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 669] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 669] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 669] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 669] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 669] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 669] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 669] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 669] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 669] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 669] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 669] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 669] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 669] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 669] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 669] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 669] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 669] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 669] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 669] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 669] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 669] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 669] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 669] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 669] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 669] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 669] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 669] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 669] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 669] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 669] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 669] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 669] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 669] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 669] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 669] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 669] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 669] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 669] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 669] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 669] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 669] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 669] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 669] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 669] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 669] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 669] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 669] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 669] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 669] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 669] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 669] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 669] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 669] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 669] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 669] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 669] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 669] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 669] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 669] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 669] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 669] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 669] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 669] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 669] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 669] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 669] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 669] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 669] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 669] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 669] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 669] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 669] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 669] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 669] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 669] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 669] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 669] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 669] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 669] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 669] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 669] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 669] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 669] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 669] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 669] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 669] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 669] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 669] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 669] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 669] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 669] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 669] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 669] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 669] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 669] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 669] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 669] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 669] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 669] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 669] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 669] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 669] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 669] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 669] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 669] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 669] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 669] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 669] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 669] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 669] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 669] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 669] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 669] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 669] Brq: ---------------- -[DEBUG][time= 669] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 669] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 669] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 669] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 669] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 669] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 670] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 670] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 670] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 670] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 670] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 670] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 670] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 670] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 670] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 670] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 670] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 670] BusyTable_1: 91 is busy -[DEBUG][time= 670] BusyTable_1: 92 is busy -[DEBUG][time= 670] BusyTable_1: 93 is busy -[DEBUG][time= 670] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 670] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 670] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 670] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 670] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 670] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 670] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 670] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 670] BPUStage3: flushS3=0 -[DEBUG][time= 670] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 670] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 670] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 670] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 670] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 670] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 670] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 670] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 670] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 670] Ibuffer: Deque: -[DEBUG][time= 670] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 670] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 670] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 670] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 670] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 670] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 670] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 670] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 670] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 670] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 670] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 670] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 670] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 670] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 670] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 670] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 670] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 670] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 670] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 670] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 670] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 670] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 670] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 670] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 670] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 670] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 670] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 670] Dispatch2: regfile 0 from 0 -[DEBUG][time= 670] Dispatch2: regfile 1 from 0 -[DEBUG][time= 670] Dispatch2: regfile 2 from 1 -[DEBUG][time= 670] Dispatch2: regfile 3 from 1 -[DEBUG][time= 670] Dispatch2: regfile 4 from 2 -[DEBUG][time= 670] Dispatch2: regfile 5 from 2 -[DEBUG][time= 670] Dispatch2: regfile 6 from 3 -[DEBUG][time= 670] Dispatch2: regfile 7 from 3 -[DEBUG][time= 670] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 670] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 670] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 670] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 670] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 670] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 670] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 670] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 670] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 670] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 670] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 670] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 670] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 670] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 670] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 670] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 670] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 670] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 670] Roq: CSR block should only happen in s_idle -[DEBUG][time= 670] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 670] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 670] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 670] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 670] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 670] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 670] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 670] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 670] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 670] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 670] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 670] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 670] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 670] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 670] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 670] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 670] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 670] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 670] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 670] LsExeUnit: retiringStore now... -[DEBUG][time= 670] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 670] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 670] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 670] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 670] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 670] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 670] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 670] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 670] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 670] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 670] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 670] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 670] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 670] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 670] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 670] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 670] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 670] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 670] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 670] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 670] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 670] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 670] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 670] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 670] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 670] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 670] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 670] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 670] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 670] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 670] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 670] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 670] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 670] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 670] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 670] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 670] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 670] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 670] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 670] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 670] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 670] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 670] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 670] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 670] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 670] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 670] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 670] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 670] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 670] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 670] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 670] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 670] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 670] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 670] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 670] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 670] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 670] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 670] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 670] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 670] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 670] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 670] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 670] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 670] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 670] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 670] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 670] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 670] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 670] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 670] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 670] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 670] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 670] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 670] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 670] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 670] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 670] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 670] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 670] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 670] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 670] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 670] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 670] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 670] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 670] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 670] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 670] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 670] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 670] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 670] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 670] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 670] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 670] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 670] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 670] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 670] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 670] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 670] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 670] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 670] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 670] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 670] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 670] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 670] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 670] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 670] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 670] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 670] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 670] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 670] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 670] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 670] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 670] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 670] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 670] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 670] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 670] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 670] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 670] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 670] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 670] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 670] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 670] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 670] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 670] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 670] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 670] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 670] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 670] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 670] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 670] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 670] Brq: ---------------- -[DEBUG][time= 670] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 670] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 670] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 670] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 670] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 670] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 671] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 671] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 671] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 671] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 671] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 671] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 671] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 671] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 671] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 671] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 671] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 671] BusyTable_1: 91 is busy -[DEBUG][time= 671] BusyTable_1: 92 is busy -[DEBUG][time= 671] BusyTable_1: 93 is busy -[DEBUG][time= 671] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 671] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 671] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 671] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 671] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 671] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 671] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 671] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 671] BPUStage3: flushS3=0 -[DEBUG][time= 671] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 671] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 671] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 671] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 671] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 671] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 671] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 671] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 671] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 671] Ibuffer: Deque: -[DEBUG][time= 671] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 671] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 671] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 671] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 671] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 671] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 671] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 671] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 671] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 671] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 671] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 671] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 671] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 671] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 671] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 671] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 671] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 671] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 671] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 671] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 671] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 671] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 671] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 671] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 671] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 671] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 671] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 671] Dispatch2: regfile 0 from 0 -[DEBUG][time= 671] Dispatch2: regfile 1 from 0 -[DEBUG][time= 671] Dispatch2: regfile 2 from 1 -[DEBUG][time= 671] Dispatch2: regfile 3 from 1 -[DEBUG][time= 671] Dispatch2: regfile 4 from 2 -[DEBUG][time= 671] Dispatch2: regfile 5 from 2 -[DEBUG][time= 671] Dispatch2: regfile 6 from 3 -[DEBUG][time= 671] Dispatch2: regfile 7 from 3 -[DEBUG][time= 671] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 671] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 671] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 671] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 671] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 671] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 671] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 671] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 671] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 671] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 671] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 671] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 671] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 671] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 671] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 671] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 671] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 671] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 671] Roq: CSR block should only happen in s_idle -[DEBUG][time= 671] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 671] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 671] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 671] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 671] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 671] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 671] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 671] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 671] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 671] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 671] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 671] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 671] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 671] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 671] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 671] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 671] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 671] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 671] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 671] LsExeUnit: retiringStore now... -[DEBUG][time= 671] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 671] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 671] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 671] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 671] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 671] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 671] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 671] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 671] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 671] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 671] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 671] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 671] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 671] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 671] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 671] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 671] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 671] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 671] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 671] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 671] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 671] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 671] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 671] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 671] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 671] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 671] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 671] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 671] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 671] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 671] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 671] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 671] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 671] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 671] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 671] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 671] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 671] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 671] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 671] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 671] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 671] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 671] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 671] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 671] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 671] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 671] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 671] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 671] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 671] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 671] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 671] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 671] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 671] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 671] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 671] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 671] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 671] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 671] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 671] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 671] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 671] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 671] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 671] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 671] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 671] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 671] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 671] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 671] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 671] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 671] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 671] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 671] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 671] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 671] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 671] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 671] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 671] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 671] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 671] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 671] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 671] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 671] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 671] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 671] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 671] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 671] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 671] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 671] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 671] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 671] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 671] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 671] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 671] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 671] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 671] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 671] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 671] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 671] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 671] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 671] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 671] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 671] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 671] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 671] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 671] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 671] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 671] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 671] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 671] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 671] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 671] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 671] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 671] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 671] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 671] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 671] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 671] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 671] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 671] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 671] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 671] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 671] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 671] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 671] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 671] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 671] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 671] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 671] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 671] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 671] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 671] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 671] Brq: ---------------- -[DEBUG][time= 671] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 671] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 671] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 671] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 671] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 671] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 672] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 672] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 672] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 672] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 672] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 672] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 672] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 672] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 672] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 672] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 672] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 672] BusyTable_1: 91 is busy -[DEBUG][time= 672] BusyTable_1: 92 is busy -[DEBUG][time= 672] BusyTable_1: 93 is busy -[DEBUG][time= 672] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 672] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 672] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 672] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 672] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 672] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 672] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 672] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 672] BPUStage3: flushS3=0 -[DEBUG][time= 672] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 672] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 672] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 672] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 672] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 672] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 672] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 672] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 672] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 672] Ibuffer: Deque: -[DEBUG][time= 672] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 672] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 672] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 672] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 672] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 672] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 672] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 672] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 672] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 672] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 672] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 672] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 672] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 672] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 672] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 672] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 672] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 672] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 672] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 672] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 672] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 672] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 672] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 672] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 672] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 672] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 672] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 672] Dispatch2: regfile 0 from 0 -[DEBUG][time= 672] Dispatch2: regfile 1 from 0 -[DEBUG][time= 672] Dispatch2: regfile 2 from 1 -[DEBUG][time= 672] Dispatch2: regfile 3 from 1 -[DEBUG][time= 672] Dispatch2: regfile 4 from 2 -[DEBUG][time= 672] Dispatch2: regfile 5 from 2 -[DEBUG][time= 672] Dispatch2: regfile 6 from 3 -[DEBUG][time= 672] Dispatch2: regfile 7 from 3 -[DEBUG][time= 672] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 672] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 672] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 672] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 672] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 672] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 672] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 672] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 672] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 672] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 672] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 672] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 672] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 672] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 672] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 672] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 672] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 672] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 672] Roq: CSR block should only happen in s_idle -[DEBUG][time= 672] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 672] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 672] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 672] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 672] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 672] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 672] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 672] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 672] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 672] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 672] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 672] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 672] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 672] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 672] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 672] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 672] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 672] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 672] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 672] LsExeUnit: retiringStore now... -[DEBUG][time= 672] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 672] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 672] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 672] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 672] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 672] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 672] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 672] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 672] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 672] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 672] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 672] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 672] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 672] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 672] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 672] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 672] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 672] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 672] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 672] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 672] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 672] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 672] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 672] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 672] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 672] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 672] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 672] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 672] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 672] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 672] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 672] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 672] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 672] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 672] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 672] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 672] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 672] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 672] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 672] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 672] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 672] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 672] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 672] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 672] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 672] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 672] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 672] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 672] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 672] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 672] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 672] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 672] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 672] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 672] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 672] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 672] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 672] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 672] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 672] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 672] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 672] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 672] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 672] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 672] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 672] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 672] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 672] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 672] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 672] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 672] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 672] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 672] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 672] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 672] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 672] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 672] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 672] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 672] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 672] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 672] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 672] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 672] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 672] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 672] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 672] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 672] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 672] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 672] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 672] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 672] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 672] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 672] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 672] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 672] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 672] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 672] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 672] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 672] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 672] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 672] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 672] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 672] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 672] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 672] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 672] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 672] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 672] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 672] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 672] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 672] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 672] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 672] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 672] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 672] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 672] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 672] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 672] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 672] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 672] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 672] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 672] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 672] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 672] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 672] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 672] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 672] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 672] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 672] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 672] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 672] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 672] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 672] Brq: ---------------- -[DEBUG][time= 672] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 672] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 672] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 672] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 672] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 672] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 673] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 673] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 673] XSCore: c-mem(0 0 00000f40| 1 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f48| 0 1) tlb (1 0 0080000f48| 0 1) -[DEBUG][time= 673] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 673] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 673] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 673] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 673] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 673] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 673] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 673] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 673] BusyTable_1: 91 is busy -[DEBUG][time= 673] BusyTable_1: 92 is busy -[DEBUG][time= 673] BusyTable_1: 93 is busy -[DEBUG][time= 673] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 673] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 673] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 673] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 673] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 673] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 673] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 673] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 673] BPUStage3: flushS3=0 -[DEBUG][time= 673] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 673] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 673] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 673] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 673] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 673] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 673] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 673] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 673] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 673] Ibuffer: Deque: -[DEBUG][time= 673] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 673] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 673] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 673] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 673] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 673] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 673] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 673] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 673] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 673] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 673] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 673] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 673] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 673] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 673] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 673] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 673] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 673] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 673] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 673] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 673] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 673] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 673] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 673] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 673] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 673] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 673] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 673] Dispatch2: regfile 0 from 0 -[DEBUG][time= 673] Dispatch2: regfile 1 from 0 -[DEBUG][time= 673] Dispatch2: regfile 2 from 1 -[DEBUG][time= 673] Dispatch2: regfile 3 from 1 -[DEBUG][time= 673] Dispatch2: regfile 4 from 2 -[DEBUG][time= 673] Dispatch2: regfile 5 from 2 -[DEBUG][time= 673] Dispatch2: regfile 6 from 3 -[DEBUG][time= 673] Dispatch2: regfile 7 from 3 -[DEBUG][time= 673] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 673] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 673] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 673] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 673] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 673] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 673] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 673] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 673] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 673] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 673] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 673] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 673] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 673] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 673] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 673] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 673] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 673] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 673] Roq: CSR block should only happen in s_idle -[DEBUG][time= 673] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 673] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 673] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 673] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 673] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 673] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 673] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 673] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 673] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 673] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 673] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 673] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 673] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 673] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 673] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 673] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 673] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 673] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 673] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 673] LsExeUnit: retiringStore now... -[DEBUG][time= 673] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 673] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 673] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 673] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 673] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 673] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 673] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 673] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 673] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 673] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 673] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 673] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 673] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 673] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 673] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 673] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 673] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 673] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 673] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 673] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 673] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 673] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 673] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 673] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 673] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 673] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 673] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 673] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 673] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 673] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 673] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 673] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 673] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 673] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 673] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 673] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 673] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 673] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 673] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 673] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 673] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 673] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 673] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 673] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 673] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 673] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 673] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 673] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 673] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 673] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 673] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 673] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 673] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 673] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 673] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 673] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 673] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 673] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 673] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 673] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 673] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 673] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 673] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 673] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 673] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 673] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 673] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 673] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 673] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 673] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 673] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 673] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 673] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 673] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 673] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 673] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 673] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 673] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 673] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 673] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 673] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 673] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 673] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 673] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 673] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 673] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 673] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 673] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 673] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 673] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 673] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 673] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 673] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 673] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 673] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 673] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 673] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 673] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 673] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 673] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 673] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 673] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 673] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 673] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 673] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 673] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 673] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 673] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 673] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 673] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 673] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 673] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 673] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 673] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 673] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 673] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 673] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 673] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 673] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 673] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 673] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 673] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 673] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 673] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 673] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 673] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 673] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 673] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 673] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 673] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 673] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 673] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 673] Brq: ---------------- -[DEBUG][time= 673] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 673] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 673] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 673] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 673] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 673] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 674] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 674] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 674] XSCore: c-mem(0 0 00000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 80000f48| 1 1) tlb (1 0 0080000f48| 1 1) -[DEBUG][time= 674] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 674] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 674] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 674] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 674] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 674] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 674] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 674] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 674] BusyTable_1: 91 is busy -[DEBUG][time= 674] BusyTable_1: 92 is busy -[DEBUG][time= 674] BusyTable_1: 93 is busy -[DEBUG][time= 674] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 674] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 674] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 674] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 674] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 674] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 674] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 674] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 674] BPUStage3: flushS3=0 -[DEBUG][time= 674] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 674] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 674] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 674] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 674] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 674] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 674] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 674] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 674] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 674] Ibuffer: Deque: -[DEBUG][time= 674] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 674] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 674] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 674] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 674] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 674] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 674] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 674] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 674] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 674] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 674] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 674] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 674] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 674] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 674] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 674] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 674] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 674] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 674] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 674] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 674] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 674] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 674] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 674] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 674] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 674] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 674] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 674] Dispatch2: regfile 0 from 0 -[DEBUG][time= 674] Dispatch2: regfile 1 from 0 -[DEBUG][time= 674] Dispatch2: regfile 2 from 1 -[DEBUG][time= 674] Dispatch2: regfile 3 from 1 -[DEBUG][time= 674] Dispatch2: regfile 4 from 2 -[DEBUG][time= 674] Dispatch2: regfile 5 from 2 -[DEBUG][time= 674] Dispatch2: regfile 6 from 3 -[DEBUG][time= 674] Dispatch2: regfile 7 from 3 -[DEBUG][time= 674] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 674] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 674] Dispatch2: pc 0x0080000c74 waits at Ls dispatch queue with index 0 -[DEBUG][time= 674] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 674] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 674] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 674] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 674] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 674] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 674] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 674] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 674] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 674] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 674] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 674] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 674] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 674] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 674] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 674] Roq: CSR block should only happen in s_idle -[DEBUG][time= 674] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 674] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 674] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 674] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 674] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 674] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 674] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 674] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 674] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 674] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 674] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 674] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 674] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 674] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 674] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 674] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 674] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 674] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 674] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 674] LsExeUnit: retiringStore now... -[INFO ][time= 674] LsExeUnit: [DMEM RESP] data 0000000000000007 -[DEBUG][time= 674] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 674] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 674] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 674] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 674] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 674] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 674] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 674] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 674] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 674] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 674] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 674] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 674] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 674] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 674] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 674] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 674] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 674] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 674] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 674] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 674] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 674] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 674] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 674] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 674] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 674] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 674] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 674] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 674] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 674] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 674] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 674] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 674] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 674] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 674] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 674] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 674] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 674] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 674] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 674] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 674] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 674] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 674] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 674] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 674] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 674] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 674] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 674] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 674] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 674] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 674] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 674] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 674] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 674] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 674] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 674] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 674] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 674] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 674] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 674] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 674] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 674] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 674] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 674] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 674] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 674] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 674] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 674] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 674] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 674] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 674] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 674] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 674] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 674] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 674] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 674] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 674] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 674] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 674] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 674] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 674] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 674] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 674] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 674] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 674] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 674] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 674] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 674] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 674] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 674] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 674] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 674] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 674] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 674] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 674] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 674] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 674] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 674] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 674] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 674] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 674] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 674] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 674] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 674] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 674] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 674] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 674] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 674] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 674] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 674] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 674] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 674] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 674] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 674] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 674] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 674] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 674] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 674] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 674] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 674] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 674] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 674] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 674] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 674] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 674] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 674] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 674] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 674] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 674] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 674] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 674] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 674] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 674] Brq: ---------------- -[DEBUG][time= 674] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 674] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 674] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 674] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 674] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 674] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 675] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 675] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 675] XSCore: c-mem(0 0 00000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f58| 0 1) -[DEBUG][time= 675] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 675] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 675] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 675] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 675] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 675] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 675] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 675] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 675] BusyTable_1: 91 is busy -[DEBUG][time= 675] BusyTable_1: 92 is busy -[DEBUG][time= 675] BusyTable_1: 93 is busy -[DEBUG][time= 675] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 675] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 675] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 675] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 675] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 675] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 675] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 675] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 675] BPUStage3: flushS3=0 -[DEBUG][time= 675] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 675] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 675] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 675] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 675] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 675] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 675] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 675] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 675] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 675] Ibuffer: Deque: -[DEBUG][time= 675] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 675] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 675] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 675] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 675] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 675] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 675] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 675] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 675] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 675] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 675] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 675] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 675] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 675] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 675] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 675] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 675] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 675] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 675] Dispatch2: ls dp queue 0: 0080000c74 type 1101 -[DEBUG][time= 675] Dispatch2: ls dp queue 1: 0080000c78 type 1101 -[DEBUG][time= 675] Dispatch2: ls dp queue 2: 0080000c7c type 1101 -[DEBUG][time= 675] Dispatch2: ls dp queue 3: 0080000c80 type 1101 -[DEBUG][time= 675] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 675] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 675] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 675] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 675] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 675] Dispatch2: regfile 0 from 0 -[DEBUG][time= 675] Dispatch2: regfile 1 from 0 -[DEBUG][time= 675] Dispatch2: regfile 2 from 1 -[DEBUG][time= 675] Dispatch2: regfile 3 from 1 -[DEBUG][time= 675] Dispatch2: regfile 4 from 2 -[DEBUG][time= 675] Dispatch2: regfile 5 from 2 -[DEBUG][time= 675] Dispatch2: regfile 6 from 3 -[DEBUG][time= 675] Dispatch2: regfile 7 from 3 -[DEBUG][time= 675] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 9: addr 76, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 12: addr 76, state 1 -[DEBUG][time= 675] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 675] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 675] Dispatch2: pc 0x0080000c74 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 675] Dispatch2: pc 0x0080000c74 leaves Ls dispatch queue with nroq 35 -[DEBUG][time= 675] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 1 -[DEBUG][time= 675] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 2 -[DEBUG][time= 675] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 3 -[INFO ][time= 675] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 675] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 675] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 675] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 675] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 675] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 675] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 675] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 675] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 675] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 675] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 675] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 675] Roq: CSR block should only happen in s_idle -[DEBUG][time= 675] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 675] Roq: writebacked 1 insts -[INFO ][time= 675] Roq: writebacked pc 0x0080000034 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 675] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 675] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 675] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 675] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 v -[DEBUG][time= 675] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 675] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 675] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 675] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 675] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 675] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 675] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 3 -> 4) -[DEBUG][time= 675] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 3), tail = (1, 7), -[DEBUG][time= 675] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f58 size 3 data 0000000000000005 mask 00ff cmd 0 -[DEBUG][time= 675] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 675] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 675] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 675] LsExeUnit: LSU fire: pc 0080000034 addr 0000000080000f58 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 675] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 675] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 675] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 675] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 675] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 675] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 675] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 675] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 675] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 675] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 675] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 675] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 675] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 675] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 675] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 675] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 675] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 675] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 675] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 675] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 675] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 675] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 675] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 675] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 675] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 675] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 675] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 675] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 675] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 675] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 675] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 675] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 675] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 675] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 675] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 675] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 675] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 675] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 675] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 675] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 675] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 675] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 675] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 675] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 675] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 675] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 675] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 675] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 675] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 675] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 675] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 675] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 675] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 675] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 675] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 675] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 675] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 675] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 675] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 675] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 675] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 675] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 675] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 675] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 675] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 675] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 675] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 675] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 675] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 675] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 675] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 675] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 675] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 675] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 675] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 675] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 675] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 675] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 675] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 675] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 675] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 675] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 675] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 675] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 675] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 675] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 675] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 675] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 675] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 675] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 675] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 675] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 675] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 675] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 675] IssueQueue_7: EnqCtrl(1 1) enqSelIq:3 Psrc/Rdy( 85:1 76:1 0:0) Dest: 32 oldDest: 0 pc:0080000c74 roqIdx:23 -[INFO ][time= 675] IssueQueue_7: Deq:(1 1) [ 70|0000000080000f50][ 71|0000000000000005][ 0|0000000000000000] pdest: 32 pc:0080000034 roqIdx:07 -[DEBUG][time= 675] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 675] IssueQueue_7: FireStage:Out(1 1) src1( 70|0000000080000f50) src2( 71|0000000000000005) src3( 0|0000000000000000) deqFlush:0 pc:0080000034 roqIdx: 7 -[DEBUG][time= 675] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 675] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 675] IssueQueue_7: 3 |1|1| 70|1|0000000080000f50| 72|1|0000000000000006| 0|0|0000000000000000| 8 |0080000038|08 -[DEBUG][time= 675] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 675] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 675] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 675] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 675] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 675] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 675] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 675] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 675] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 675] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 675] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 675] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 675] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 675] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 675] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 675] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 675] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 675] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 675] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 675] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 675] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 675] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 675] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 675] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 675] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 675] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 675] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 675] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 675] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 675] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 675] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 675] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 675] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 675] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 675] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 675] Brq: ---------------- -[DEBUG][time= 675] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 675] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 675] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 675] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 675] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 675] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 676] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 676] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 676] XSCore: c-mem(0 0 00000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f48| 0 1) tlb (1 1 0080000f48| 0 1) -[DEBUG][time= 676] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 676] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 676] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 676] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 676] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 676] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 676] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 676] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 676] BusyTable_1: 91 is busy -[DEBUG][time= 676] BusyTable_1: 92 is busy -[DEBUG][time= 676] BusyTable_1: 93 is busy -[DEBUG][time= 676] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 676] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 676] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 676] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 676] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 676] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 676] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 676] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 676] BPUStage3: flushS3=0 -[DEBUG][time= 676] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 676] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 676] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 676] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 676] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 676] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 676] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 676] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 676] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 676] Ibuffer: Deque: -[DEBUG][time= 676] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 676] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 676] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 676] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 676] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 676] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 676] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 676] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 676] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 676] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 676] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 676] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 676] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 676] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 676] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 676] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 676] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 676] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 676] Dispatch2: ls dp queue 0: 0080000c78 type 1101 -[DEBUG][time= 676] Dispatch2: ls dp queue 1: 0080000c7c type 1101 -[DEBUG][time= 676] Dispatch2: ls dp queue 2: 0080000c80 type 1101 -[DEBUG][time= 676] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 676] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 676] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 676] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 676] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 676] Dispatch2: regfile 0 from 0 -[DEBUG][time= 676] Dispatch2: regfile 1 from 0 -[DEBUG][time= 676] Dispatch2: regfile 2 from 1 -[DEBUG][time= 676] Dispatch2: regfile 3 from 1 -[DEBUG][time= 676] Dispatch2: regfile 4 from 2 -[DEBUG][time= 676] Dispatch2: regfile 5 from 2 -[DEBUG][time= 676] Dispatch2: regfile 6 from 3 -[DEBUG][time= 676] Dispatch2: regfile 7 from 3 -[DEBUG][time= 676] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 9: addr 75, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 12: addr 75, state 1 -[DEBUG][time= 676] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 676] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 0 -[DEBUG][time= 676] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 1 -[DEBUG][time= 676] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 2 -[DEBUG][time= 676] Dispatch2: pc 0x0080000c74 reads operands from ( 8, 85, 0000000080008f80), ( 9, 76, 0000000080000f78), ( 0, 0, 0000000000000000) -[INFO ][time= 676] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 676] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 676] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 676] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 676] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 676] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 676] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 676] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 676] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 676] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 676] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 676] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 676] Roq: CSR block should only happen in s_idle -[DEBUG][time= 676] Roq: (ready, valid): (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 676] Roq: retired pc 0080000034 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 676] Roq: retired 1 insts -[DEBUG][time= 676] Roq: head 1: 7 tail 0: 7 -[DEBUG][time= 676] Roq: wwvvvvvwvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 676] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 676] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 w -[DEBUG][time= 676] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 676] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 676] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 676] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 676] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 676] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 676] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 4), tail = (1, 7), -[DEBUG][time= 676] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 676] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 676] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 676] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 676] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 676] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 676] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 676] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 2 emptySlot 3 -[DEBUG][time= 676] LsExeUnit: retiringStore now... -[INFO ][time= 676] LsExeUnit: [DMEM STORE REQ] addr 0x80000f48 wdata 0x0000000000000007 size 3 -[DEBUG][time= 676] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 676] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 676] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 676] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 676] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 676] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 676] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 676] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 676] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 676] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 676] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 676] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 676] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 676] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 676] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 676] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 676] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 676] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 676] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 676] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 676] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 676] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 676] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 676] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 676] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 676] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 676] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 676] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 676] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 676] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 676] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 676] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 676] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 676] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 676] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 676] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 676] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 676] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 676] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 676] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 676] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 676] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 676] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 676] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 676] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 676] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 676] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 676] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 676] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 676] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 676] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 676] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 676] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 676] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 676] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 676] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 676] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 676] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 676] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 676] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 676] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 676] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 676] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 676] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 676] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 676] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 676] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 676] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 676] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 676] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 676] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 676] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 676] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 676] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 676] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 676] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 676] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 676] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 676] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 676] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 676] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 676] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 676] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 676] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 676] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 676] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 676] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 676] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 676] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 676] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 676] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 676] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 676] IssueQueue_7: EnqData: src1:0000000080008f80 src2:0000000080000f78 src3:0000000000000000 pc:0080000c74 roqIdx:23(for last cycle's Ctrl) -[DEBUG][time= 676] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 676] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 72|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx: 8 -[DEBUG][time= 676] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 676] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 676] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 676] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 676] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 676] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 676] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 676] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 676] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 676] IssueQueue_7: 3 |1|1| 85|1|0000000080000f50| 76|1|0000000000000006| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 676] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 676] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 676] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 676] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 676] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 676] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 676] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 676] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 676] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 676] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 676] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 676] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 676] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 676] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 676] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 676] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 676] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 676] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 676] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 676] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 676] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 676] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 676] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 676] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 676] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 676] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 676] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 676] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 676] Brq: ---------------- -[DEBUG][time= 676] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 676] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 676] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 676] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 676] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 676] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 677] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 677] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 677] XSCore: c-mem(0 0 00000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f48| 0 1) -[DEBUG][time= 677] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 677] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 677] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 677] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 677] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 677] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 677] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 677] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 677] BusyTable_1: 91 is busy -[DEBUG][time= 677] BusyTable_1: 92 is busy -[DEBUG][time= 677] BusyTable_1: 93 is busy -[DEBUG][time= 677] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 677] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 677] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 677] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 677] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 677] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 677] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 677] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 677] BPUStage3: flushS3=0 -[DEBUG][time= 677] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 677] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 677] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 677] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 677] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 677] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 677] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 677] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 677] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 677] Ibuffer: Deque: -[DEBUG][time= 677] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 677] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 677] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 677] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 677] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 677] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 677] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 677] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 677] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 677] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 677] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 677] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 677] Dispatch1: pc 0x0080000c84 accepted by queue 0 0 1 -[DEBUG][time= 677] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 677] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 677] Dispatch1: pc 0x0080000c84 receives nroq 39 -[DEBUG][time= 677] Dispatch1: v:1 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 677] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 677] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 677] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 677] Dispatch2: ls dp queue 0: 0080000c78 type 1101 -[DEBUG][time= 677] Dispatch2: ls dp queue 1: 0080000c7c type 1101 -[DEBUG][time= 677] Dispatch2: ls dp queue 2: 0080000c80 type 1101 -[DEBUG][time= 677] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 677] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 677] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 677] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 677] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 677] Dispatch2: regfile 0 from 0 -[DEBUG][time= 677] Dispatch2: regfile 1 from 0 -[DEBUG][time= 677] Dispatch2: regfile 2 from 1 -[DEBUG][time= 677] Dispatch2: regfile 3 from 1 -[DEBUG][time= 677] Dispatch2: regfile 4 from 2 -[DEBUG][time= 677] Dispatch2: regfile 5 from 2 -[DEBUG][time= 677] Dispatch2: regfile 6 from 3 -[DEBUG][time= 677] Dispatch2: regfile 7 from 3 -[DEBUG][time= 677] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 9: addr 75, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 12: addr 75, state 1 -[DEBUG][time= 677] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 677] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 0 -[DEBUG][time= 677] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 1 -[DEBUG][time= 677] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 2 -[INFO ][time= 677] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 677] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 677] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 677] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 677] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 677] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 677] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 677] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 677] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 677] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 677] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 677] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 677] Roq: CSR block should only happen in s_idle -[DEBUG][time= 677] Roq: (ready, valid): (1, 0) (1, 0) (1, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 677] Roq: dispatched 1 insts -[DEBUG][time= 677] Roq: head 1: 7 tail 0: 8 -[DEBUG][time= 677] Roq: wwvvvvv-vvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 677] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 677] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000034 - -[DEBUG][time= 677] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 677] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 677] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 677] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 677] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 677] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 677] DispatchQueue_2: LsDpQ: num_enq = 1, tail = ( 7 -> 8) -[DEBUG][time= 677] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 4), tail = (1, 7), -[DEBUG][time= 677] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f48 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 677] LsExeUnit: forwarding data from stq, addr 0000000080000f48 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 677] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000040 addr 0000000080000f48 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 677] LsExeUnit: sbuffer id 1 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 677] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 677] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 677] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 677] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 677] LsExeUnit: retiringStore now... -[DEBUG][time= 677] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 677] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 677] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 677] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 677] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 677] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 677] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 677] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 677] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 677] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 677] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 677] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 677] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 677] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 677] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 677] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 677] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 677] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 677] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 677] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 677] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 677] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 677] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 677] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 677] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 677] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 677] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 677] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 677] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 677] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 677] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 677] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 677] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 677] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 677] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 677] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 677] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 677] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 677] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 677] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 677] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 677] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 677] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 677] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 677] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 677] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 677] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 677] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 677] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 677] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 677] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 677] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 677] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 677] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 677] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 677] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 677] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 677] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 677] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 677] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 677] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 677] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 677] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 677] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 677] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 677] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 677] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 677] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 677] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 677] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 677] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 677] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 677] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 677] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 677] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 677] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 677] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 677] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 677] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 677] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 677] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 677] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 677] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 677] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 677] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 677] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 677] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 677] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 677] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 677] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 677] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 677] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 677] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 677] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 72|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx: 8 -[DEBUG][time= 677] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 677] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 677] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 677] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 677] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 677] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 677] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 677] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 677] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 677] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 677] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 677] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 677] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 677] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 677] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 677] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 677] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 677] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 677] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 677] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 677] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 677] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 677] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 677] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 677] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 677] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 677] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 677] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 677] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 677] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 677] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 677] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 677] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 677] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 677] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 677] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 677] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 677] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 677] Brq: ---------------- -[DEBUG][time= 677] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 677] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 677] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 677] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 677] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 677] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 678] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 678] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 678] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f50| 1 1) tlb (1 0 0080000f50| 1 1) -[DEBUG][time= 678] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 678] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 678] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 678] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 678] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 678] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 678] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 678] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 678] BusyTable_1: 91 is busy -[DEBUG][time= 678] BusyTable_1: 92 is busy -[DEBUG][time= 678] BusyTable_1: 93 is busy -[DEBUG][time= 678] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 678] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 678] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 678] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 678] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 678] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 678] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 678] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 678] BPUStage3: flushS3=0 -[DEBUG][time= 678] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 678] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 678] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 678] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 678] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 678] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 678] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 678] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 678] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 678] Ibuffer: Deque: -[DEBUG][time= 678] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 678] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 678] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 678] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 678] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 678] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 678] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 678] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 678] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 678] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 678] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 678] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 678] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 678] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 678] Dispatch1: v:0 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 678] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 678] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 678] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 678] Dispatch2: ls dp queue 0: 0080000c78 type 1101 -[DEBUG][time= 678] Dispatch2: ls dp queue 1: 0080000c7c type 1101 -[DEBUG][time= 678] Dispatch2: ls dp queue 2: 0080000c80 type 1101 -[DEBUG][time= 678] Dispatch2: ls dp queue 3: 0080000c84 type 1101 -[DEBUG][time= 678] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 678] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 678] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 678] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 678] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 678] Dispatch2: regfile 0 from 0 -[DEBUG][time= 678] Dispatch2: regfile 1 from 0 -[DEBUG][time= 678] Dispatch2: regfile 2 from 1 -[DEBUG][time= 678] Dispatch2: regfile 3 from 1 -[DEBUG][time= 678] Dispatch2: regfile 4 from 2 -[DEBUG][time= 678] Dispatch2: regfile 5 from 2 -[DEBUG][time= 678] Dispatch2: regfile 6 from 3 -[DEBUG][time= 678] Dispatch2: regfile 7 from 3 -[DEBUG][time= 678] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 9: addr 75, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 12: addr 75, state 1 -[DEBUG][time= 678] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 678] Dispatch2: pc 0x0080000c78 waits at Ls dispatch queue with index 0 -[DEBUG][time= 678] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 1 -[DEBUG][time= 678] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 2 -[DEBUG][time= 678] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 3 -[INFO ][time= 678] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 678] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 678] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 678] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 678] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 678] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 678] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 678] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 678] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 678] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 678] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 678] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 678] Roq: CSR block should only happen in s_idle -[DEBUG][time= 678] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[DEBUG][time= 678] Roq: head 1: 8 tail 0: 8 -[DEBUG][time= 678] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 678] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 678] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 678] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 678] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 678] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 678] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 678] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 678] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 678] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 4), tail = (1, 8), -[DEBUG][time= 678] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f50 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 678] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 678] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 678] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 678] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 678] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 678] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 678] LsExeUnit: retiringStore now... -[INFO ][time= 678] LsExeUnit: [DMEM RESP] data 0000000000000004 -[DEBUG][time= 678] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 678] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 678] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 678] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 678] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 678] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 678] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 678] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 678] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 678] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 678] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 678] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 678] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 678] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 678] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 678] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 678] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 678] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 678] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 678] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 678] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 678] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 678] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 678] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 678] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 678] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 678] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 678] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 678] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 678] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 678] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 678] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 678] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 678] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 678] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 678] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 678] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 678] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 678] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 678] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 678] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 678] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 678] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 678] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 678] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 678] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 678] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 678] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 678] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 678] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 678] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 678] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 678] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 678] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 678] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 678] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 678] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 678] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 678] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 678] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 678] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 678] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 678] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 678] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 678] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 678] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 678] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 678] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 678] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 678] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 678] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 678] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 678] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 678] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 678] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 678] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 678] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 678] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 678] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 678] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 678] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 678] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 678] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 678] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 678] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 678] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 678] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 678] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 678] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 678] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 678] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 678] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 678] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 678] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 72|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx: 8 -[DEBUG][time= 678] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 678] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 678] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 678] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 678] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 678] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 678] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 678] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 678] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 678] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 678] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 678] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 678] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 678] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 678] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 678] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 678] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 678] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 678] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 678] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 678] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 678] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 678] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 678] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 678] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 678] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 678] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 678] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 678] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 678] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 678] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 678] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 678] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 678] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 678] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 678] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 678] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 678] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 678] Brq: ---------------- -[DEBUG][time= 678] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 678] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 678] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 678] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 678] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 678] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 679] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 679] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 679] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f60| 0 1) -[DEBUG][time= 679] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 679] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 679] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 679] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 679] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 679] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 679] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 679] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 679] BusyTable_1: 91 is busy -[DEBUG][time= 679] BusyTable_1: 92 is busy -[DEBUG][time= 679] BusyTable_1: 93 is busy -[DEBUG][time= 679] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 679] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 679] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 679] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 679] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 679] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 679] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 679] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 679] BPUStage3: flushS3=0 -[DEBUG][time= 679] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 679] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 679] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 679] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 679] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 679] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 679] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 679] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 679] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 679] Ibuffer: Deque: -[DEBUG][time= 679] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 679] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 679] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 679] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 679] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 679] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 679] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 679] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 679] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 679] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 679] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 679] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 679] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 679] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 679] Dispatch1: v:0 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 679] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 679] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 679] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 679] Dispatch2: ls dp queue 0: 0080000c78 type 1101 -[DEBUG][time= 679] Dispatch2: ls dp queue 1: 0080000c7c type 1101 -[DEBUG][time= 679] Dispatch2: ls dp queue 2: 0080000c80 type 1101 -[DEBUG][time= 679] Dispatch2: ls dp queue 3: 0080000c84 type 1101 -[DEBUG][time= 679] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 679] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 679] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 679] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 679] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 679] Dispatch2: regfile 0 from 0 -[DEBUG][time= 679] Dispatch2: regfile 1 from 0 -[DEBUG][time= 679] Dispatch2: regfile 2 from 1 -[DEBUG][time= 679] Dispatch2: regfile 3 from 1 -[DEBUG][time= 679] Dispatch2: regfile 4 from 2 -[DEBUG][time= 679] Dispatch2: regfile 5 from 2 -[DEBUG][time= 679] Dispatch2: regfile 6 from 3 -[DEBUG][time= 679] Dispatch2: regfile 7 from 3 -[DEBUG][time= 679] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 9: addr 75, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 12: addr 75, state 1 -[DEBUG][time= 679] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 679] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 679] Dispatch2: pc 0x0080000c78 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 679] Dispatch2: pc 0x0080000c78 leaves Ls dispatch queue with nroq 36 -[DEBUG][time= 679] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 1 -[DEBUG][time= 679] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 2 -[DEBUG][time= 679] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 3 -[INFO ][time= 679] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 679] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 679] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 679] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 679] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 679] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 679] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 679] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 679] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 679] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 679] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 679] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 679] Roq: CSR block should only happen in s_idle -[DEBUG][time= 679] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[INFO ][time= 679] Roq: writebacked 1 insts -[INFO ][time= 679] Roq: writebacked pc 0x0080000038 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 679] Roq: head 1: 8 tail 0: 8 -[DEBUG][time= 679] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 679] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 679] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 679] Roq: 0080000038 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 679] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 679] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 679] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 679] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 679] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 679] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 4 -> 5) -[DEBUG][time= 679] DispatchQueue_2: LsDpQ: valid_entries = 4, head = (1, 4), tail = (1, 8), -[DEBUG][time= 679] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f60 size 3 data 0000000000000006 mask 00ff cmd 0 -[DEBUG][time= 679] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 679] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 679] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 679] LsExeUnit: LSU fire: pc 0080000038 addr 0000000080000f60 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 679] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 679] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 679] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 679] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 679] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 679] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 679] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 679] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 679] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 679] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 679] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 679] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 679] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 679] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 679] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 679] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 679] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 679] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 679] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 679] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 679] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 679] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 679] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 679] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 679] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 679] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 679] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 679] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 679] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 679] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 679] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 679] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 679] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 679] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 679] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 679] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 679] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 679] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 679] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 679] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 679] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 679] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 679] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 679] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 679] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 679] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 679] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 679] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 679] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 679] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 679] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 679] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 679] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 679] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 679] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 679] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 679] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 679] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 679] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 679] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 679] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 679] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 679] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 679] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 679] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 679] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 679] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 679] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 679] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 679] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 679] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 679] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 679] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 679] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 679] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 679] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 679] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 679] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 679] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 679] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 679] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 679] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 679] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 679] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 679] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 679] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 679] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 679] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 679] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 679] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 679] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 679] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 679] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 679] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 679] IssueQueue_7: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 85:1 75:1 0:0) Dest: 32 oldDest: 0 pc:0080000c78 roqIdx:24 -[INFO ][time= 679] IssueQueue_7: Deq:(1 1) [ 70|0000000080000f50][ 72|0000000000000006][ 0|0000000000000000] pdest: 32 pc:0080000038 roqIdx:08 -[DEBUG][time= 679] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 679] IssueQueue_7: FireStage:Out(1 1) src1( 70|0000000080000f50) src2( 72|0000000000000006) src3( 0|0000000000000000) deqFlush:0 pc:0080000038 roqIdx: 8 -[DEBUG][time= 679] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 679] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 679] IssueQueue_7: 0 |1|1| 70|1|0000000080000f50| 73|1|0000000000000007| 0|0|0000000000000000| 8 |008000003c|09 -[DEBUG][time= 679] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 679] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 679] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 679] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 679] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 679] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 679] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 679] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 679] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 679] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 679] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 679] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 679] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 679] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 679] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 679] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 679] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 679] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 679] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 679] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 679] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 679] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 679] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 679] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 679] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 679] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 679] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 679] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 679] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 679] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 679] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 679] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 679] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 679] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 679] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 679] Brq: ---------------- -[DEBUG][time= 679] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 679] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 679] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 679] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 679] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 679] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 680] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 680] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 680] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f50| 0 1) tlb (1 1 0080000f50| 0 1) -[DEBUG][time= 680] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 680] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 680] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 680] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 680] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 680] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 680] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 680] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 680] BusyTable_1: 91 is busy -[DEBUG][time= 680] BusyTable_1: 92 is busy -[DEBUG][time= 680] BusyTable_1: 93 is busy -[DEBUG][time= 680] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 680] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 680] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 680] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 680] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 680] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 680] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 680] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 680] BPUStage3: flushS3=0 -[DEBUG][time= 680] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 680] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 680] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 680] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 680] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 680] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 680] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 680] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 680] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 680] Ibuffer: Deque: -[DEBUG][time= 680] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 680] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 680] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 680] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 680] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 680] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 680] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 680] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 680] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 680] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 680] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 680] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 680] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 680] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 680] Dispatch1: v:0 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 680] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 680] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 680] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 680] Dispatch2: ls dp queue 0: 0080000c7c type 1101 -[DEBUG][time= 680] Dispatch2: ls dp queue 1: 0080000c80 type 1101 -[DEBUG][time= 680] Dispatch2: ls dp queue 2: 0080000c84 type 1101 -[DEBUG][time= 680] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 680] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 680] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 680] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 680] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 680] Dispatch2: regfile 0 from 0 -[DEBUG][time= 680] Dispatch2: regfile 1 from 0 -[DEBUG][time= 680] Dispatch2: regfile 2 from 1 -[DEBUG][time= 680] Dispatch2: regfile 3 from 1 -[DEBUG][time= 680] Dispatch2: regfile 4 from 2 -[DEBUG][time= 680] Dispatch2: regfile 5 from 2 -[DEBUG][time= 680] Dispatch2: regfile 6 from 3 -[DEBUG][time= 680] Dispatch2: regfile 7 from 3 -[DEBUG][time= 680] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 9: addr 46, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 12: addr 46, state 1 -[DEBUG][time= 680] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 680] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 0 -[DEBUG][time= 680] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 1 -[DEBUG][time= 680] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 2 -[DEBUG][time= 680] Dispatch2: pc 0x0080000c78 reads operands from ( 8, 85, 0000000080008f80), ( 9, 75, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 680] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 680] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 680] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 680] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 680] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 680] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 680] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 680] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 680] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 680] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 680] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 680] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 680] Roq: CSR block should only happen in s_idle -[DEBUG][time= 680] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[INFO ][time= 680] Roq: retired pc 0080000038 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 680] Roq: retired 1 insts -[DEBUG][time= 680] Roq: head 1: 8 tail 0: 8 -[DEBUG][time= 680] Roq: wwvvvvvvwvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 680] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 680] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 680] Roq: 0080000038 w 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 680] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 680] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 680] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 680] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 680] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 680] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 5), tail = (1, 8), -[DEBUG][time= 680] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f50 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 680] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 680] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 680] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 680] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 680] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 680] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 680] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 1 stqCommited 2 emptySlot 3 -[DEBUG][time= 680] LsExeUnit: retiringStore now... -[INFO ][time= 680] LsExeUnit: [DMEM STORE REQ] addr 0x80000f50 wdata 0x0000000000000004 size 3 -[DEBUG][time= 680] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 680] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 680] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 680] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 680] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 680] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 680] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 680] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 680] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 680] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 680] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 680] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 680] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 680] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 680] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 680] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 680] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 680] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 680] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 680] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 680] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 680] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 680] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 680] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 680] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 680] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 680] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 680] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 680] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 680] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 680] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 680] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 680] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 680] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 680] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 680] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 680] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 680] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 680] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 680] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 680] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 680] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 680] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 680] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 680] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 680] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 680] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 680] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 680] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 680] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 680] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 680] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 680] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 680] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 680] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 680] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 680] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 680] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 680] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 680] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 680] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 680] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 680] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 680] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 680] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 680] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 680] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 680] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 680] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 680] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 680] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 680] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 680] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 680] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 680] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 680] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 680] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 680] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 680] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 680] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 680] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 680] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 680] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 680] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 680] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 680] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 680] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 680] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 680] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 680] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 680] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 680] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 680] IssueQueue_7: EnqData: src1:0000000080008f80 src2:0000000000000005 src3:0000000000000000 pc:0080000c78 roqIdx:24(for last cycle's Ctrl) -[DEBUG][time= 680] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 680] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 73|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx: 9 -[DEBUG][time= 680] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 680] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 680] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 680] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 680] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 680] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 680] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 680] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 680] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 680] IssueQueue_7: 0 |1|1| 85|1|0000000080000f50| 75|1|0000000000000007| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 680] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 680] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 680] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 680] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 680] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 680] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 680] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 680] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 680] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 680] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 680] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 680] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 680] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 680] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 680] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 680] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 680] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 680] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 680] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 680] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 680] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 680] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 680] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 680] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 680] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 680] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 680] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 680] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 680] Brq: ---------------- -[DEBUG][time= 680] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 680] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 680] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 680] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 680] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 680] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 681] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 681] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 681] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f50| 0 1) -[DEBUG][time= 681] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 681] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 681] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 681] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 681] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 681] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 681] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 681] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 681] BusyTable_1: 91 is busy -[DEBUG][time= 681] BusyTable_1: 92 is busy -[DEBUG][time= 681] BusyTable_1: 93 is busy -[DEBUG][time= 681] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 681] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 681] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 681] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 681] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 681] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 681] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 681] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 681] BPUStage3: flushS3=0 -[DEBUG][time= 681] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 681] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 681] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 681] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 681] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 681] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 681] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 681] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 681] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 681] Ibuffer: Deque: -[DEBUG][time= 681] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 681] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 681] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 681] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 681] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 681] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 681] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 681] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 681] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 681] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 681] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 681] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 681] Dispatch1: pc 0x0080000c88 accepted by queue 1 0 0 -[DEBUG][time= 681] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 681] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 681] Dispatch1: v:0 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 681] Dispatch1: pc 0x0080000c88 receives nroq 40 -[DEBUG][time= 681] Dispatch1: v:1 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 681] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 681] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 681] Dispatch2: ls dp queue 0: 0080000c7c type 1101 -[DEBUG][time= 681] Dispatch2: ls dp queue 1: 0080000c80 type 1101 -[DEBUG][time= 681] Dispatch2: ls dp queue 2: 0080000c84 type 1101 -[DEBUG][time= 681] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 681] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 681] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 681] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 681] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 681] Dispatch2: regfile 0 from 0 -[DEBUG][time= 681] Dispatch2: regfile 1 from 0 -[DEBUG][time= 681] Dispatch2: regfile 2 from 1 -[DEBUG][time= 681] Dispatch2: regfile 3 from 1 -[DEBUG][time= 681] Dispatch2: regfile 4 from 2 -[DEBUG][time= 681] Dispatch2: regfile 5 from 2 -[DEBUG][time= 681] Dispatch2: regfile 6 from 3 -[DEBUG][time= 681] Dispatch2: regfile 7 from 3 -[DEBUG][time= 681] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 2: addr 36, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 6: addr 77, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 9: addr 46, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 12: addr 46, state 1 -[DEBUG][time= 681] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 681] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 0 -[DEBUG][time= 681] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 1 -[DEBUG][time= 681] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 2 -[INFO ][time= 681] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 681] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 681] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 681] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 681] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 681] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 681] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 681] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 681] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 681] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 681] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 681] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 681] Roq: CSR block should only happen in s_idle -[DEBUG][time= 681] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 1) (0, 1) (0, 1) -[INFO ][time= 681] Roq: dispatched 1 insts -[DEBUG][time= 681] Roq: head 1: 8 tail 0: 9 -[DEBUG][time= 681] Roq: wwvvvvvv-vvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 681] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 681] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 681] Roq: 0080000038 - 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 681] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 681] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 681] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 681] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 681] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 681] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 5), tail = (1, 8), -[DEBUG][time= 681] DispatchQueue: IntDpQ: num_enq = 1, tail = (14 -> 15) -[DEBUG][time= 681] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f50 size 3 data 0000000000000004 mask 00ff cmd 1 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 0 data 04 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 681] LsExeUnit: forwarding data from stq, addr 0000000080000f50 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 681] LsExeUnit: sbuffer id 0 ptr 1 pc 0080000030 addr 0000000080000f50 data 0000000000000004 func 0b wmask 000000011111111 -[DEBUG][time= 681] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 681] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 681] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 681] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 681] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 1 stqCommited 3 emptySlot 3 -[DEBUG][time= 681] LsExeUnit: retiringStore now... -[DEBUG][time= 681] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 681] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 681] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 681] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 681] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 681] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 681] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 681] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 681] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 681] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 681] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 681] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 681] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 681] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 681] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 681] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 681] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 681] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 681] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 681] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 681] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 681] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 681] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 681] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 681] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 681] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 681] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 681] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 681] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 681] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 681] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 681] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 681] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 681] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 681] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 681] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 681] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 681] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 681] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 681] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 681] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 681] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 681] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 681] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 681] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 681] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 681] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 681] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 681] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 681] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 681] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 681] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 681] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 681] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 681] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 681] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 681] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 681] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 681] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 681] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 681] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 681] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 681] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 681] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 681] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 681] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 681] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 681] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 681] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 681] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 681] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 681] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 681] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 681] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 681] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 681] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 681] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 681] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 681] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 681] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 681] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 681] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 681] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 681] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 681] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 681] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 681] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 681] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 681] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 681] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 681] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 681] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 681] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 681] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 73|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx: 9 -[DEBUG][time= 681] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 681] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 681] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 681] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 681] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 681] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 681] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 681] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 681] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 681] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 681] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 681] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 681] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 681] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 681] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 681] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 681] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 681] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 681] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 681] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 681] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 681] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 681] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 681] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 681] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 681] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 681] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 681] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 681] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 681] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 681] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 681] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 681] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 681] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 681] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 681] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 681] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 681] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 681] Brq: ---------------- -[DEBUG][time= 681] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 681] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 681] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 681] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 681] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 681] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 682] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 682] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 682] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f58| 1 1) tlb (1 0 0080000f58| 1 1) -[DEBUG][time= 682] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 682] RegfileReadPortGen: int 0: want 1100, deqChoice: 0 -[DEBUG][time= 682] RegfileReadPortGen: int 1: want 1100, deqChoice: 0 -[DEBUG][time= 682] RegfileReadPortGen: int 2: want 1100, deqChoice: 0 -[DEBUG][time= 682] RegfileReadPortGen: int 3: want 0100, deqChoice: 1 -[DEBUG][time= 682] RegfileReadPortGen: dynamicExuSrc 0: 3 0001 -[DEBUG][time= 682] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 682] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 682] BusyTable_1: 91 is busy -[DEBUG][time= 682] BusyTable_1: 92 is busy -[DEBUG][time= 682] BusyTable_1: 93 is busy -[DEBUG][time= 682] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 682] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 682] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 682] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 682] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 682] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 682] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 682] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 682] BPUStage3: flushS3=0 -[DEBUG][time= 682] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 682] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 682] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 682] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 682] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 682] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 682] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 682] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 682] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 682] Ibuffer: Deque: -[DEBUG][time= 682] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 682] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 682] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 682] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 682] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 682] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[INFO ][time= 682] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 682] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 682] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 682] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 682] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 682] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 682] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 682] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 682] Dispatch1: v:0 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 682] Dispatch1: v:0 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 682] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 682] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 682] Dispatch2: int dp queue 0: 0080000c88 type 0000 -[DEBUG][time= 682] Dispatch2: ls dp queue 0: 0080000c7c type 1101 -[DEBUG][time= 682] Dispatch2: ls dp queue 1: 0080000c80 type 1101 -[DEBUG][time= 682] Dispatch2: ls dp queue 2: 0080000c84 type 1101 -[DEBUG][time= 682] Dispatch2: dispatch to iq index 0: 0 -[DEBUG][time= 682] Dispatch2: dispatch to iq index 1: 1 -[DEBUG][time= 682] Dispatch2: dispatch to iq index 2: 2 -[DEBUG][time= 682] Dispatch2: dispatch to iq index 3: 3 -[DEBUG][time= 682] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 682] Dispatch2: regfile 0 from 1 -[DEBUG][time= 682] Dispatch2: regfile 1 from 1 -[DEBUG][time= 682] Dispatch2: regfile 2 from 2 -[DEBUG][time= 682] Dispatch2: regfile 3 from 2 -[DEBUG][time= 682] Dispatch2: regfile 4 from 3 -[DEBUG][time= 682] Dispatch2: regfile 5 from 3 -[DEBUG][time= 682] Dispatch2: regfile 6 from 0 -[DEBUG][time= 682] Dispatch2: regfile 7 from 0 -[DEBUG][time= 682] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 6: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 9: addr 46, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 12: addr 46, state 1 -[DEBUG][time= 682] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 682] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 682] Dispatch2: pc 0x0080000c88 with type 0000 srcState(1 1 0) enters reservation station 0 from 0 -[INFO ][time= 682] Dispatch2: pc 0x0080000c88 leaves Int dispatch queue with nroq 40 -[DEBUG][time= 682] Dispatch2: pc 0x0080000c7c waits at Ls dispatch queue with index 0 -[DEBUG][time= 682] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 1 -[DEBUG][time= 682] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 2 -[INFO ][time= 682] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 682] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 682] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 682] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 682] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 682] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 682] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 682] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 682] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 682] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 682] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 682] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 682] Roq: CSR block should only happen in s_idle -[DEBUG][time= 682] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[DEBUG][time= 682] Roq: head 1: 9 tail 0: 9 -[DEBUG][time= 682] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 682] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 682] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 682] Roq: 0080000c88 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 682] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 682] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 682] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 682] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 682] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 682] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 5), tail = (1, 8), -[DEBUG][time= 682] DispatchQueue: IntDpQ: num_deq = 1, head = (14 -> 15) -[DEBUG][time= 682] DispatchQueue: IntDpQ: valid_entries = 1, head = (1, 14), tail = (1, 15), -[DEBUG][time= 682] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f58 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 682] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 682] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 682] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 682] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 682] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 682] LsExeUnit: stqinfo: stqValid.asUInt 00000101 stqHead 2 stqTail 2 stqCommited 2 emptySlot 1 -[DEBUG][time= 682] LsExeUnit: retiringStore now... -[INFO ][time= 682] LsExeUnit: [DMEM RESP] data 0000000000000005 -[DEBUG][time= 682] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 682] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 682] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 682] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 682] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 682] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 682] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 682] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 682] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 682] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 682] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 682] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 682] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 682] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 682] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[INFO ][time= 682] IssueQueue: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 0:1 0:1 0:0) Dest: 91 oldDest: 84 pc:0080000c88 roqIdx:28 -[DEBUG][time= 682] IssueQueue: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 682] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 682] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 682] IssueQueue: 1 |0|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| b |0080000078|18 <- -[DEBUG][time= 682] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 -[DEBUG][time= 682] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 682] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 682] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 682] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 682] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 682] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 682] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 682] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 682] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 682] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 682] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 682] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 682] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 682] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 682] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 682] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 682] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 682] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 682] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 682] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 682] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 682] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 682] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 682] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 682] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 682] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 682] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 682] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 682] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 682] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 682] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 682] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 682] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 682] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 682] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 682] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 682] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 682] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 682] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 682] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 682] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 682] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 682] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 682] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 682] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 682] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 682] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 682] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 682] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 682] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 682] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 682] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 682] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 682] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 682] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 682] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 682] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 682] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 682] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 682] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 682] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 682] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 682] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 682] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 682] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 682] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 682] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 682] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 682] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 682] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 682] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 682] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 682] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 682] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 73|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx: 9 -[DEBUG][time= 682] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 682] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 682] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 682] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 682] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 682] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 682] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 682] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 682] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 682] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 682] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 682] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 682] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 682] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 682] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 682] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 682] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 682] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 682] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 682] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 682] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 682] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 682] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 682] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 682] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 682] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 682] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 682] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 682] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 682] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 682] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 682] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 682] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 682] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 682] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 682] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 682] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 682] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 682] Brq: ---------------- -[DEBUG][time= 682] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 682] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 682] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 682] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 682] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 682] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 683] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 683] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 683] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f68| 0 1) -[DEBUG][time= 683] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 683] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 683] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 683] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 683] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 683] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 683] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 683] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 683] BusyTable_1: writeback 91 -[DEBUG][time= 683] BusyTable_1: 91 is busy -[DEBUG][time= 683] BusyTable_1: 92 is busy -[DEBUG][time= 683] BusyTable_1: 93 is busy -[DEBUG][time= 683] BPUStage2: in:(0 0) pc=0080000dcc out:(1 0) pc=0080000dcc -[DEBUG][time= 683] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 683] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 683] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 683] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 683] DispatchGen: priority: data(3) = 0, priority = 3 -[INFO ][time= 683] WriteBackArbMtoN: out(0) pc(0x0080000c88) writebacks 0x0000000080000c8c to pdest( 91) ldest( 1) -[DEBUG][time= 683] BPUStage1: in:(0 1) pc=0080000dec ghr=0000000000000000000000000000000000000000000000000000111111110111 -[DEBUG][time= 683] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 683] BPUStage3: flushS3=0 -[DEBUG][time= 683] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 683] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 683] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 683] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 683] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 683] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 683] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 683] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 683] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:0080000cac instr:0007c703 -[DEBUG][time= 683] Ibuffer: Deque: -[DEBUG][time= 683] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 683] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 683] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 683] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 683] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 683] Ibuffer: 00000000000001111100011100000011 PC=0080000cac v=1 r=0 -[DEBUG][time= 683] Jump: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:7 -[DEBUG][time= 683] Jump: src1:0000000080000c88 offset:fffffffffffff508 func:0011011 type:JUMP pc:0000000080000c88 res:0000000080000c8c -[INFO ][time= 683] Rename: pc:0080000c94 in v:1 in rdy:0 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:0 -[INFO ][time= 683] Rename: pc:0080000c98 in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 94 old_pdest: 76 out v:0 r:0 -[INFO ][time= 683] Rename: pc:0080000c9c in v:1 in rdy:0 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[INFO ][time= 683] Rename: pc:0080000ca0 in v:1 in rdy:0 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 94 old_pdest: 90 out v:0 r:0 -[INFO ][time= 683] Rename: pc:0080000ca4 in v:1 in rdy:0 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 683] Rename: pc:0080000ca8 in v:1 in rdy:0 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 94 old_pdest: 75 out v:0 r:0 -[DEBUG][time= 683] Dispatch1: v:0 r:0 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 683] Dispatch1: v:0 r:0 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 683] Dispatch1: v:0 r:0 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 683] Dispatch1: v:0 r:0 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 683] Dispatch1: v:1 r:0 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 683] Dispatch1: v:1 r:0 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 683] Dispatch2: ls dp queue 0: 0080000c7c type 1101 -[DEBUG][time= 683] Dispatch2: ls dp queue 1: 0080000c80 type 1101 -[DEBUG][time= 683] Dispatch2: ls dp queue 2: 0080000c84 type 1101 -[DEBUG][time= 683] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 683] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 683] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 683] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 683] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 683] Dispatch2: regfile 0 from 0 -[DEBUG][time= 683] Dispatch2: regfile 1 from 0 -[DEBUG][time= 683] Dispatch2: regfile 2 from 1 -[DEBUG][time= 683] Dispatch2: regfile 3 from 1 -[DEBUG][time= 683] Dispatch2: regfile 4 from 2 -[DEBUG][time= 683] Dispatch2: regfile 5 from 2 -[DEBUG][time= 683] Dispatch2: regfile 6 from 3 -[DEBUG][time= 683] Dispatch2: regfile 7 from 3 -[DEBUG][time= 683] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 9: addr 46, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 12: addr 46, state 1 -[DEBUG][time= 683] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 683] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 683] Dispatch2: pc 0x0080000c7c with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 683] Dispatch2: pc 0x0080000c7c leaves Ls dispatch queue with nroq 37 -[DEBUG][time= 683] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 1 -[DEBUG][time= 683] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 2 -[DEBUG][time= 683] Dispatch2: pc 0x0080000c88 reads operands from ( 6, 0, 0000000080000c88), ( 7, 0, fffffffffffff508), ( 0, 0, 0000000000000000) -[INFO ][time= 683] DecodeBuffer: in v:1 r:0 pc=0080000cac -[INFO ][time= 683] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 683] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 683] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 683] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 683] DecodeBuffer: in v:0 r:0 pc=0080000cac -[INFO ][time= 683] DecodeBuffer: out v:1 r:0 pc=0080000c94 -[INFO ][time= 683] DecodeBuffer: out v:1 r:0 pc=0080000c98 -[INFO ][time= 683] DecodeBuffer: out v:1 r:0 pc=0080000c9c -[INFO ][time= 683] DecodeBuffer: out v:1 r:0 pc=0080000ca0 -[INFO ][time= 683] DecodeBuffer: out v:1 r:0 pc=0080000ca4 -[INFO ][time= 683] DecodeBuffer: out v:1 r:0 pc=0080000ca8 -[ERROR][time= 683] Roq: CSR block should only happen in s_idle -[DEBUG][time= 683] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 683] Roq: writebacked 1 insts -[INFO ][time= 683] Roq: writebacked pc 0x008000003c wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 683] Roq: head 1: 9 tail 0: 9 -[DEBUG][time= 683] Roq: wwvvvvvvvvvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 683] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 683] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 683] Roq: 0080000c88 v 008000003c v 0080000040 v 0080000044 w -[DEBUG][time= 683] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 683] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 683] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 683] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 683] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 683] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 5 -> 6) -[DEBUG][time= 683] DispatchQueue_2: LsDpQ: valid_entries = 3, head = (1, 5), tail = (1, 8), -[DEBUG][time= 683] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f68 size 3 data 0000000000000007 mask 00ff cmd 0 -[DEBUG][time= 683] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 683] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 683] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 683] LsExeUnit: LSU fire: pc 008000003c addr 0000000080000f68 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 683] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 683] LsExeUnit: stqinfo: stqValid.asUInt 00000101 stqHead 2 stqTail 2 stqCommited 2 emptySlot 1 -[DEBUG][time= 683] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 683] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 683] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 683] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 683] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 683] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 683] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 683] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000dec || if1_pcUpdate:0 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 683] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 683] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 683] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 683] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 683] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 683] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 683] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[INFO ][time= 683] IssueQueue: EnqData: src1:0000000080000c88 src2:fffffffffffff508 src3:0000000000000000 pc:0080000c88 roqIdx:28(for last cycle's Ctrl) -[INFO ][time= 683] IssueQueue: Deq:(1 1) [ 0|0000000080000c88][ 0|fffffffffffff508][ 0|26f7d2634708bafb] pdest: 91 pc:0080000c88 roqIdx:28 -[DEBUG][time= 683] IssueQueue: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 683] IssueQueue: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 683] IssueQueue: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:1 deqSelIq:1 deqReady:1 -[DEBUG][time= 683] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 683] IssueQueue: 1 |1|1| 0|1|0000000080000078| 0|1|0000000000000bd4| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 683] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 683] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 683] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 683] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 683] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 683] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 683] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 683] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 683] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 683] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 683] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 683] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 683] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 683] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 683] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 683] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 683] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 683] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 683] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 683] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 683] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 683] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 683] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 683] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 683] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 683] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 683] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 683] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 683] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 683] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 683] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 683] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 683] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 683] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 683] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 683] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 683] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 683] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 683] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 683] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 683] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 683] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 683] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 683] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 683] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 683] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 683] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 683] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 683] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 683] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 683] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 683] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 683] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 683] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 683] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 683] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 683] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 683] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 683] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 683] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 683] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 683] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 683] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 683] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 683] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 683] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 683] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 683] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 683] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 683] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 683] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 683] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 683] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 683] IssueQueue_7: EnqCtrl(1 1) enqSelIq:2 Psrc/Rdy( 85:1 46:1 0:0) Dest: 32 oldDest: 0 pc:0080000c7c roqIdx:25 -[INFO ][time= 683] IssueQueue_7: Deq:(1 1) [ 70|0000000080000f50][ 73|0000000000000007][ 0|0000000000000000] pdest: 32 pc:008000003c roqIdx:09 -[DEBUG][time= 683] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 683] IssueQueue_7: FireStage:Out(1 1) src1( 70|0000000080000f50) src2( 73|0000000000000007) src3( 0|0000000000000000) deqFlush:0 pc:008000003c roqIdx: 9 -[DEBUG][time= 683] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 683] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 683] IssueQueue_7: 2 |1|1| 70|1|0000000080000f50| 74|1|0000000000000008| 0|0|0000000000000000| 8 |0080000040|0a -[DEBUG][time= 683] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 683] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 683] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 683] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 683] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 683] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 683] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 683] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 683] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 683] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 683] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 683] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 683] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 683] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 683] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 683] FreeList: do checkPt at BrqIdx=14 headPtr:0: 0 -[DEBUG][time= 683] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 683] FreeList: do checkPt at BrqIdx=15 headPtr:0: 0 -[DEBUG][time= 683] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 683] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 683] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 62 -[DEBUG][time= 683] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 683] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 683] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 683] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 683] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 683] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 683] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 683] FreeList_1: do checkPt at BrqIdx=14 headPtr:0: 62 -[DEBUG][time= 683] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 683] FreeList_1: do checkPt at BrqIdx=15 headPtr:0: 62 -[DEBUG][time= 683] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 683] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 683] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 683] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 683] Brq: ---------------- -[INFO ][time= 683] Brq: exu write back: brTag:f:0 v:12 pc=0080000c88 pnpc=0080000c8c target=0080000190 -[DEBUG][time= 683] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 683] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 683] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 683] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 683] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 683] Brq: enq v:0 rdy:1 pc:0080000cac brTag:f:0 v:15 -[DEBUG][time= 684] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 684] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 684] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f58| 0 1) tlb (1 1 0080000f58| 0 1) -[DEBUG][time= 684] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 684] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 684] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 684] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 684] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 684] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 684] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 684] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 684] BusyTable_1: 92 is busy -[DEBUG][time= 684] BusyTable_1: 93 is busy -[DEBUG][time= 684] BPUStage2: in:(0 0) pc=0080000dcc out:(0 0) pc=0080000dcc -[DEBUG][time= 684] BPUStage2: validLatch=1 pc=0080000dcc -[DEBUG][time= 684] BPUStage2: flush!!! -[DEBUG][time= 684] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 684] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 684] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 684] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 684] BPUStage1: in:(0 1) pc=0080000190 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 684] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 684] BPUStage1: flush from backend: pc=0080000c88 tgt=0080000190 brTgt=0080000190 btbType=01 taken=1 oldHist=0000000000000000000000000000000000000000000000000000111111110111 fetchIdx=7 isExcpt=0 -[DEBUG][time= 684] BPUStage3: flushS3=0 -[DEBUG][time= 684] BPUStage3: validLatch=1 predecode.valid=0 -[DEBUG][time= 684] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 684] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 684] JBTAC: [JBTAC]update_req: fetchPC=0x0080000c6c, writeValid=0, hist=0000000000000000000000000000000000000000000000000000111111110111, bank=1, row= 56, target=0x0080000190, offset=14, type=0x1 -[INFO ][time= 684] Ibuffer: Flush signal received, clear buffer -[DEBUG][time= 684] BTB: update_req: pc=0x0080000c88, hit=0, misPred=1, oldCtr=2, taken=1, target=0x0080000190, btbType=1 -[DEBUG][time= 684] BTB: update: noNeedToUpdate=0, writeValid=1, bank= 4, row= 4, newCtr=2 -[DEBUG][time= 684] MulExeUnit: redirect: f:0 v:12 -[DEBUG][time= 684] MulExeUnit_1: redirect: f:0 v:12 -[INFO ][time= 684] Rename: pc:0080000c94 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 684] Rename: pc:0080000c98 in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[INFO ][time= 684] Rename: pc:0080000c9c in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 684] Rename: pc:0080000ca0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 90 out v:0 r:1 -[INFO ][time= 684] Rename: pc:0080000ca4 in v:0 in rdy:1 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 684] Rename: pc:0080000ca8 in v:0 in rdy:1 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[DEBUG][time= 684] Dispatch1: pc=0080000c7c brTag:f:0 v:12 -[DEBUG][time= 684] Dispatch1: pc=0080000c80 brTag:f:0 v:12 -[DEBUG][time= 684] Dispatch1: pc=0080000c84 brTag:f:0 v:12 -[DEBUG][time= 684] Dispatch1: pc=0080000c88 brTag:f:0 v:12 -[DEBUG][time= 684] Dispatch1: pc=0080000c8c brTag:f:0 v:12 -[DEBUG][time= 684] Dispatch1: pc=0080000c90 brTag:f:0 v:12 -[INFO ][time= 684] Dispatch1: pc 0x0080000c8c with brTag c cancelled -[INFO ][time= 684] Dispatch1: pc 0x0080000c90 with brTag c cancelled -[DEBUG][time= 684] Dispatch1: v:0 r:1 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 684] Dispatch1: v:0 r:1 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 684] Dispatch1: v:0 r:1 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 684] Dispatch1: v:0 r:1 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 684] Dispatch1: v:1 r:1 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 684] Dispatch1: v:1 r:1 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 684] Dispatch2: ls dp queue 0: 0080000c80 type 1101 -[DEBUG][time= 684] Dispatch2: ls dp queue 1: 0080000c84 type 1101 -[DEBUG][time= 684] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 684] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 684] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 684] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 684] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 684] Dispatch2: regfile 0 from 0 -[DEBUG][time= 684] Dispatch2: regfile 1 from 0 -[DEBUG][time= 684] Dispatch2: regfile 2 from 1 -[DEBUG][time= 684] Dispatch2: regfile 3 from 1 -[DEBUG][time= 684] Dispatch2: regfile 4 from 2 -[DEBUG][time= 684] Dispatch2: regfile 5 from 2 -[DEBUG][time= 684] Dispatch2: regfile 6 from 3 -[DEBUG][time= 684] Dispatch2: regfile 7 from 3 -[DEBUG][time= 684] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 9: addr 17, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 12: addr 17, state 1 -[DEBUG][time= 684] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 684] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 0 -[DEBUG][time= 684] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 1 -[DEBUG][time= 684] Dispatch2: pc 0x0080000c7c reads operands from ( 8, 85, 0000000080008f80), ( 9, 46, 0000000000000005), ( 0, 0, 0000000000000000) -[INFO ][time= 684] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 684] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 684] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 684] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 684] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 684] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 684] DecodeBuffer: out v:0 r:1 pc=0080000c94 -[INFO ][time= 684] DecodeBuffer: out v:0 r:1 pc=0080000c98 -[INFO ][time= 684] DecodeBuffer: out v:0 r:1 pc=0080000c9c -[INFO ][time= 684] DecodeBuffer: out v:0 r:1 pc=0080000ca0 -[INFO ][time= 684] DecodeBuffer: out v:0 r:1 pc=0080000ca4 -[INFO ][time= 684] DecodeBuffer: out v:0 r:1 pc=0080000ca8 -[ERROR][time= 684] Roq: CSR block should only happen in s_idle -[DEBUG][time= 684] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) -[INFO ][time= 684] Roq: writebacked 1 insts -[INFO ][time= 684] Roq: writebacked pc 0x0080000c88 wen 1 data 0x0000000080000c8c ldst 1 pdst 91 skip 0 -[INFO ][time= 684] Roq: retired pc 008000003c wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 684] Roq: retired 1 insts -[DEBUG][time= 684] Roq: roq full, switched to s_extrawalk. needExtraSpaceForMPR: 110000 -[DEBUG][time= 684] Roq: head 1: 9 tail 0: 9 -[DEBUG][time= 684] Roq: wwvvvvvvvwvwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 684] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 684] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 684] Roq: 0080000c88 v 008000003c w 0080000040 v 0080000044 w -[DEBUG][time= 684] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 684] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 684] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 684] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 684] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 684] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 6), tail = (1, 8), -[DEBUG][time= 684] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f58 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 684] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 684] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 684] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 684] LsExeUnit: sbuffer id 2 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 684] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 684] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 684] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 2 stqCommited 2 emptySlot 3 -[DEBUG][time= 684] LsExeUnit: retiringStore now... -[INFO ][time= 684] LsExeUnit: [DMEM STORE REQ] addr 0x80000f58 wdata 0x0000000000000005 size 3 -[DEBUG][time= 684] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:0 || s1_pc: 2147487180 -[DEBUG][time= 684] FakeCache: [Stage1_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 684] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:0 -[DEBUG][time= 684] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 684] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:0 -[DEBUG][time= 684] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 684] FakeCache: [needFlush]] flush:1 -[DEBUG][time= 684] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000190 || if1_pcUpdate:1 if1_pc:0x0080000dcc || if2_ready:0 -[DEBUG][time= 684] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000dcc || if3_ready:0 -[DEBUG][time= 684] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:0 -[DEBUG][time= 684] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 684] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:0 -[DEBUG][time= 684] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 684] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 684] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:0 -[DEBUG][time= 684] IFU: [IFU-REDIRECT] target:0x0080000190 -[INFO ][time= 684] IssueQueue: Redirect: valid:1 isExp:0 brTag:12 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 684] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 684] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 684] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 684] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 684] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 684] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 684] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 684] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 684] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 684] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 684] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 684] IssueQueue_1: Redirect: valid:1 isExp:0 brTag:12 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 684] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 684] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 684] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 684] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 684] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 684] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 684] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 684] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 684] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 684] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 684] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[INFO ][time= 684] IssueQueue_2: Redirect: valid:1 isExp:0 brTag:12 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[DEBUG][time= 684] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 684] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 684] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 684] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 684] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 684] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 684] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 684] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 684] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 684] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 684] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[INFO ][time= 684] IssueQueue_3: Redirect: valid:1 isExp:0 brTag:12 redHitVec:11111000 redIdHitVec:11111000 enqHit:0 selIsRed:0 -[DEBUG][time= 684] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 684] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 684] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 684] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 684] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 684] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 684] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 684] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 684] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 684] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 684] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[INFO ][time= 684] IssueQueue_4: Redirect: valid:1 isExp:0 brTag:12 redHitVec:11111100 redIdHitVec:11111100 enqHit:0 selIsRed:0 -[DEBUG][time= 684] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 684] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 684] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 684] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 684] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 684] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 684] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 684] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 684] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 684] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 684] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[INFO ][time= 684] IssueQueue_5: Redirect: valid:1 isExp:0 brTag:12 redHitVec:11111111 redIdHitVec:11111111 enqHit:0 selIsRed:0 -[DEBUG][time= 684] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 684] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 684] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 684] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 684] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 684] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 684] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 684] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 684] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 684] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 684] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[INFO ][time= 684] IssueQueue_6: Redirect: valid:1 isExp:0 brTag:12 redHitVec:11111111 redIdHitVec:11111111 enqHit:0 selIsRed:0 -[DEBUG][time= 684] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 684] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 684] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 684] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 684] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 684] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 684] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 684] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 684] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 684] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 684] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 684] IssueQueue_7: Redirect: valid:1 isExp:0 brTag:12 redHitVec:00000000 redIdHitVec:00000000 enqHit:0 selIsRed:0 -[INFO ][time= 684] IssueQueue_7: EnqData: src1:0000000080008f80 src2:0000000000000005 src3:0000000000000000 pc:0080000c7c roqIdx:25(for last cycle's Ctrl) -[DEBUG][time= 684] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 684] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 74|0000000000000008) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:10 -[DEBUG][time= 684] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 684] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 684] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 684] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 684] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 684] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 684] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 684] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 684] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 684] IssueQueue_7: 2 |1|1| 85|1|0000000080000f50| 46|1|0000000000000008| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 684] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 684] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 684] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 684] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 684] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 684] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 684] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 684] FreeList: redirect: brqIdx=12 -[DEBUG][time= 684] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 684] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 684] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 684] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 684] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 684] FreeList_1: req:0 canAlloc:1 pdest: 94 headNext:0: 62 -[DEBUG][time= 684] FreeList_1: head:0: 62 tail:1: 43 -[DEBUG][time= 684] FreeList_1: redirect: brqIdx=12 -[DEBUG][time= 684] Brq: headIdx:12 commitIdx:12 -[DEBUG][time= 684] Brq: headPtr:f:0 v:12 tailPtr:f:0 v:15 -[DEBUG][time= 684] Brq: ------------w--- -[INFO ][time= 684] Brq: commit branch to roq, mispred:1 pc=0080000c88 -[INFO ][time= 684] Brq: brq redirect, target:0080000190 -[DEBUG][time= 685] Tage: req: pc=0x00800001b0, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 685] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 685] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 685] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f58| 0 1) -[DEBUG][time= 685] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 685] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 685] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 685] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 685] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 685] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 685] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 685] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 685] BusyTable_1: writeback 93 -[DEBUG][time= 685] BusyTable_1: writeback 92 -[DEBUG][time= 685] BusyTable_1: 92 is busy -[DEBUG][time= 685] BusyTable_1: 93 is busy -[DEBUG][time= 685] BPUStage2: in:(0 1) pc=0080000dcc out:(0 1) pc=0080000dcc -[DEBUG][time= 685] BPUStage2: validLatch=0 pc=0080000dcc -[DEBUG][time= 685] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 685] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 685] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 685] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 685] BPUStage1: in:(1 1) pc=00800001b0 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 685] BPUStage1: outPred:(0) pc=0x0080000dcc, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 685] BPUStage3: flushS3=1 -[DEBUG][time= 685] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 685] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 685] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 685] JBTAC: read: pc=0x00800001b0, histXORAddr=0x0080003e6e, bank=7, row=102, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 685] BTB: read: pc=0x00800001b0, baseBank= 8, realMask=1111111111111111 -[INFO ][time= 685] Rename: int walk: pc:0080000c90 ldst: 2 old_pdest: 85 -[INFO ][time= 685] Rename: int walk: pc:0080000c8c ldst: 1 old_pdest: 91 -[DEBUG][time= 685] Dispatch1: v:0 r:1 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 685] Dispatch1: v:0 r:1 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 685] Dispatch1: v:0 r:1 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 685] Dispatch1: v:0 r:1 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 685] Dispatch1: v:0 r:1 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 685] Dispatch1: v:0 r:1 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 685] Dispatch2: ls dp queue 0: 0080000c80 type 1101 -[DEBUG][time= 685] Dispatch2: ls dp queue 1: 0080000c84 type 1101 -[DEBUG][time= 685] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 685] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 685] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 685] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 685] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 685] Dispatch2: regfile 0 from 0 -[DEBUG][time= 685] Dispatch2: regfile 1 from 0 -[DEBUG][time= 685] Dispatch2: regfile 2 from 1 -[DEBUG][time= 685] Dispatch2: regfile 3 from 1 -[DEBUG][time= 685] Dispatch2: regfile 4 from 2 -[DEBUG][time= 685] Dispatch2: regfile 5 from 2 -[DEBUG][time= 685] Dispatch2: regfile 6 from 3 -[DEBUG][time= 685] Dispatch2: regfile 7 from 3 -[DEBUG][time= 685] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 9: addr 17, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 12: addr 17, state 1 -[DEBUG][time= 685] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 685] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 0 -[DEBUG][time= 685] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 1 -[INFO ][time= 685] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 685] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 685] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 685] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 685] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 685] DecodeBuffer: in v:0 r:0 pc=0000000000 -[INFO ][time= 685] DecodeBuffer: out v:0 r:0 pc=0080000c94 -[INFO ][time= 685] DecodeBuffer: out v:0 r:0 pc=0080000c98 -[INFO ][time= 685] DecodeBuffer: out v:0 r:0 pc=0080000c9c -[INFO ][time= 685] DecodeBuffer: out v:0 r:0 pc=0080000ca0 -[INFO ][time= 685] DecodeBuffer: out v:0 r:0 pc=0080000ca4 -[INFO ][time= 685] DecodeBuffer: out v:0 r:0 pc=0080000ca8 -[ERROR][time= 685] Roq: CSR block should only happen in s_idle -[DEBUG][time= 685] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 685] Roq: use extra space walked pc 0080000c90 wen 1 ldst 2 -[INFO ][time= 685] Roq: use extra space walked pc 0080000c8c wen 1 ldst 1 -[DEBUG][time= 685] Roq: head 1: 9 tail 0:10 -[DEBUG][time= 685] Roq: wwvvvvvvw-vwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 685] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 685] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 685] Roq: 0080000c88 w 008000003c - 0080000040 v 0080000044 w -[DEBUG][time= 685] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 685] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 685] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 685] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 685] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 685] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 6), tail = (1, 8), -[DEBUG][time= 685] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f58 size 3 data 0000000000000005 mask 00ff cmd 1 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 0 data 05 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 685] LsExeUnit: forwarding data from stq, addr 0000000080000f58 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 685] LsExeUnit: sbuffer id 0 ptr 2 pc 0080000034 addr 0000000080000f58 data 0000000000000005 func 0b wmask 000000011111111 -[DEBUG][time= 685] LsExeUnit: sbuffer id 1 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 685] LsExeUnit: sbuffer id 2 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 0 ptr 2 valid 1 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 1 ptr 0 valid 1 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 2 ptr 1 valid 1 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 685] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 685] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 685] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 2 stqCommited 3 emptySlot 3 -[DEBUG][time= 685] LsExeUnit: retiringStore now... -[DEBUG][time= 685] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484048------> s1 fire!!! -[DEBUG][time= 685] FakeCache: [Stage1_data] instr1:0xf2010113 instr2:0x0c813823 -[DEBUG][time= 685] FakeCache: [ICache-Stage2] s2_valid:0 || s3_ready:1 -[DEBUG][time= 685] FakeCache: [Stage2_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 685] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 685] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 685] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 685] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001b0 || if1_pcUpdate:1 if1_pc:0x0080000190 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 685] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000190 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 685] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 685] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 685] IFU: [IF3]if3_valid:0 || if3_pc:0x0080000dac if3_npc:0x0080000dcc || if4_ready:1 -[DEBUG][time= 685] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 685] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 685] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 685] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 685] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 685] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 685] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 685] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 685] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 685] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 685] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 685] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 685] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 685] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 685] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 685] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 685] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 685] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 685] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 685] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 685] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 685] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 685] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 685] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 685] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 685] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 685] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 685] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 685] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 685] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 685] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 685] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 685] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 685] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 685] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 685] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 685] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 685] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 685] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 685] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 685] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 685] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 685] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 685] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 685] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 685] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 685] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 685] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 685] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 685] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 685] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 685] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 685] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 685] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 685] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 685] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 685] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 685] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 685] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 685] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 685] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 685] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 685] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 685] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 685] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 685] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 685] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 685] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 685] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 685] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 685] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 685] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 685] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 685] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 685] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 685] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 685] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 685] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 685] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 685] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 685] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 685] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 74|0000000000000008) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:10 -[DEBUG][time= 685] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 685] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 685] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 685] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 685] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 685] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 685] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 685] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 685] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 685] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 685] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 685] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 685] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 685] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 685] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 685] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 685] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 685] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 685] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 685] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 685] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 685] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 685] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 685] FreeList_1: head:0: 60 tail:1: 43 -[DEBUG][time= 685] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 685] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 685] Brq: ---------------- -[DEBUG][time= 685] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 685] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 685] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 685] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 685] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 685] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 686] Tage: req: pc=0x00800001d0, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 686] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 686] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 686] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f60| 1 1) tlb (1 0 0080000f60| 1 1) -[DEBUG][time= 686] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 686] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 686] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 686] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 686] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 686] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 686] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 686] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 686] BPUStage2: in:(1 1) pc=00800001b0 out:(0 1) pc=0080000dcc -[DEBUG][time= 686] BPUStage2: validLatch=0 pc=0080000dcc -[DEBUG][time= 686] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 686] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 686] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 686] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 686] BPUStage1: in:(1 1) pc=00800001d0 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 686] BPUStage1: outPred:(1) pc=0x00800001b0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 686] BPUStage3: flushS3=1 -[DEBUG][time= 686] BPUStage3: validLatch=0 predecode.valid=0 -[DEBUG][time= 686] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 686] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 686] JBTAC: read: pc=0x00800001d0, histXORAddr=0x0080003e0e, bank=7, row= 96, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 686] JBTAC: read_resp: pc=0x00800001b0, bank=7, row=102, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 686] BTB: read: pc=0x00800001d0, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 686] BTB: read_resp: pc=0x00800001b0, readIdx=216------------------------------- -[DEBUG][time= 686] BTB: read_resp[b=0][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=1][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=2][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=3][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=4][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=5][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=6][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=7][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b= 8][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b= 9][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=10][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=11][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=12][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=13][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=14][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: read_resp[b=15][r=13]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 686] BTB: bankIdxInOrder:[DEBUG][time= 686] BTB: 8 [DEBUG][time= 686] BTB: 9 [DEBUG][time= 686] BTB: 10 [DEBUG][time= 686] BTB: 11 [DEBUG][time= 686] BTB: 12 [DEBUG][time= 686] BTB: 13 [DEBUG][time= 686] BTB: 14 [DEBUG][time= 686] BTB: 15 [DEBUG][time= 686] BTB: 0 [DEBUG][time= 686] BTB: 1 [DEBUG][time= 686] BTB: 2 [DEBUG][time= 686] BTB: 3 [DEBUG][time= 686] BTB: 4 [DEBUG][time= 686] BTB: 5 [DEBUG][time= 686] BTB: 6 [DEBUG][time= 686] BTB: 7 [DEBUG][time= 686] BTB: -[INFO ][time= 686] Rename: pc:0080000c94 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 91 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 686] Rename: pc:0080000c98 in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[INFO ][time= 686] Rename: pc:0080000c9c in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 686] Rename: pc:0080000ca0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 90 out v:0 r:1 -[INFO ][time= 686] Rename: pc:0080000ca4 in v:0 in rdy:1 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 686] Rename: pc:0080000ca8 in v:0 in rdy:1 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[DEBUG][time= 686] Dispatch1: v:0 r:1 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 686] Dispatch1: v:0 r:1 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 686] Dispatch1: v:0 r:1 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 686] Dispatch1: v:0 r:1 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 686] Dispatch1: v:0 r:1 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 686] Dispatch1: v:0 r:1 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 686] Dispatch2: ls dp queue 0: 0080000c80 type 1101 -[DEBUG][time= 686] Dispatch2: ls dp queue 1: 0080000c84 type 1101 -[DEBUG][time= 686] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 686] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 686] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 686] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 686] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 686] Dispatch2: regfile 0 from 0 -[DEBUG][time= 686] Dispatch2: regfile 1 from 0 -[DEBUG][time= 686] Dispatch2: regfile 2 from 1 -[DEBUG][time= 686] Dispatch2: regfile 3 from 1 -[DEBUG][time= 686] Dispatch2: regfile 4 from 2 -[DEBUG][time= 686] Dispatch2: regfile 5 from 2 -[DEBUG][time= 686] Dispatch2: regfile 6 from 3 -[DEBUG][time= 686] Dispatch2: regfile 7 from 3 -[DEBUG][time= 686] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 9: addr 17, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 12: addr 17, state 1 -[DEBUG][time= 686] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 686] Dispatch2: pc 0x0080000c80 waits at Ls dispatch queue with index 0 -[DEBUG][time= 686] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 1 -[INFO ][time= 686] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 686] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 686] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 686] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 686] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 686] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 686] DecodeBuffer: out v:0 r:1 pc=0080000c94 -[INFO ][time= 686] DecodeBuffer: out v:0 r:1 pc=0080000c98 -[INFO ][time= 686] DecodeBuffer: out v:0 r:1 pc=0080000c9c -[INFO ][time= 686] DecodeBuffer: out v:0 r:1 pc=0080000ca0 -[INFO ][time= 686] DecodeBuffer: out v:0 r:1 pc=0080000ca4 -[INFO ][time= 686] DecodeBuffer: out v:0 r:1 pc=0080000ca8 -[ERROR][time= 686] Roq: CSR block should only happen in s_idle -[DEBUG][time= 686] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) (0, 0) -[INFO ][time= 686] Roq: rolling back: head 9 tail 10 walk 1: 8 -[DEBUG][time= 686] Roq: head 1: 9 tail 0:10 -[DEBUG][time= 686] Roq: wwvvvvvvw-vwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 686] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 686] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 686] Roq: 0080000c88 w 008000003c - 0080000040 v 0080000044 w -[DEBUG][time= 686] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 686] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 686] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 686] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 686] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 686] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 6), tail = (1, 8), -[DEBUG][time= 686] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f60 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 686] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 686] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 686] LsExeUnit: sbuffer id 1 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 686] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 686] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 686] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 686] LsExeUnit: retiringStore now... -[INFO ][time= 686] LsExeUnit: [DMEM RESP] data 0000000000000006 -[DEBUG][time= 686] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484080------> s1 fire!!! -[DEBUG][time= 686] FakeCache: [Stage1_data] instr1:0x0b613023 instr2:0x09713c23 -[DEBUG][time= 686] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 686] FakeCache: [Stage2_data] instr1:0xf2010113 instr2:0x0c813823 -[DEBUG][time= 686] FakeCache: [ICache-Stage3] s3_valid:0 || s3_ready:1 -[DEBUG][time= 686] FakeCache: [Stage3_data] instr1:0xfffff524 instr2:0xfffff524 -[DEBUG][time= 686] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 686] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001d0 || if1_pcUpdate:1 if1_pc:0x00800001b0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 686] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001b0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 686] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 686] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 686] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000190 if3_npc:0x00800001b0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 686] IFU: [IF4]if4_valid:0 || if4_pc:0x0080000d8c if4_npc:0x0080000dac -[DEBUG][time= 686] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 686] IFU: [IF4-ICACHE-RESP]icacheResp.valid:0 icacheResp.ready:1 -[DEBUG][time= 686] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 686] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 686] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 686] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 686] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 686] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 686] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 686] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 686] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 686] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 686] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 686] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 686] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 686] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 686] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 686] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 686] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 686] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 686] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 686] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 686] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 686] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 686] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 686] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 686] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 686] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 686] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 686] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 686] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 686] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 686] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 686] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 686] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 686] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 686] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 686] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 686] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 686] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 686] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 686] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 686] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 686] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 686] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 686] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 686] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 686] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 686] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 686] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 686] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 686] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 686] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 686] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 686] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 686] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 686] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 686] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 686] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 686] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 686] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 686] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 686] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 686] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 686] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 686] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 686] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 686] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 686] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 686] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 686] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 686] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 686] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 686] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 686] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 686] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 686] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 686] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 686] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 686] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 686] IssueQueue_7: FireStage:Out(1 0) src1( 70|0000000080000f50) src2( 74|0000000000000008) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:10 -[DEBUG][time= 686] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 686] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 686] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 686] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 686] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 686] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 686] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 686] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 686] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 686] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 686] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 686] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 686] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 686] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 686] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 686] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 686] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 686] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 686] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 686] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 686] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 686] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 686] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 686] FreeList_1: head:0: 60 tail:1: 43 -[DEBUG][time= 686] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 686] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 686] Brq: ---------------- -[DEBUG][time= 686] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 686] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 686] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 686] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 686] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 686] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 687] Tage: req: pc=0x00800001f0, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 687] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 687] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 687] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080000f70| 0 1) -[DEBUG][time= 687] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 687] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 687] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 687] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 687] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 687] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 687] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 687] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 687] BPUStage2: in:(1 1) pc=00800001d0 out:(1 1) pc=00800001b0 -[DEBUG][time= 687] BPUStage2: validLatch=1 pc=00800001b0 -[DEBUG][time= 687] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 687] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 687] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 687] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 687] BPUStage1: in:(1 1) pc=00800001f0 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 687] BPUStage1: outPred:(1) pc=0x00800001d0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 687] BPUStage3: [RAS]:pc=0x00800001b0, rasWritePosition= 1, rasWriteAddr=0x0080000dac -[DEBUG][time= 687] BPUStage3: in:(1 1) pc=00800001b0 -[DEBUG][time= 687] BPUStage3: flushS3=1 -[DEBUG][time= 687] BPUStage3: validLatch=0 predecode.valid=1 -[DEBUG][time= 687] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 687] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 687] JBTAC: read: pc=0x00800001f0, histXORAddr=0x0080003e2e, bank=7, row= 98, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 687] JBTAC: read_resp: pc=0x00800001d0, bank=7, row= 96, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 687] Ibuffer: Enque: -[DEBUG][time= 687] Ibuffer: 11110010000000010000000100010011 -[DEBUG][time= 687] Ibuffer: 00001100100000010011100000100011 -[DEBUG][time= 687] Ibuffer: 00001011001100010011110000100011 -[DEBUG][time= 687] Ibuffer: 00001100000100010011110000100011 -[DEBUG][time= 687] Ibuffer: 00001100100100010011010000100011 -[DEBUG][time= 687] Ibuffer: 00001101001000010011000000100011 -[DEBUG][time= 687] Ibuffer: 00001011010000010011100000100011 -[DEBUG][time= 687] Ibuffer: 00001011010100010011010000100011 -[DEBUG][time= 687] Ibuffer: last_head_ptr= 0 last_tail_ptr= 0 -[DEBUG][time= 687] BTB: read: pc=0x00800001f0, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 687] BTB: read_resp: pc=0x00800001d0, readIdx=232------------------------------- -[DEBUG][time= 687] BTB: read_resp[b=0][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=1][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=2][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=3][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=4][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=5][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=6][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=7][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b= 8][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b= 9][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=10][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=11][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=12][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=13][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=14][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: read_resp[b=15][r=14]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 687] BTB: bankIdxInOrder:[DEBUG][time= 687] BTB: 8 [DEBUG][time= 687] BTB: 9 [DEBUG][time= 687] BTB: 10 [DEBUG][time= 687] BTB: 11 [DEBUG][time= 687] BTB: 12 [DEBUG][time= 687] BTB: 13 [DEBUG][time= 687] BTB: 14 [DEBUG][time= 687] BTB: 15 [DEBUG][time= 687] BTB: 0 [DEBUG][time= 687] BTB: 1 [DEBUG][time= 687] BTB: 2 [DEBUG][time= 687] BTB: 3 [DEBUG][time= 687] BTB: 4 [DEBUG][time= 687] BTB: 5 [DEBUG][time= 687] BTB: 6 [DEBUG][time= 687] BTB: 7 [DEBUG][time= 687] BTB: -[INFO ][time= 687] Rename: pc:0080000c94 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 91 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 687] Rename: pc:0080000c98 in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[INFO ][time= 687] Rename: pc:0080000c9c in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 687] Rename: pc:0080000ca0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 90 out v:0 r:1 -[INFO ][time= 687] Rename: pc:0080000ca4 in v:0 in rdy:1 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 687] Rename: pc:0080000ca8 in v:0 in rdy:1 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[DEBUG][time= 687] Dispatch1: v:0 r:1 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 687] Dispatch1: v:0 r:1 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 687] Dispatch1: v:0 r:1 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 687] Dispatch1: v:0 r:1 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 687] Dispatch1: v:0 r:1 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 687] Dispatch1: v:0 r:1 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 687] Dispatch2: ls dp queue 0: 0080000c80 type 1101 -[DEBUG][time= 687] Dispatch2: ls dp queue 1: 0080000c84 type 1101 -[DEBUG][time= 687] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 687] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 687] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 687] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 687] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 687] Dispatch2: regfile 0 from 0 -[DEBUG][time= 687] Dispatch2: regfile 1 from 0 -[DEBUG][time= 687] Dispatch2: regfile 2 from 1 -[DEBUG][time= 687] Dispatch2: regfile 3 from 1 -[DEBUG][time= 687] Dispatch2: regfile 4 from 2 -[DEBUG][time= 687] Dispatch2: regfile 5 from 2 -[DEBUG][time= 687] Dispatch2: regfile 6 from 3 -[DEBUG][time= 687] Dispatch2: regfile 7 from 3 -[DEBUG][time= 687] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 9: addr 17, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 12: addr 17, state 1 -[DEBUG][time= 687] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 687] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 687] Dispatch2: pc 0x0080000c80 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 687] Dispatch2: pc 0x0080000c80 leaves Ls dispatch queue with nroq 38 -[DEBUG][time= 687] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 1 -[INFO ][time= 687] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 687] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 687] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 687] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 687] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 687] DecodeBuffer: in v:0 r:1 pc=0000000000 -[INFO ][time= 687] DecodeBuffer: out v:0 r:1 pc=0080000c94 -[INFO ][time= 687] DecodeBuffer: out v:0 r:1 pc=0080000c98 -[INFO ][time= 687] DecodeBuffer: out v:0 r:1 pc=0080000c9c -[INFO ][time= 687] DecodeBuffer: out v:0 r:1 pc=0080000ca0 -[INFO ][time= 687] DecodeBuffer: out v:0 r:1 pc=0080000ca4 -[INFO ][time= 687] DecodeBuffer: out v:0 r:1 pc=0080000ca8 -[ERROR][time= 687] Roq: CSR block should only happen in s_idle -[DEBUG][time= 687] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 687] Roq: writebacked 1 insts -[INFO ][time= 687] Roq: writebacked pc 0x0080000040 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 687] Roq: head 1: 9 tail 0:10 -[DEBUG][time= 687] Roq: wwvvvvvvw-vwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 687] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 687] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 687] Roq: 0080000c88 w 008000003c - 0080000040 v 0080000044 w -[DEBUG][time= 687] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 687] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 687] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 687] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 687] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 687] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 6 -> 7) -[DEBUG][time= 687] DispatchQueue_2: LsDpQ: valid_entries = 2, head = (1, 6), tail = (1, 8), -[DEBUG][time= 687] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080000f70 size 3 data 0000000000000008 mask 00ff cmd 0 -[DEBUG][time= 687] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 687] LsExeUnit: sbuffer id 1 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 687] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 687] LsExeUnit: LSU fire: pc 0080000040 addr 0000000080000f70 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 687] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 687] LsExeUnit: stqinfo: stqValid.asUInt 00000011 stqHead 2 stqTail 0 stqCommited 2 emptySlot 2 -[DEBUG][time= 687] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484112------> s1 fire!!! -[DEBUG][time= 687] FakeCache: [Stage1_data] instr1:0x02013423 instr2:0x00a13c23 -[DEBUG][time= 687] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 687] FakeCache: [Stage2_data] instr1:0x0b613023 instr2:0x09713c23 -[DEBUG][time= 687] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 687] FakeCache: [Stage3_data] instr1:0xf2010113 instr2:0x0c813823 -[DEBUG][time= 687] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 687] IFU: [IF1]if1_valid:1 || if1_npc:0x00800001f0 || if1_pcUpdate:1 if1_pc:0x00800001d0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 687] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001d0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 687] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 687] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 687] IFU: [IF3]if3_valid:1 || if3_pc:0x00800001b0 if3_npc:0x00800001d0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 687] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000190 if4_npc:0x00800001b0 -[DEBUG][time= 687] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000dac -[DEBUG][time= 687] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] starPC:0x0080000190 GroupPC:0x0080000180n -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction f2010113 pnpc:0x0080000194 -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction 0c813823 pnpc:0x0080000198 -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction 0b313c23 pnpc:0x008000019c -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction 0c113c23 pnpc:0x00800001a0 -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction 0c913423 pnpc:0x00800001a4 -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction 0d213023 pnpc:0x00800001a8 -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction 0b413823 pnpc:0x00800001ac -[DEBUG][time= 687] IFU: [IFU-Out-FetchPacket] instruction 0b513423 pnpc:0x00800001b0 -[DEBUG][time= 687] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 687] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 687] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 687] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 687] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 687] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 687] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 687] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 687] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 687] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 687] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 687] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 687] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 687] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 687] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 687] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 687] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 687] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 687] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 687] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 687] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 687] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 687] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 687] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 687] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 687] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 687] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 687] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 687] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 687] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 687] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 687] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 687] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 687] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 687] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 687] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 687] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 687] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 687] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 687] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 687] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 687] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 687] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 687] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 687] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 687] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 687] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 687] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 687] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 687] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 687] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 687] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 687] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 687] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 687] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 687] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 687] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 687] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 687] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 687] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 687] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 687] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 687] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 687] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 687] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 687] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 687] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 687] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 687] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 687] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 687] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 687] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 687] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 687] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 687] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 687] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 687] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 687] IssueQueue_7: EnqCtrl(1 1) enqSelIq:1 Psrc/Rdy( 85:1 17:1 0:0) Dest: 32 oldDest: 0 pc:0080000c80 roqIdx:26 -[INFO ][time= 687] IssueQueue_7: Deq:(1 1) [ 70|0000000080000f50][ 74|0000000000000008][ 0|0000000000000000] pdest: 32 pc:0080000040 roqIdx:0a -[DEBUG][time= 687] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 687] IssueQueue_7: FireStage:Out(1 1) src1( 70|0000000080000f50) src2( 74|0000000000000008) src3( 0|0000000000000000) deqFlush:0 pc:0080000040 roqIdx:10 -[DEBUG][time= 687] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 687] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 687] IssueQueue_7: 1 |1|1| 79|1|0000000080008fe0| 78|1|000000008000009c| 0|0|0000000000000000| b |0080000060|12 -[DEBUG][time= 687] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 687] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 687] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 687] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 687] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 687] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 687] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 687] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 687] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 687] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 687] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 687] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 687] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 687] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 687] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 687] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 687] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 687] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 687] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 687] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 687] FreeList_1: head:0: 60 tail:1: 43 -[DEBUG][time= 687] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 687] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 687] Brq: ---------------- -[DEBUG][time= 687] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 687] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 687] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 687] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 687] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 687] Brq: enq v:0 rdy:1 pc:0000000000 brTag:f:0 v:13 -[DEBUG][time= 688] Tage: req: pc=0x0080000210, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 688] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 688] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 688] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f60| 0 1) tlb (1 1 0080000f60| 0 1) -[DEBUG][time= 688] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 688] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 688] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 688] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 688] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 688] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 688] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 688] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 688] BPUStage2: in:(1 1) pc=00800001f0 out:(1 1) pc=00800001d0 -[DEBUG][time= 688] BPUStage2: validLatch=1 pc=00800001d0 -[DEBUG][time= 688] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 688] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 688] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 688] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 688] BPUStage1: in:(1 1) pc=0080000210 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 688] BPUStage1: outPred:(1) pc=0x00800001f0, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 688] BPUStage3: [RAS]:pc=0x00800001d0, rasWritePosition= 1, rasWriteAddr=0x00800001d0 -[DEBUG][time= 688] BPUStage3: in:(1 1) pc=00800001d0 -[DEBUG][time= 688] BPUStage3: out:1 pc=00800001b0 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800001d0 -[DEBUG][time= 688] BPUStage3: flushS3=0 -[DEBUG][time= 688] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 688] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 688] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 688] JBTAC: read: pc=0x0080000210, histXORAddr=0x0080003dce, bank=7, row= 92, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 688] JBTAC: read_resp: pc=0x00800001f0, bank=7, row= 98, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 688] Frontend: inst:f2010113 pc:0080000190 -[INFO ][time= 688] Frontend: inst:0c813823 pc:0080000194 -[INFO ][time= 688] Frontend: inst:0b313c23 pc:0080000198 -[INFO ][time= 688] Frontend: inst:0c113c23 pc:008000019c -[INFO ][time= 688] Frontend: inst:0c913423 pc:00800001a0 -[INFO ][time= 688] Frontend: inst:0d213023 pc:00800001a4 -[DEBUG][time= 688] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000190 instr:f2010113 -[DEBUG][time= 688] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000194 instr:0c813823 -[DEBUG][time= 688] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:0080000198 instr:0b313c23 -[DEBUG][time= 688] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:008000019c instr:0c113c23 -[DEBUG][time= 688] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001a0 instr:0c913423 -[DEBUG][time= 688] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001a4 instr:0d213023 -[DEBUG][time= 688] Ibuffer: Enque: -[DEBUG][time= 688] Ibuffer: 00001011011000010011000000100011 -[DEBUG][time= 688] Ibuffer: 00001001011100010011110000100011 -[DEBUG][time= 688] Ibuffer: 00001001100000010011100000100011 -[DEBUG][time= 688] Ibuffer: 00001001100100010011010000100011 -[DEBUG][time= 688] Ibuffer: 00001001101000010011000000100011 -[DEBUG][time= 688] Ibuffer: 00000111101100010011110000100011 -[DEBUG][time= 688] Ibuffer: 00000000000000010011010000100011 -[DEBUG][time= 688] Ibuffer: 00000000000000010011100000100011 -[DEBUG][time= 688] Ibuffer: Deque: -[DEBUG][time= 688] Ibuffer: 11110010000000010000000100010011 PC=0080000190 v=1 r=1 -[DEBUG][time= 688] Ibuffer: 00001100100000010011100000100011 PC=0080000194 v=1 r=1 -[DEBUG][time= 688] Ibuffer: 00001011001100010011110000100011 PC=0080000198 v=1 r=1 -[DEBUG][time= 688] Ibuffer: 00001100000100010011110000100011 PC=008000019c v=1 r=1 -[DEBUG][time= 688] Ibuffer: 00001100100100010011010000100011 PC=00800001a0 v=1 r=1 -[DEBUG][time= 688] Ibuffer: 00001101001000010011000000100011 PC=00800001a4 v=1 r=1 -[DEBUG][time= 688] Ibuffer: last_head_ptr= 0 last_tail_ptr= 16 -[DEBUG][time= 688] BTB: read: pc=0x0080000210, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 688] BTB: read_resp: pc=0x00800001f0, readIdx=248------------------------------- -[DEBUG][time= 688] BTB: read_resp[b=0][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=1][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=2][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=3][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=4][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=5][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=6][r= 0]: valid=1, tag=0x00400000, target=0x0080000080, type=1, ctr=2 -[DEBUG][time= 688] BTB: read_resp[b=7][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b= 8][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b= 9][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=10][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=11][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=12][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=13][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=14][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: read_resp[b=15][r=15]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 688] BTB: bankIdxInOrder:[DEBUG][time= 688] BTB: 8 [DEBUG][time= 688] BTB: 9 [DEBUG][time= 688] BTB: 10 [DEBUG][time= 688] BTB: 11 [DEBUG][time= 688] BTB: 12 [DEBUG][time= 688] BTB: 13 [DEBUG][time= 688] BTB: 14 [DEBUG][time= 688] BTB: 15 [DEBUG][time= 688] BTB: 0 [DEBUG][time= 688] BTB: 1 [DEBUG][time= 688] BTB: 2 [DEBUG][time= 688] BTB: 3 [DEBUG][time= 688] BTB: 4 [DEBUG][time= 688] BTB: 5 [DEBUG][time= 688] BTB: 6 [DEBUG][time= 688] BTB: 7 [DEBUG][time= 688] BTB: -[INFO ][time= 688] Rename: pc:0080000c94 in v:0 in rdy:1 lsrc1: 1 -> psrc1: 91 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 0 old_pdest: 0 out v:0 r:1 -[INFO ][time= 688] Rename: pc:0080000c98 in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:14 -> pdest: 32 old_pdest: 76 out v:0 r:1 -[INFO ][time= 688] Rename: pc:0080000c9c in v:0 in rdy:1 lsrc1:10 -> psrc1: 90 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 688] Rename: pc:0080000ca0 in v:0 in rdy:1 lsrc1: 0 -> psrc1: 0 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:10 -> pdest: 32 old_pdest: 90 out v:0 r:1 -[INFO ][time= 688] Rename: pc:0080000ca4 in v:0 in rdy:1 lsrc1:14 -> psrc1: 76 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:1 -[INFO ][time= 688] Rename: pc:0080000ca8 in v:0 in rdy:1 lsrc1:15 -> psrc1: 75 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest:15 -> pdest: 32 old_pdest: 75 out v:0 r:1 -[INFO ][time= 688] Rename: int rat arch: ldest:15 pdest: 75 -[INFO ][time= 688] Rename: int rat arch: ldest:14 pdest: 76 -[INFO ][time= 688] Rename: int rat arch: ldest:10 pdest: 77 -[DEBUG][time= 688] Dispatch1: v:0 r:1 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 688] Dispatch1: v:0 r:1 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 688] Dispatch1: v:0 r:1 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 688] Dispatch1: v:0 r:1 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 688] Dispatch1: v:0 r:1 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 688] Dispatch1: v:0 r:1 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 688] Dispatch2: ls dp queue 0: 0080000c84 type 1101 -[DEBUG][time= 688] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 688] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 688] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 688] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 688] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 688] Dispatch2: regfile 0 from 0 -[DEBUG][time= 688] Dispatch2: regfile 1 from 0 -[DEBUG][time= 688] Dispatch2: regfile 2 from 1 -[DEBUG][time= 688] Dispatch2: regfile 3 from 1 -[DEBUG][time= 688] Dispatch2: regfile 4 from 2 -[DEBUG][time= 688] Dispatch2: regfile 5 from 2 -[DEBUG][time= 688] Dispatch2: regfile 6 from 3 -[DEBUG][time= 688] Dispatch2: regfile 7 from 3 -[DEBUG][time= 688] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 9: addr 86, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 12: addr 86, state 1 -[DEBUG][time= 688] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 688] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 0 -[DEBUG][time= 688] Dispatch2: pc 0x0080000c80 reads operands from ( 8, 85, 0000000080008f80), ( 9, 17, d95ad4be237be8dc), ( 0, 0, 0000000000000000) -[INFO ][time= 688] DecodeBuffer: in v:1 r:1 pc=0080000190 -[INFO ][time= 688] DecodeBuffer: in v:1 r:1 pc=0080000194 -[INFO ][time= 688] DecodeBuffer: in v:1 r:1 pc=0080000198 -[INFO ][time= 688] DecodeBuffer: in v:1 r:1 pc=008000019c -[INFO ][time= 688] DecodeBuffer: in v:1 r:1 pc=00800001a0 -[INFO ][time= 688] DecodeBuffer: in v:1 r:1 pc=00800001a4 -[INFO ][time= 688] DecodeBuffer: out v:0 r:1 pc=0080000c94 -[INFO ][time= 688] DecodeBuffer: out v:0 r:1 pc=0080000c98 -[INFO ][time= 688] DecodeBuffer: out v:0 r:1 pc=0080000c9c -[INFO ][time= 688] DecodeBuffer: out v:0 r:1 pc=0080000ca0 -[INFO ][time= 688] DecodeBuffer: out v:0 r:1 pc=0080000ca4 -[INFO ][time= 688] DecodeBuffer: out v:0 r:1 pc=0080000ca8 -[ERROR][time= 688] Roq: CSR block should only happen in s_idle -[DEBUG][time= 688] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 688] Roq: retired pc 0080000040 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 688] Roq: retired pc 0080000044 wen 1 ldst 15 data 0000000000000005 -[INFO ][time= 688] Roq: retired pc 0080000048 wen 1 ldst 14 data 0000000080000f78 -[INFO ][time= 688] Roq: retired pc 008000004c wen 0 ldst 0 data 00000000000000a0 -[INFO ][time= 688] Roq: retired pc 0080000050 wen 1 ldst 10 data 0000000000000000 -[INFO ][time= 688] Roq: retired pc 0080000054 wen 1 ldst 0 data 0000000080000058 -[INFO ][time= 688] Roq: retired 6 insts -[DEBUG][time= 688] Roq: head 1: 9 tail 0:10 -[DEBUG][time= 688] Roq: wwvvvvvvw-wwwwwwwwvwwwwwwwwvvvww -[DEBUG][time= 688] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 688] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 688] Roq: 0080000c88 w 008000003c - 0080000040 w 0080000044 w -[DEBUG][time= 688] Roq: 0080000048 w 008000004c w 0080000050 w 0080000054 w -[DEBUG][time= 688] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 688] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 688] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 688] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 688] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 7), tail = (1, 8), -[DEBUG][time= 688] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f60 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 688] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 688] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 688] LsExeUnit: sbuffer id 1 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 688] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000040 addr 0000000080000f70 data 0000000000000008 func 0b wmask 000000011111111 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 688] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 688] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 688] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 2 emptySlot 3 -[DEBUG][time= 688] LsExeUnit: retiringStore now... -[INFO ][time= 688] LsExeUnit: [DMEM STORE REQ] addr 0x80000f60 wdata 0x0000000000000006 size 3 -[DEBUG][time= 688] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484144------> s1 fire!!! -[DEBUG][time= 688] FakeCache: [Stage1_data] instr1:0x00001917 instr2:0xae890913 -[DEBUG][time= 688] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 688] FakeCache: [Stage2_data] instr1:0x02013423 instr2:0x00a13c23 -[DEBUG][time= 688] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 688] FakeCache: [Stage3_data] instr1:0x0b613023 instr2:0x09713c23 -[DEBUG][time= 688] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 688] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000210 || if1_pcUpdate:1 if1_pc:0x00800001f0 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 688] IFU: [IF2]if2_valid:1 || if2_pc:0x00800001f0 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 688] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 688] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 688] IFU: [IF3]if3_valid:1 || if3_pc:0x00800001d0 if3_npc:0x00800001f0 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 688] IFU: [IF4]if4_valid:1 || if4_pc:0x00800001b0 if4_npc:0x00800001d0 -[DEBUG][time= 688] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001d0 -[DEBUG][time= 688] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] starPC:0x00800001b0 GroupPC:0x00800001a0n -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 0b613023 pnpc:0x00800001b4 -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 09713c23 pnpc:0x00800001b8 -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 09813823 pnpc:0x00800001bc -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 09913423 pnpc:0x00800001c0 -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 09a13023 pnpc:0x00800001c4 -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 07b13c23 pnpc:0x00800001c8 -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 00013423 pnpc:0x00800001cc -[DEBUG][time= 688] IFU: [IFU-Out-FetchPacket] instruction 00013823 pnpc:0x00800001d0 -[DEBUG][time= 688] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 688] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 688] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 688] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 688] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 688] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 688] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 688] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 688] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 688] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 688] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 688] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 688] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 688] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 688] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 688] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 688] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 688] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 688] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 688] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 688] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 688] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 688] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 688] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 688] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 688] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 688] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 688] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 688] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 688] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 688] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 688] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 688] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 688] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 688] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 688] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 688] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 688] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 688] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 688] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 688] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 688] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 688] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 688] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 688] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 688] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 688] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 688] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 688] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 688] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 688] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 688] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 688] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 688] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 688] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 688] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 688] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 688] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 688] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 688] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 688] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 688] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 688] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 688] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 688] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 688] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 688] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 688] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 688] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 688] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 688] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 688] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 688] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 688] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 688] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 688] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 688] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 688] IssueQueue_7: EnqData: src1:0000000080008f80 src2:d95ad4be237be8dc src3:0000000000000000 pc:0080000c80 roqIdx:26(for last cycle's Ctrl) -[DEBUG][time= 688] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 688] IssueQueue_7: FireStage:Out(1 0) src1( 79|0000000080008fe0) src2( 78|000000008000009c) src3( 0|0000000000000000) deqFlush:0 pc:0080000060 roqIdx:18 -[DEBUG][time= 688] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 688] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 688] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 688] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 688] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 688] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 688] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 688] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 688] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 688] IssueQueue_7: 1 |1|1| 85|1|0000000080008fe0| 17|1|000000008000009c| 0|0|0000000000000000| c |0080000c80|26 -[DEBUG][time= 688] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 688] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 688] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 688] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 688] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 688] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 688] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 688] FreeList_1: dealloc preg: 69 -[DEBUG][time= 688] FreeList_1: dealloc preg: 70 -[DEBUG][time= 688] FreeList_1: dealloc preg: 72 -[DEBUG][time= 688] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 688] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 688] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 688] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 688] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 688] FreeList_1: req:0 canAlloc:1 pdest: 92 headNext:0: 60 -[DEBUG][time= 688] FreeList_1: head:0: 60 tail:1: 43 -[DEBUG][time= 688] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 688] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 688] Brq: ---------------- -[DEBUG][time= 688] Brq: enq v:0 rdy:1 pc:0080000190 brTag:f:0 v:13 -[DEBUG][time= 688] Brq: enq v:0 rdy:1 pc:0080000194 brTag:f:0 v:13 -[DEBUG][time= 688] Brq: enq v:0 rdy:1 pc:0080000198 brTag:f:0 v:13 -[DEBUG][time= 688] Brq: enq v:0 rdy:1 pc:008000019c brTag:f:0 v:13 -[DEBUG][time= 688] Brq: enq v:0 rdy:1 pc:00800001a0 brTag:f:0 v:13 -[DEBUG][time= 688] Brq: enq v:0 rdy:1 pc:00800001a4 brTag:f:0 v:13 -[DEBUG][time= 689] Tage: req: pc=0x0080000230, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 689] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 689] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 689] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 0 0080000f60| 0 1) -[DEBUG][time= 689] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 689] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 689] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 689] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 689] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 689] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 689] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 689] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 689] BusyTable_1: Allocate 92 -[DEBUG][time= 689] BPUStage2: in:(1 1) pc=0080000210 out:(1 1) pc=00800001f0 -[DEBUG][time= 689] BPUStage2: validLatch=1 pc=00800001f0 -[DEBUG][time= 689] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 689] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 689] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 689] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 689] BPUStage1: in:(1 1) pc=0080000230 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 689] BPUStage1: outPred:(1) pc=0x0080000210, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 689] BPUStage3: [RAS]:pc=0x00800001f0, rasWritePosition= 1, rasWriteAddr=0x00800001f0 -[DEBUG][time= 689] BPUStage3: in:(1 1) pc=00800001f0 -[DEBUG][time= 689] BPUStage3: out:1 pc=00800001d0 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=00800001f0 -[DEBUG][time= 689] BPUStage3: flushS3=0 -[DEBUG][time= 689] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 689] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 689] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 689] JBTAC: read: pc=0x0080000230, histXORAddr=0x0080003dee, bank=7, row= 94, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 689] JBTAC: read_resp: pc=0x0080000210, bank=7, row= 92, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 689] Frontend: inst:0b413823 pc:00800001a8 -[INFO ][time= 689] Frontend: inst:0b513423 pc:00800001ac -[INFO ][time= 689] Frontend: inst:0b613023 pc:00800001b0 -[INFO ][time= 689] Frontend: inst:09713c23 pc:00800001b4 -[INFO ][time= 689] Frontend: inst:09813823 pc:00800001b8 -[INFO ][time= 689] Frontend: inst:09913423 pc:00800001bc -[DEBUG][time= 689] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001a8 instr:0b413823 -[DEBUG][time= 689] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001ac instr:0b513423 -[DEBUG][time= 689] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001b0 instr:0b613023 -[DEBUG][time= 689] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001b4 instr:09713c23 -[DEBUG][time= 689] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001b8 instr:09813823 -[DEBUG][time= 689] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001bc instr:09913423 -[DEBUG][time= 689] Ibuffer: Enque: -[DEBUG][time= 689] Ibuffer: 00000010000000010011010000100011 -[DEBUG][time= 689] Ibuffer: 00000000101000010011110000100011 -[DEBUG][time= 689] Ibuffer: 00000000000001100000010000010011 -[DEBUG][time= 689] Ibuffer: 00000000000001101000100110010011 -[DEBUG][time= 689] Ibuffer: 00000000000000000000011110010011 -[DEBUG][time= 689] Ibuffer: 00000000000001010000010001100011 -[DEBUG][time= 689] Ibuffer: 00001110000001011001101001100011 -[DEBUG][time= 689] Ibuffer: 00000010111100010011000000100011 -[DEBUG][time= 689] Ibuffer: Deque: -[DEBUG][time= 689] Ibuffer: 00001011010000010011100000100011 PC=00800001a8 v=1 r=1 -[DEBUG][time= 689] Ibuffer: 00001011010100010011010000100011 PC=00800001ac v=1 r=1 -[DEBUG][time= 689] Ibuffer: 00001011011000010011000000100011 PC=00800001b0 v=1 r=1 -[DEBUG][time= 689] Ibuffer: 00001001011100010011110000100011 PC=00800001b4 v=1 r=1 -[DEBUG][time= 689] Ibuffer: 00001001100000010011100000100011 PC=00800001b8 v=1 r=1 -[DEBUG][time= 689] Ibuffer: 00001001100100010011010000100011 PC=00800001bc v=1 r=1 -[DEBUG][time= 689] Ibuffer: last_head_ptr= 12 last_tail_ptr= 32 -[DEBUG][time= 689] BTB: read: pc=0x0080000230, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 689] BTB: read_resp: pc=0x0080000210, readIdx= 8------------------------------- -[DEBUG][time= 689] BTB: read_resp[b=0][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=1][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=2][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=3][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=4][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=5][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=6][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=7][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b= 8][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b= 9][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=10][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=11][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=12][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=13][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=14][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: read_resp[b=15][r= 0]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 689] BTB: bankIdxInOrder:[DEBUG][time= 689] BTB: 8 [DEBUG][time= 689] BTB: 9 [DEBUG][time= 689] BTB: 10 [DEBUG][time= 689] BTB: 11 [DEBUG][time= 689] BTB: 12 [DEBUG][time= 689] BTB: 13 [DEBUG][time= 689] BTB: 14 [DEBUG][time= 689] BTB: 15 [DEBUG][time= 689] BTB: 0 [DEBUG][time= 689] BTB: 1 [DEBUG][time= 689] BTB: 2 [DEBUG][time= 689] BTB: 3 [DEBUG][time= 689] BTB: 4 [DEBUG][time= 689] BTB: 5 [DEBUG][time= 689] BTB: 6 [DEBUG][time= 689] BTB: 7 [DEBUG][time= 689] BTB: -[INFO ][time= 689] Rename: pc:0080000190 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 85 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 2 -> pdest: 92 old_pdest: 85 out v:1 r:1 -[INFO ][time= 689] Rename: pc:0080000194 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2: 8 -> psrc2: 32 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 689] Rename: pc:0080000198 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:19 -> psrc2: 19 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 689] Rename: pc:008000019c in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2: 1 -> psrc2: 91 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 689] Rename: pc:00800001a0 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2: 9 -> psrc2: 9 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 689] Rename: pc:00800001a4 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:18 -> psrc2: 18 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 689] Rename: int rat arch: ldest: 1 pdest: 78 -[INFO ][time= 689] Rename: int rat arch: ldest: 2 pdest: 79 -[DEBUG][time= 689] Dispatch1: v:0 r:1 pc 0x0080000c7c of type 1101 is in 0-th slot -[DEBUG][time= 689] Dispatch1: v:0 r:1 pc 0x0080000c80 of type 1101 is in 1-th slot -[DEBUG][time= 689] Dispatch1: v:0 r:1 pc 0x0080000c84 of type 1101 is in 2-th slot -[DEBUG][time= 689] Dispatch1: v:0 r:1 pc 0x0080000c88 of type 0000 is in 3-th slot -[DEBUG][time= 689] Dispatch1: v:0 r:1 pc 0x0080000c8c of type 1100 is in 4-th slot -[DEBUG][time= 689] Dispatch1: v:0 r:1 pc 0x0080000c90 of type 0011 is in 5-th slot -[DEBUG][time= 689] Dispatch2: ls dp queue 0: 0080000c84 type 1101 -[DEBUG][time= 689] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 689] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 689] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 689] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 689] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 689] Dispatch2: regfile 0 from 0 -[DEBUG][time= 689] Dispatch2: regfile 1 from 0 -[DEBUG][time= 689] Dispatch2: regfile 2 from 1 -[DEBUG][time= 689] Dispatch2: regfile 3 from 1 -[DEBUG][time= 689] Dispatch2: regfile 4 from 2 -[DEBUG][time= 689] Dispatch2: regfile 5 from 2 -[DEBUG][time= 689] Dispatch2: regfile 6 from 3 -[DEBUG][time= 689] Dispatch2: regfile 7 from 3 -[DEBUG][time= 689] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 9: addr 86, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 12: addr 86, state 1 -[DEBUG][time= 689] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 689] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 0 -[INFO ][time= 689] DecodeBuffer: in v:1 r:1 pc=00800001a8 -[INFO ][time= 689] DecodeBuffer: in v:1 r:1 pc=00800001ac -[INFO ][time= 689] DecodeBuffer: in v:1 r:1 pc=00800001b0 -[INFO ][time= 689] DecodeBuffer: in v:1 r:1 pc=00800001b4 -[INFO ][time= 689] DecodeBuffer: in v:1 r:1 pc=00800001b8 -[INFO ][time= 689] DecodeBuffer: in v:1 r:1 pc=00800001bc -[INFO ][time= 689] DecodeBuffer: out v:1 r:1 pc=0080000190 -[INFO ][time= 689] DecodeBuffer: out v:1 r:1 pc=0080000194 -[INFO ][time= 689] DecodeBuffer: out v:1 r:1 pc=0080000198 -[INFO ][time= 689] DecodeBuffer: out v:1 r:1 pc=008000019c -[INFO ][time= 689] DecodeBuffer: out v:1 r:1 pc=00800001a0 -[INFO ][time= 689] DecodeBuffer: out v:1 r:1 pc=00800001a4 -[ERROR][time= 689] Roq: CSR block should only happen in s_idle -[DEBUG][time= 689] Roq: (ready, valid): (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) (1, 0) -[INFO ][time= 689] Roq: retired pc 0080000098 wen 1 ldst 1 data 000000008000009c -[INFO ][time= 689] Roq: retired pc 008000005c wen 1 ldst 2 data 0000000080008fe0 -[INFO ][time= 689] Roq: retired 2 insts -[DEBUG][time= 689] Roq: head 1: 9 tail 0:16 -[DEBUG][time= 689] Roq: wwvvvvvvw-------wwvwwwwwwwwvvvww -[DEBUG][time= 689] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 689] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 689] Roq: 0080000c88 w 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 689] Roq: 0080000048 - 008000004c - 0080000050 - 0080000054 - -[DEBUG][time= 689] Roq: 0080000098 w 008000005c w 0080000060 v 0080000064 w -[DEBUG][time= 689] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 689] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 689] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 689] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 7), tail = (1, 8), -[DEBUG][time= 689] LsExeUnit: state 2 req.valid/ready 0/0 resp.valid/ready 0/1 addr 0000000080000f60 size 3 data 0000000000000006 mask 00ff cmd 1 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 0 data 06 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 689] LsExeUnit: forwarding data from stq, addr 0000000080000f60 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 689] LsExeUnit: sbuffer id 0 ptr 0 pc 0080000038 addr 0000000080000f60 data 0000000000000006 func 0b wmask 000000011111111 -[DEBUG][time= 689] LsExeUnit: sbuffer id 1 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 689] LsExeUnit: sbuffer id 2 ptr 2 pc 0080000040 addr 0000000080000f70 data 0000000000000008 func 0b wmask 000000011111111 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 0 ptr 0 valid 1 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 1 ptr 1 valid 1 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 2 ptr 2 valid 1 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 689] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 689] LsExeUnit: state: 2 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 689] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 0 stqCommited 3 emptySlot 3 -[DEBUG][time= 689] LsExeUnit: retiringStore now... -[DEBUG][time= 689] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484176------> s1 fire!!! -[DEBUG][time= 689] FakeCache: [Stage1_data] instr1:0x00810613 instr2:0x00100593 -[DEBUG][time= 689] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 689] FakeCache: [Stage2_data] instr1:0x00001917 instr2:0xae890913 -[DEBUG][time= 689] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 689] FakeCache: [Stage3_data] instr1:0x02013423 instr2:0x00a13c23 -[DEBUG][time= 689] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 689] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000230 || if1_pcUpdate:1 if1_pc:0x0080000210 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 689] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000210 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 689] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 689] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 689] IFU: [IF3]if3_valid:1 || if3_pc:0x00800001f0 if3_npc:0x0080000210 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 689] IFU: [IF4]if4_valid:1 || if4_pc:0x00800001d0 if4_npc:0x00800001f0 -[DEBUG][time= 689] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x00800001f0 -[DEBUG][time= 689] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] starPC:0x00800001d0 GroupPC:0x00800001c0n -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 02013423 pnpc:0x00800001d4 -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 00a13c23 pnpc:0x00800001d8 -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 00060413 pnpc:0x00800001dc -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 00068993 pnpc:0x00800001e0 -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 00000793 pnpc:0x00800001e4 -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 00050463 pnpc:0x00800001e8 -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 0e059a63 pnpc:0x00800001ec -[DEBUG][time= 689] IFU: [IFU-Out-FetchPacket] instruction 02f13023 pnpc:0x00800001f0 -[DEBUG][time= 689] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 689] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 689] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 689] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 689] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 689] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 689] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 689] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 689] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 689] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 689] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 689] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 689] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 689] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 689] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 689] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 689] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 689] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 689] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 689] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 689] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 689] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 689] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 689] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 689] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 689] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 689] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 689] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 689] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 689] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 689] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 689] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 689] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 689] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 689] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 689] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 689] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 689] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 689] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 689] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 689] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 689] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 689] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 689] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 689] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 689] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 689] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 689] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 689] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 689] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 689] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 689] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 689] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 689] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 689] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 689] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 689] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 689] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 689] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 689] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 689] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 689] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 689] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 689] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 689] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 689] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 689] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 689] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 689] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 689] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 689] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 689] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 689] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 689] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 689] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 689] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 689] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 689] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 689] IssueQueue_7: FireStage:Out(1 0) src1( 79|0000000080008fe0) src2( 78|000000008000009c) src3( 0|0000000000000000) deqFlush:0 pc:0080000060 roqIdx:18 -[DEBUG][time= 689] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 689] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 689] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 689] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 689] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 689] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 689] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 689] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 689] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 689] IssueQueue_7: 1 |1|1| 85|1|0000000080008f80| 17|1|d95ad4be237be8dc| 0|0|0000000000000000| c |0080000c80|26 -[DEBUG][time= 689] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 689] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 689] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 689] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 689] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 689] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 689] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 689] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 689] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 689] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 689] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 689] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 689] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 689] FreeList_1: dealloc preg: 42 -[DEBUG][time= 689] FreeList_1: dealloc preg: 36 -[DEBUG][time= 689] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 689] FreeList_1: req:1 canAlloc:1 pdest: 92 headNext:0: 61 -[DEBUG][time= 689] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 689] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 689] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 689] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 689] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 689] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 689] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 689] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 689] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 689] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 689] FreeList_1: head:0: 60 tail:1: 46 -[DEBUG][time= 689] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 689] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 689] Brq: ---------------- -[DEBUG][time= 689] Brq: enq v:0 rdy:1 pc:00800001a8 brTag:f:0 v:13 -[DEBUG][time= 689] Brq: enq v:0 rdy:1 pc:00800001ac brTag:f:0 v:13 -[DEBUG][time= 689] Brq: enq v:0 rdy:1 pc:00800001b0 brTag:f:0 v:13 -[DEBUG][time= 689] Brq: enq v:0 rdy:1 pc:00800001b4 brTag:f:0 v:13 -[DEBUG][time= 689] Brq: enq v:0 rdy:1 pc:00800001b8 brTag:f:0 v:13 -[DEBUG][time= 689] Brq: enq v:0 rdy:1 pc:00800001bc brTag:f:0 v:13 -[DEBUG][time= 690] Tage: req: pc=0x0080000250, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 690] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 690] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 690] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 0 00000000| 0 1) cache (0 0 80000f68| 1 1) tlb (1 0 0080000f68| 1 1) -[DEBUG][time= 690] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 690] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 690] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 690] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 690] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 690] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 690] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 690] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 690] BusyTable_1: 92 is busy -[DEBUG][time= 690] BPUStage2: in:(1 1) pc=0080000230 out:(1 1) pc=0080000210 -[DEBUG][time= 690] BPUStage2: validLatch=1 pc=0080000210 -[DEBUG][time= 690] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 690] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 690] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 690] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 690] BPUStage1: in:(1 1) pc=0080000250 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 690] BPUStage1: outPred:(1) pc=0x0080000230, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 690] BPUStage3: [RAS]:pc=0x0080000210, rasWritePosition= 1, rasWriteAddr=0x0080000210 -[DEBUG][time= 690] BPUStage3: in:(1 1) pc=0080000210 -[DEBUG][time= 690] BPUStage3: out:1 pc=00800001f0 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000210 -[DEBUG][time= 690] BPUStage3: flushS3=0 -[DEBUG][time= 690] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 690] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 690] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 690] JBTAC: read: pc=0x0080000250, histXORAddr=0x0080003d8e, bank=7, row= 88, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 690] JBTAC: read_resp: pc=0x0080000230, bank=7, row= 94, target=0x0000000000, offset= 0, hit=0 -[INFO ][time= 690] Frontend: inst:09a13023 pc:00800001c0 -[INFO ][time= 690] Frontend: inst:07b13c23 pc:00800001c4 -[INFO ][time= 690] Frontend: inst:00013423 pc:00800001c8 -[INFO ][time= 690] Frontend: inst:00013823 pc:00800001cc -[INFO ][time= 690] Frontend: inst:02013423 pc:00800001d0 -[INFO ][time= 690] Frontend: inst:00a13c23 pc:00800001d4 -[DEBUG][time= 690] DecodeStage: i:0 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001c0 instr:09a13023 -[DEBUG][time= 690] DecodeStage: i:1 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001c4 instr:07b13c23 -[DEBUG][time= 690] DecodeStage: i:2 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001c8 instr:00013423 -[DEBUG][time= 690] DecodeStage: i:3 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001cc instr:00013823 -[DEBUG][time= 690] DecodeStage: i:4 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001d0 instr:02013423 -[DEBUG][time= 690] DecodeStage: i:5 In(1 1) Out(1 1) ToBrq(0 1) pc:00800001d4 instr:00a13c23 -[DEBUG][time= 690] Ibuffer: Enque: -[DEBUG][time= 690] Ibuffer: 00000000000000000001100100010111 -[DEBUG][time= 690] Ibuffer: 10101110100010010000100100010011 -[DEBUG][time= 690] Ibuffer: 00000011100100000000101000010011 -[DEBUG][time= 690] Ibuffer: 00000000000001000100010100000011 -[DEBUG][time= 690] Ibuffer: 00000010000001010000001001100011 -[DEBUG][time= 690] Ibuffer: 00000010010100000000011110010011 -[DEBUG][time= 690] Ibuffer: 00000000000101000000010000010011 -[DEBUG][time= 690] Ibuffer: 00000110111101010000001001100011 -[DEBUG][time= 690] Ibuffer: Deque: -[DEBUG][time= 690] Ibuffer: 00001001101000010011000000100011 PC=00800001c0 v=1 r=1 -[DEBUG][time= 690] Ibuffer: 00000111101100010011110000100011 PC=00800001c4 v=1 r=1 -[DEBUG][time= 690] Ibuffer: 00000000000000010011010000100011 PC=00800001c8 v=1 r=1 -[DEBUG][time= 690] Ibuffer: 00000000000000010011100000100011 PC=00800001cc v=1 r=1 -[DEBUG][time= 690] Ibuffer: 00000010000000010011010000100011 PC=00800001d0 v=1 r=1 -[DEBUG][time= 690] Ibuffer: 00000000101000010011110000100011 PC=00800001d4 v=1 r=1 -[DEBUG][time= 690] Ibuffer: last_head_ptr= 24 last_tail_ptr= 48 -[DEBUG][time= 690] BTB: read: pc=0x0080000250, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 690] BTB: read_resp: pc=0x0080000230, readIdx= 24------------------------------- -[DEBUG][time= 690] BTB: read_resp[b=0][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=1][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=2][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=3][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=4][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=5][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=6][r= 2]: valid=1, tag=0x00400000, target=0x0080000020, type=0, ctr=2 -[DEBUG][time= 690] BTB: read_resp[b=7][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b= 8][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b= 9][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=10][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=11][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=12][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=13][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=14][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: read_resp[b=15][r= 1]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 690] BTB: bankIdxInOrder:[DEBUG][time= 690] BTB: 8 [DEBUG][time= 690] BTB: 9 [DEBUG][time= 690] BTB: 10 [DEBUG][time= 690] BTB: 11 [DEBUG][time= 690] BTB: 12 [DEBUG][time= 690] BTB: 13 [DEBUG][time= 690] BTB: 14 [DEBUG][time= 690] BTB: 15 [DEBUG][time= 690] BTB: 0 [DEBUG][time= 690] BTB: 1 [DEBUG][time= 690] BTB: 2 [DEBUG][time= 690] BTB: 3 [DEBUG][time= 690] BTB: 4 [DEBUG][time= 690] BTB: 5 [DEBUG][time= 690] BTB: 6 [DEBUG][time= 690] BTB: 7 [DEBUG][time= 690] BTB: -[INFO ][time= 690] Rename: pc:00800001a8 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:20 -> psrc2: 20 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 690] Rename: pc:00800001ac in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:21 -> psrc2: 21 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 690] Rename: pc:00800001b0 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:22 -> psrc2: 22 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 690] Rename: pc:00800001b4 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:23 -> psrc2: 23 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 690] Rename: pc:00800001b8 in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:24 -> psrc2: 24 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 690] Rename: pc:00800001bc in v:1 in rdy:1 lsrc1: 2 -> psrc1: 92 lsrc2:25 -> psrc2: 25 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:1 r:1 -[INFO ][time= 690] Dispatch1: pc 0x0080000190 accepted by queue 1 0 0 -[INFO ][time= 690] Dispatch1: pc 0x0080000194 accepted by queue 0 0 1 -[INFO ][time= 690] Dispatch1: pc 0x0080000198 accepted by queue 0 0 1 -[INFO ][time= 690] Dispatch1: pc 0x008000019c accepted by queue 0 0 1 -[INFO ][time= 690] Dispatch1: pc 0x00800001a0 accepted by queue 0 0 1 -[INFO ][time= 690] Dispatch1: pc 0x00800001a4 accepted by queue 0 0 1 -[DEBUG][time= 690] Dispatch1: pc 0x0080000190 receives nroq 41 -[DEBUG][time= 690] Dispatch1: v:1 r:1 pc 0x0080000190 of type 0011 is in 0-th slot -[DEBUG][time= 690] Dispatch1: pc 0x0080000194 receives nroq 42 -[DEBUG][time= 690] Dispatch1: v:1 r:1 pc 0x0080000194 of type 1101 is in 1-th slot -[DEBUG][time= 690] Dispatch1: pc 0x0080000198 receives nroq 43 -[DEBUG][time= 690] Dispatch1: v:1 r:1 pc 0x0080000198 of type 1101 is in 2-th slot -[DEBUG][time= 690] Dispatch1: pc 0x008000019c receives nroq 44 -[DEBUG][time= 690] Dispatch1: v:1 r:1 pc 0x008000019c of type 1101 is in 3-th slot -[DEBUG][time= 690] Dispatch1: pc 0x00800001a0 receives nroq 45 -[DEBUG][time= 690] Dispatch1: v:1 r:1 pc 0x00800001a0 of type 1101 is in 4-th slot -[DEBUG][time= 690] Dispatch1: pc 0x00800001a4 receives nroq 46 -[DEBUG][time= 690] Dispatch1: v:1 r:1 pc 0x00800001a4 of type 1101 is in 5-th slot -[DEBUG][time= 690] Dispatch2: ls dp queue 0: 0080000c84 type 1101 -[DEBUG][time= 690] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 690] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 690] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 690] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 690] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 690] Dispatch2: regfile 0 from 0 -[DEBUG][time= 690] Dispatch2: regfile 1 from 0 -[DEBUG][time= 690] Dispatch2: regfile 2 from 1 -[DEBUG][time= 690] Dispatch2: regfile 3 from 1 -[DEBUG][time= 690] Dispatch2: regfile 4 from 2 -[DEBUG][time= 690] Dispatch2: regfile 5 from 2 -[DEBUG][time= 690] Dispatch2: regfile 6 from 3 -[DEBUG][time= 690] Dispatch2: regfile 7 from 3 -[DEBUG][time= 690] Dispatch2: int regfile 0: addr 36, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 9: addr 86, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 12: addr 86, state 1 -[DEBUG][time= 690] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 690] Dispatch2: pc 0x0080000c84 waits at Ls dispatch queue with index 0 -[INFO ][time= 690] DecodeBuffer: in v:1 r:1 pc=00800001c0 -[INFO ][time= 690] DecodeBuffer: in v:1 r:1 pc=00800001c4 -[INFO ][time= 690] DecodeBuffer: in v:1 r:1 pc=00800001c8 -[INFO ][time= 690] DecodeBuffer: in v:1 r:1 pc=00800001cc -[INFO ][time= 690] DecodeBuffer: in v:1 r:1 pc=00800001d0 -[INFO ][time= 690] DecodeBuffer: in v:1 r:1 pc=00800001d4 -[INFO ][time= 690] DecodeBuffer: out v:1 r:1 pc=00800001a8 -[INFO ][time= 690] DecodeBuffer: out v:1 r:1 pc=00800001ac -[INFO ][time= 690] DecodeBuffer: out v:1 r:1 pc=00800001b0 -[INFO ][time= 690] DecodeBuffer: out v:1 r:1 pc=00800001b4 -[INFO ][time= 690] DecodeBuffer: out v:1 r:1 pc=00800001b8 -[INFO ][time= 690] DecodeBuffer: out v:1 r:1 pc=00800001bc -[ERROR][time= 690] Roq: CSR block should only happen in s_idle -[DEBUG][time= 690] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) (1, 1) -[INFO ][time= 690] Roq: dispatched 6 insts -[DEBUG][time= 690] Roq: head 1: 9 tail 0:18 -[DEBUG][time= 690] Roq: wwvvvvvvw---------vwwwwwwwwvvvww -[DEBUG][time= 690] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 690] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 690] Roq: 0080000c88 w 008000003c - 0080000040 - 0080000044 - -[DEBUG][time= 690] Roq: 0080000048 - 008000004c - 0080000050 - 0080000054 - -[DEBUG][time= 690] Roq: 0080000098 - 008000005c - 0080000060 v 0080000064 w -[DEBUG][time= 690] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 690] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 690] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 690] DispatchQueue_2: LsDpQ: num_enq = 5, tail = ( 8 -> 13) -[DEBUG][time= 690] DispatchQueue_2: LsDpQ: valid_entries = 1, head = (1, 7), tail = (1, 8), -[DEBUG][time= 690] DispatchQueue: IntDpQ: num_enq = 1, tail = (15 -> 0) -[DEBUG][time= 690] LsExeUnit: state 0 req.valid/ready 1/0 resp.valid/ready 1/1 addr 0000000080000f68 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 690] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 690] LsExeUnit: sbuffer id 0 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 690] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000040 addr 0000000080000f70 data 0000000000000008 func 0b wmask 000000011111111 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 690] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 690] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 690] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 690] LsExeUnit: retiringStore now... -[INFO ][time= 690] LsExeUnit: [DMEM RESP] data 0000000000000007 -[DEBUG][time= 690] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484208------> s1 fire!!! -[DEBUG][time= 690] FakeCache: [Stage1_data] instr1:0x0d813083 instr2:0x0d013403 -[DEBUG][time= 690] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 690] FakeCache: [Stage2_data] instr1:0x00810613 instr2:0x00100593 -[DEBUG][time= 690] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 690] FakeCache: [Stage3_data] instr1:0x00001917 instr2:0xae890913 -[DEBUG][time= 690] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 690] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000250 || if1_pcUpdate:1 if1_pc:0x0080000230 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 690] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000230 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 690] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 690] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 690] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000210 if3_npc:0x0080000230 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 690] IFU: [IF4]if4_valid:1 || if4_pc:0x00800001f0 if4_npc:0x0080000210 -[DEBUG][time= 690] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000210 -[DEBUG][time= 690] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] starPC:0x00800001f0 GroupPC:0x00800001e0n -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction 00001917 pnpc:0x00800001f4 -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction ae890913 pnpc:0x00800001f8 -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction 03900a13 pnpc:0x00800001fc -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction 00044503 pnpc:0x0080000200 -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction 02050263 pnpc:0x0080000204 -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction 02500793 pnpc:0x0080000208 -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction 00140413 pnpc:0x008000020c -[DEBUG][time= 690] IFU: [IFU-Out-FetchPacket] instruction 06f50263 pnpc:0x0080000210 -[DEBUG][time= 690] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 690] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 690] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 690] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 690] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 690] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 690] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 690] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 690] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 690] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 690] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 690] IssueQueue_1: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 690] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 690] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 690] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 690] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 690] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 690] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 690] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 690] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 690] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 690] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 690] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 690] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 690] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 690] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 690] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 690] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 690] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 690] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 690] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 690] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 690] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 690] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 690] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 690] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 690] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 690] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 690] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 690] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 690] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 690] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 690] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 690] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 690] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 690] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 690] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 690] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 690] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 690] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 690] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 690] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 690] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 690] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 690] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 690] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 690] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 690] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 690] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 690] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 690] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 690] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 690] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 690] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 690] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 690] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 690] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 690] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 690] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 690] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 690] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 690] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 690] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 690] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 690] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 690] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 690] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 690] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 690] IssueQueue_7: FireStage:Out(1 0) src1( 79|0000000080008fe0) src2( 78|000000008000009c) src3( 0|0000000000000000) deqFlush:0 pc:0080000060 roqIdx:18 -[DEBUG][time= 690] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 690] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 690] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 690] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 690] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 690] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 690] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 690] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 690] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 690] IssueQueue_7: 1 |1|1| 85|1|0000000080008f80| 17|1|d95ad4be237be8dc| 0|0|0000000000000000| c |0080000c80|26 -[DEBUG][time= 690] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 690] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 690] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 690] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 690] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 690] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 690] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 690] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 690] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 690] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 690] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 690] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 690] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 690] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 690] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 690] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 690] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 690] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 690] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 690] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 690] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 690] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 690] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 690] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 690] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 690] FreeList_1: head:0: 61 tail:1: 48 -[DEBUG][time= 690] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 690] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 690] Brq: ---------------- -[DEBUG][time= 690] Brq: enq v:0 rdy:1 pc:00800001c0 brTag:f:0 v:13 -[DEBUG][time= 690] Brq: enq v:0 rdy:1 pc:00800001c4 brTag:f:0 v:13 -[DEBUG][time= 690] Brq: enq v:0 rdy:1 pc:00800001c8 brTag:f:0 v:13 -[DEBUG][time= 690] Brq: enq v:0 rdy:1 pc:00800001cc brTag:f:0 v:13 -[DEBUG][time= 690] Brq: enq v:0 rdy:1 pc:00800001d0 brTag:f:0 v:13 -[DEBUG][time= 690] Brq: enq v:0 rdy:1 pc:00800001d4 brTag:f:0 v:13 -[DEBUG][time= 691] Tage: req: pc=0x0080000270, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 691] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 691] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 691] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (0 1 00000000| 0 1) tlb (0 1 0080008fe8| 0 1) -[DEBUG][time= 691] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 691] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 691] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 691] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 691] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 691] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 691] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 691] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 691] BusyTable_1: 92 is busy -[DEBUG][time= 691] BPUStage2: in:(1 1) pc=0080000250 out:(1 1) pc=0080000230 -[DEBUG][time= 691] BPUStage2: validLatch=1 pc=0080000230 -[DEBUG][time= 691] DispatchGen: priority: data(0) = 0, priority = 0 -[DEBUG][time= 691] DispatchGen: priority: data(1) = 0, priority = 1 -[DEBUG][time= 691] DispatchGen: priority: data(2) = 0, priority = 2 -[DEBUG][time= 691] DispatchGen: priority: data(3) = 0, priority = 3 -[DEBUG][time= 691] BPUStage1: in:(1 1) pc=0080000270 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 691] BPUStage1: outPred:(1) pc=0x0080000250, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 691] BPUStage3: [RAS]:pc=0x0080000230, rasWritePosition= 1, rasWriteAddr=0x0080000230 -[DEBUG][time= 691] BPUStage3: in:(1 1) pc=0080000230 -[DEBUG][time= 691] BPUStage3: out:1 pc=0080000210 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000230 -[DEBUG][time= 691] BPUStage3: flushS3=0 -[DEBUG][time= 691] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 691] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 691] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 691] JBTAC: read: pc=0x0080000270, histXORAddr=0x0080003dae, bank=7, row= 90, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 691] JBTAC: read_resp: pc=0x0080000250, bank=7, row= 88, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 691] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 691] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 691] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 691] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 691] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 691] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 691] Ibuffer: Enque: -[DEBUG][time= 691] Ibuffer: 00000000100000010000011000010011 -[DEBUG][time= 691] Ibuffer: 00000000000100000000010110010011 -[DEBUG][time= 691] Ibuffer: 11101101110111111111000011101111 -[DEBUG][time= 691] Ibuffer: 00000000000001000100010100000011 -[DEBUG][time= 691] Ibuffer: 11111110000001010001001011100011 -[DEBUG][time= 691] Ibuffer: 00000001100000010011011110000011 -[DEBUG][time= 691] Ibuffer: 00000000000001111000010001100011 -[DEBUG][time= 691] Ibuffer: 00000000000001111000000000100011 -[DEBUG][time= 691] Ibuffer: Deque: -[DEBUG][time= 691] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 691] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 691] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 691] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 691] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 691] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 691] Ibuffer: last_head_ptr= 36 last_tail_ptr= 64 -[DEBUG][time= 691] BTB: read: pc=0x0080000270, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 691] BTB: read_resp: pc=0x0080000250, readIdx= 40------------------------------- -[DEBUG][time= 691] BTB: read_resp[b=0][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=1][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=2][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=3][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=4][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=5][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=6][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=7][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b= 8][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b= 9][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=10][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=11][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=12][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=13][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=14][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: read_resp[b=15][r= 2]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 691] BTB: bankIdxInOrder:[DEBUG][time= 691] BTB: 8 [DEBUG][time= 691] BTB: 9 [DEBUG][time= 691] BTB: 10 [DEBUG][time= 691] BTB: 11 [DEBUG][time= 691] BTB: 12 [DEBUG][time= 691] BTB: 13 [DEBUG][time= 691] BTB: 14 [DEBUG][time= 691] BTB: 15 [DEBUG][time= 691] BTB: 0 [DEBUG][time= 691] BTB: 1 [DEBUG][time= 691] BTB: 2 [DEBUG][time= 691] BTB: 3 [DEBUG][time= 691] BTB: 4 [DEBUG][time= 691] BTB: 5 [DEBUG][time= 691] BTB: 6 [DEBUG][time= 691] BTB: 7 [DEBUG][time= 691] BTB: -[INFO ][time= 691] Rename: pc:00800001c0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2:26 -> psrc2: 26 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 691] Rename: pc:00800001c4 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2:27 -> psrc2: 27 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 691] Rename: pc:00800001c8 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 691] Rename: pc:00800001cc in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 691] Rename: pc:00800001d0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 691] Rename: pc:00800001d4 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2:10 -> psrc2: 90 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 691] Dispatch1: pc 0x00800001a8 accepted by queue 0 0 1 -[INFO ][time= 691] Dispatch1: pc 0x00800001ac accepted by queue 0 0 1 -[INFO ][time= 691] Dispatch1: pc 0x00800001b0 accepted by queue 0 0 1 -[DEBUG][time= 691] Dispatch1: pc 0x00800001a8 receives nroq 47 -[DEBUG][time= 691] Dispatch1: v:1 r:0 pc 0x00800001a8 of type 1101 is in 0-th slot -[DEBUG][time= 691] Dispatch1: pc 0x00800001ac receives nroq 48 -[DEBUG][time= 691] Dispatch1: v:1 r:0 pc 0x00800001ac of type 1101 is in 1-th slot -[DEBUG][time= 691] Dispatch1: pc 0x00800001b0 receives nroq 49 -[DEBUG][time= 691] Dispatch1: v:1 r:0 pc 0x00800001b0 of type 1101 is in 2-th slot -[DEBUG][time= 691] Dispatch1: v:1 r:0 pc 0x00800001b4 of type 1101 is in 3-th slot -[DEBUG][time= 691] Dispatch1: v:1 r:0 pc 0x00800001b8 of type 1101 is in 4-th slot -[DEBUG][time= 691] Dispatch1: v:1 r:0 pc 0x00800001bc of type 1101 is in 5-th slot -[DEBUG][time= 691] Dispatch2: int dp queue 0: 0080000190 type 0011 -[DEBUG][time= 691] Dispatch2: ls dp queue 0: 0080000c84 type 1101 -[DEBUG][time= 691] Dispatch2: ls dp queue 1: 0080000194 type 1101 -[DEBUG][time= 691] Dispatch2: ls dp queue 2: 0080000198 type 1101 -[DEBUG][time= 691] Dispatch2: ls dp queue 3: 008000019c type 1101 -[DEBUG][time= 691] Dispatch2: dispatch to iq index 1: 0 -[DEBUG][time= 691] Dispatch2: dispatch to iq index 2: 1 -[DEBUG][time= 691] Dispatch2: dispatch to iq index 3: 2 -[DEBUG][time= 691] Dispatch2: dispatch to iq index 4: 3 -[DEBUG][time= 691] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 691] Dispatch2: regfile 0 from 0 -[DEBUG][time= 691] Dispatch2: regfile 1 from 0 -[DEBUG][time= 691] Dispatch2: regfile 2 from 1 -[DEBUG][time= 691] Dispatch2: regfile 3 from 1 -[DEBUG][time= 691] Dispatch2: regfile 4 from 2 -[DEBUG][time= 691] Dispatch2: regfile 5 from 2 -[DEBUG][time= 691] Dispatch2: regfile 6 from 3 -[DEBUG][time= 691] Dispatch2: regfile 7 from 3 -[DEBUG][time= 691] Dispatch2: int regfile 0: addr 85, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 8: addr 85, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 9: addr 86, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 12: addr 86, state 1 -[DEBUG][time= 691] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 691] Dispatch2: fp regfile 13: addr 0, state 1 -[INFO ][time= 691] Dispatch2: pc 0x0080000190 with type 0011 srcState(1 1 0) enters reservation station 1 from 0 -[INFO ][time= 691] Dispatch2: pc 0x0080000c84 with type 1101 srcState(1 1 0) enters reservation station 7 from 0 -[INFO ][time= 691] Dispatch2: pc 0x0080000190 leaves Int dispatch queue with nroq 41 -[INFO ][time= 691] Dispatch2: pc 0x0080000c84 leaves Ls dispatch queue with nroq 39 -[DEBUG][time= 691] Dispatch2: pc 0x0080000194 waits at Ls dispatch queue with index 1 -[DEBUG][time= 691] Dispatch2: pc 0x0080000198 waits at Ls dispatch queue with index 2 -[DEBUG][time= 691] Dispatch2: pc 0x008000019c waits at Ls dispatch queue with index 3 -[INFO ][time= 691] DecodeBuffer: in v:1 r:0 pc=00800001d8 -[INFO ][time= 691] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 691] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 691] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 691] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 691] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 691] DecodeBuffer: out v:1 r:0 pc=00800001c0 -[INFO ][time= 691] DecodeBuffer: out v:1 r:0 pc=00800001c4 -[INFO ][time= 691] DecodeBuffer: out v:1 r:0 pc=00800001c8 -[INFO ][time= 691] DecodeBuffer: out v:1 r:0 pc=00800001cc -[INFO ][time= 691] DecodeBuffer: out v:1 r:0 pc=00800001d0 -[INFO ][time= 691] DecodeBuffer: out v:1 r:0 pc=00800001d4 -[ERROR][time= 691] Roq: CSR block should only happen in s_idle -[DEBUG][time= 691] Roq: (ready, valid): (1, 1) (1, 1) (1, 1) (0, 1) (0, 1) (0, 1) -[INFO ][time= 691] Roq: dispatched 3 insts -[INFO ][time= 691] Roq: writebacked 1 insts -[INFO ][time= 691] Roq: writebacked pc 0x0080000060 wen 0 data 0x0000000000000000 ldst 0 pdst 32 skip 0 -[DEBUG][time= 691] Roq: head 1:15 tail 0:18 -[DEBUG][time= 691] Roq: wwvvvvvvwvvvvvv---vwwwwwwwwvvvww -[DEBUG][time= 691] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 691] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 691] Roq: 0080000c88 w 0080000190 v 0080000194 v 0080000198 v -[DEBUG][time= 691] Roq: 008000019c v 00800001a0 v 00800001a4 v 0080000054 - -[DEBUG][time= 691] Roq: 0080000098 - 008000005c - 0080000060 v 0080000064 w -[DEBUG][time= 691] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 691] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 691] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 691] DispatchQueue_2: LsDpQ: num_deq = 1, head = ( 7 -> 8) -[DEBUG][time= 691] DispatchQueue_2: LsDpQ: num_enq = 3, tail = (13 -> 0) -[DEBUG][time= 691] DispatchQueue_2: LsDpQ: valid_entries = 6, head = (1, 7), tail = (1, 13), -[DEBUG][time= 691] DispatchQueue: IntDpQ: num_deq = 1, head = (15 -> 0) -[DEBUG][time= 691] DispatchQueue: IntDpQ: valid_entries = 1, head = (1, 15), tail = (0, 0), -[DEBUG][time= 691] LsExeUnit: state 0 req.valid/ready 0/1 resp.valid/ready 0/1 addr 0000000080008fe8 size 3 data 000000008000009c mask 00ff cmd 0 -[DEBUG][time= 691] LsExeUnit: sbuffer id 0 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 691] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000040 addr 0000000080000f70 data 0000000000000008 func 0b wmask 000000011111111 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 2 ptr 7 valid 0 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 691] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 691] LsExeUnit: LSU fire: pc 0080000060 addr 0000000080008fe8 mmio 0 isStoreIn 1 retiringStore 0 partialLoad 1 dmem 0 stqEnqueue 1 state 0 dmemres 0000000000000000 fwdres 0000000000000000 -[DEBUG][time= 691] LsExeUnit: state: 0 (valid, ready): in (1,1) out (1,1) -[DEBUG][time= 691] LsExeUnit: stqinfo: stqValid.asUInt 00000110 stqHead 2 stqTail 1 stqCommited 2 emptySlot 0 -[DEBUG][time= 691] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484240------> s1 fire!!! -[DEBUG][time= 691] FakeCache: [Stage1_data] instr1:0x0a013b03 instr2:0x09813b83 -[DEBUG][time= 691] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 691] FakeCache: [Stage2_data] instr1:0x0d813083 instr2:0x0d013403 -[DEBUG][time= 691] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 691] FakeCache: [Stage3_data] instr1:0x00810613 instr2:0x00100593 -[DEBUG][time= 691] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 691] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000270 || if1_pcUpdate:1 if1_pc:0x0080000250 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 691] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000250 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 691] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 691] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 691] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000230 if3_npc:0x0080000250 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 691] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000210 if4_npc:0x0080000230 -[DEBUG][time= 691] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000230 -[DEBUG][time= 691] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] starPC:0x0080000210 GroupPC:0x0080000200n -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction 00810613 pnpc:0x0080000214 -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction 00100593 pnpc:0x0080000218 -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction eddff0ef pnpc:0x008000021c -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction 00044503 pnpc:0x0080000220 -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction fe0512e3 pnpc:0x0080000224 -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction 01813783 pnpc:0x0080000228 -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction 00078463 pnpc:0x008000022c -[DEBUG][time= 691] IFU: [IFU-Out-FetchPacket] instruction 00078023 pnpc:0x0080000230 -[DEBUG][time= 691] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 691] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 691] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 691] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 691] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 691] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 691] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 691] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 691] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 691] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 691] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 691] IssueQueue_1: EnqCtrl(1 1) enqSelIq:0 Psrc/Rdy( 85:1 0:1 0:0) Dest: 92 oldDest: 85 pc:0080000190 roqIdx:29 -[DEBUG][time= 691] IssueQueue_1: tailAll: 0 KID(010) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(1 1) Out(0 1) -[DEBUG][time= 691] IssueQueue_1: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 72) -[DEBUG][time= 691] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 691] IssueQueue_1: 0 |0|1| 79|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| c |0080000c4c|19 <- -[DEBUG][time= 691] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e -[DEBUG][time= 691] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 691] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 691] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 691] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 691] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 691] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 691] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 691] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 691] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 691] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 691] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 691] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 691] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 691] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 691] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 691] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 691] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 691] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 691] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 691] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 691] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 691] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 691] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 691] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 691] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 691] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 691] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 691] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 691] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 691] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 691] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 691] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 691] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 691] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 691] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 691] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 691] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 691] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 691] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 691] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 691] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 691] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 691] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 691] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 691] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 691] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 691] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 691] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 691] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 691] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 691] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 691] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 691] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 691] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 691] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 691] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 691] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 691] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 691] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 691] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 691] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 691] IssueQueue_7: EnqCtrl(1 1) enqSelIq:4 Psrc/Rdy( 85:1 86:1 0:0) Dest: 32 oldDest: 0 pc:0080000c84 roqIdx:27 -[INFO ][time= 691] IssueQueue_7: Deq:(1 1) [ 79|0000000080008fe0][ 78|000000008000009c][ 0|0000000000000000] pdest: 32 pc:0080000060 roqIdx:12 -[DEBUG][time= 691] IssueQueue_7: tailAll: 8 KID(111) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 1) Out(1 1) -[INFO ][time= 691] IssueQueue_7: FireStage:Out(1 1) src1( 79|0000000080008fe0) src2( 78|000000008000009c) src3( 0|0000000000000000) deqFlush:0 pc:0080000060 roqIdx:18 -[DEBUG][time= 691] IssueQueue_7: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 691] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 691] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 80|1|0000000000000000| 0|0|0000000000000000| c |0080000c54|1b -[DEBUG][time= 691] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 691] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 691] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 691] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 691] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 691] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 691] IssueQueue_7: 1 |1|1| 85|1|0000000080008f80| 17|1|d95ad4be237be8dc| 0|0|0000000000000000| c |0080000c80|26 -[DEBUG][time= 691] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 691] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 691] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 691] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 691] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 691] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 691] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 691] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 691] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 691] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 691] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 691] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 691] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 691] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 691] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 691] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 691] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 691] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 691] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 691] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 691] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 691] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 691] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 691] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 691] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 691] FreeList_1: head:0: 61 tail:1: 48 -[DEBUG][time= 691] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 691] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 691] Brq: ---------------- -[DEBUG][time= 691] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 691] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 691] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 691] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 691] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 691] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 692] Tage: req: pc=0x0080000290, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 692] ArrayMultiplier: validVec:0000 flushVec:0000 -[DEBUG][time= 692] XSCore: (req valid, ready | resp valid, ready) -[DEBUG][time= 692] XSCore: c-mem(0 0 80000f40| 0 1) c-coh(0 1 00000000| 0 1) cache (1 1 80000f68| 0 1) tlb (1 1 0080000f68| 0 1) -[DEBUG][time= 692] ArrayMultiplier_1: validVec:0000 flushVec:0000 -[DEBUG][time= 692] RegfileReadPortGen: int 0: want 1000, deqChoice: 0 -[DEBUG][time= 692] RegfileReadPortGen: int 1: want 1000, deqChoice: 0 -[DEBUG][time= 692] RegfileReadPortGen: int 2: want 1000, deqChoice: 0 -[DEBUG][time= 692] RegfileReadPortGen: int 3: want 1000, deqChoice: 0 -[DEBUG][time= 692] RegfileReadPortGen: dynamicExuSrc 0: 3 0000 -[DEBUG][time= 692] RegfileReadPortGen: dynamicExuSrc 1: 3 0000 -[DEBUG][time= 692] RegfileReadPortGen: dynamicExuSrc 2: 3 0000 -[DEBUG][time= 692] BusyTable_1: writeback 92 -[DEBUG][time= 692] BusyTable_1: 92 is busy -[DEBUG][time= 692] BPUStage2: in:(1 1) pc=0080000270 out:(1 1) pc=0080000250 -[DEBUG][time= 692] BPUStage2: validLatch=1 pc=0080000250 -[DEBUG][time= 692] DispatchGen: priority: data(0) = 1, priority = 3 -[DEBUG][time= 692] DispatchGen: priority: data(1) = 0, priority = 0 -[DEBUG][time= 692] DispatchGen: priority: data(2) = 0, priority = 1 -[DEBUG][time= 692] DispatchGen: priority: data(3) = 0, priority = 2 -[INFO ][time= 692] WriteBackArbMtoN: out(1) pc(0x0080000190) writebacks 0x0000000080008ea0 to pdest( 92) ldest( 2) -[DEBUG][time= 692] BPUStage1: in:(1 1) pc=0080000290 ghr=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 692] BPUStage1: outPred:(1) pc=0x0080000270, redirect=0 instrValid=11111111 tgt=0000000000 -[DEBUG][time= 692] BPUStage3: [RAS]:pc=0x0080000250, rasWritePosition= 1, rasWriteAddr=0x0080000250 -[DEBUG][time= 692] BPUStage3: in:(1 1) pc=0080000250 -[DEBUG][time= 692] BPUStage3: out:1 pc=0080000230 redirect=0 predcdMask=11111111 instrValid=11111111 tgt=0080000250 -[DEBUG][time= 692] BPUStage3: flushS3=0 -[DEBUG][time= 692] BPUStage3: validLatch=1 predecode.valid=1 -[DEBUG][time= 692] BPUStage3: jmpIdx=0, brs=00000000 brTakenIdx=7 brNTakens=00000000 jalIdx=7 jalrIdx=7 callIdx=7 retIdx=7 -[DEBUG][time= 692] BPUStage3: tgtDiffers:0, dirDiffers:0, s3taken=0 -[DEBUG][time= 692] JBTAC: read: pc=0x0080000290, histXORAddr=0x0080003d4e, bank=7, row= 84, hist=0000000000000000000000000000000000000000000000000001111111101111 -[DEBUG][time= 692] JBTAC: read_resp: pc=0x0080000270, bank=7, row= 90, target=0x0000000000, offset= 0, hit=0 -[DEBUG][time= 692] DecodeStage: i:0 In(1 0) Out(1 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 692] DecodeStage: i:1 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 692] DecodeStage: i:2 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 692] DecodeStage: i:3 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 692] DecodeStage: i:4 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 692] DecodeStage: i:5 In(1 0) Out(0 0) ToBrq(0 1) pc:00800001d8 instr:00060413 -[DEBUG][time= 692] Ibuffer: Enque: -[DEBUG][time= 692] Ibuffer: 00001101100000010011000010000011 -[DEBUG][time= 692] Ibuffer: 00001101000000010011010000000011 -[DEBUG][time= 692] Ibuffer: 00000010100000010010010100000011 -[DEBUG][time= 692] Ibuffer: 00001100100000010011010010000011 -[DEBUG][time= 692] Ibuffer: 00001100000000010011100100000011 -[DEBUG][time= 692] Ibuffer: 00001011100000010011100110000011 -[DEBUG][time= 692] Ibuffer: 00001011000000010011101000000011 -[DEBUG][time= 692] Ibuffer: 00001010100000010011101010000011 -[DEBUG][time= 692] Ibuffer: Deque: -[DEBUG][time= 692] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 692] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 692] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 692] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 692] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 692] Ibuffer: 00000000000001100000010000010011 PC=00800001d8 v=1 r=0 -[DEBUG][time= 692] Ibuffer: last_head_ptr= 36 last_tail_ptr= 80 -[DEBUG][time= 692] BTB: read: pc=0x0080000290, baseBank= 8, realMask=1111111111111111 -[DEBUG][time= 692] BTB: read_resp: pc=0x0080000270, readIdx= 56------------------------------- -[DEBUG][time= 692] BTB: read_resp[b=0][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=1][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=2][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=3][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=4][r= 4]: valid=1, tag=0x00400006, target=0x0080000190, type=1, ctr=2 -[DEBUG][time= 692] BTB: read_resp[b=5][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=6][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=7][r= 4]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b= 8][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b= 9][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=10][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=11][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=12][r= 3]: valid=1, tag=0x00400000, target=0x0080000c4c, type=1, ctr=2 -[DEBUG][time= 692] BTB: read_resp[b=13][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=14][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: read_resp[b=15][r= 3]: valid=0, tag=0x00000000, target=0x0000000000, type=0, ctr=0 -[DEBUG][time= 692] BTB: bankIdxInOrder:[DEBUG][time= 692] BTB: 8 [DEBUG][time= 692] BTB: 9 [DEBUG][time= 692] BTB: 10 [DEBUG][time= 692] BTB: 11 [DEBUG][time= 692] BTB: 12 [DEBUG][time= 692] BTB: 13 [DEBUG][time= 692] BTB: 14 [DEBUG][time= 692] BTB: 15 [DEBUG][time= 692] BTB: 0 [DEBUG][time= 692] BTB: 1 [DEBUG][time= 692] BTB: 2 [DEBUG][time= 692] BTB: 3 [DEBUG][time= 692] BTB: 4 [DEBUG][time= 692] BTB: 5 [DEBUG][time= 692] BTB: 6 [DEBUG][time= 692] BTB: 7 [DEBUG][time= 692] BTB: -[DEBUG][time= 692] AluExeUnit: In(1 1) Out(1 1) Redirect:(0 0 0) brTag:f:0 v: 5 -[DEBUG][time= 692] AluExeUnit: src1:0000000080008f80 src2:ffffffffffffff20 offset:ffffffffffffff20 func:0000000 pc:0000000080000190 -[DEBUG][time= 692] AluExeUnit: res:0000000080008ea0 aluRes:10000000080008ea0 isRVC:0 isBru:0 isBranch:0 isJump:0 target:0080008ea0 taken:0 -[INFO ][time= 692] Rename: pc:00800001c0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2:26 -> psrc2: 26 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 692] Rename: pc:00800001c4 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2:27 -> psrc2: 27 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 692] Rename: pc:00800001c8 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 692] Rename: pc:00800001cc in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 692] Rename: pc:00800001d0 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2: 0 -> psrc2: 0 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 692] Rename: pc:00800001d4 in v:1 in rdy:0 lsrc1: 2 -> psrc1: 92 lsrc2:10 -> psrc2: 90 lsrc3: 0 -> psrc3: 0 ldest: 0 -> pdest: 32 old_pdest: 0 out v:0 r:0 -[INFO ][time= 692] Rename: int rat arch: ldest:11 pdest: 80 -[INFO ][time= 692] Rename: int rat arch: ldest:10 pdest: 81 -[INFO ][time= 692] Rename: int rat arch: ldest:10 pdest: 82 -[INFO ][time= 692] Rename: int rat arch: ldest:10 pdest: 83 -[DEBUG][time= 692] Dispatch1: v:0 r:0 pc 0x00800001a8 of type 1101 is in 0-th slot -[DEBUG][time= 692] Dispatch1: v:0 r:0 pc 0x00800001ac of type 1101 is in 1-th slot -[DEBUG][time= 692] Dispatch1: v:0 r:0 pc 0x00800001b0 of type 1101 is in 2-th slot -[DEBUG][time= 692] Dispatch1: v:1 r:0 pc 0x00800001b4 of type 1101 is in 3-th slot -[DEBUG][time= 692] Dispatch1: v:1 r:0 pc 0x00800001b8 of type 1101 is in 4-th slot -[DEBUG][time= 692] Dispatch1: v:1 r:0 pc 0x00800001bc of type 1101 is in 5-th slot -[DEBUG][time= 692] Dispatch2: ls dp queue 0: 0080000194 type 1101 -[DEBUG][time= 692] Dispatch2: ls dp queue 1: 0080000198 type 1101 -[DEBUG][time= 692] Dispatch2: ls dp queue 2: 008000019c type 1101 -[DEBUG][time= 692] Dispatch2: ls dp queue 3: 00800001a0 type 1101 -[DEBUG][time= 692] Dispatch2: dispatch to iq index 1: 3 -[DEBUG][time= 692] Dispatch2: dispatch to iq index 2: 0 -[DEBUG][time= 692] Dispatch2: dispatch to iq index 3: 1 -[DEBUG][time= 692] Dispatch2: dispatch to iq index 4: 2 -[DEBUG][time= 692] Dispatch2: dispatch to iq index 7: 0 -[DEBUG][time= 692] Dispatch2: regfile 0 from 3 -[DEBUG][time= 692] Dispatch2: regfile 1 from 3 -[DEBUG][time= 692] Dispatch2: regfile 2 from 0 -[DEBUG][time= 692] Dispatch2: regfile 3 from 0 -[DEBUG][time= 692] Dispatch2: regfile 4 from 1 -[DEBUG][time= 692] Dispatch2: regfile 5 from 1 -[DEBUG][time= 692] Dispatch2: regfile 6 from 2 -[DEBUG][time= 692] Dispatch2: regfile 7 from 2 -[DEBUG][time= 692] Dispatch2: int regfile 0: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 0: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 1: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 1: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 2: addr 77, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 2: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 3: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 3: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 4: addr 77, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 4: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 5: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 5: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 6: addr 81, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 6: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 7: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 7: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 8: addr 92, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 8: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 9: addr 32, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 9: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 10: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 10: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 11: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 11: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 12: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 12: addr 32, state 1 -[DEBUG][time= 692] Dispatch2: int regfile 13: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: fp regfile 13: addr 0, state 1 -[DEBUG][time= 692] Dispatch2: pc 0x0080000194 waits at Ls dispatch queue with index 0 -[DEBUG][time= 692] Dispatch2: pc 0x0080000198 waits at Ls dispatch queue with index 1 -[DEBUG][time= 692] Dispatch2: pc 0x008000019c waits at Ls dispatch queue with index 2 -[DEBUG][time= 692] Dispatch2: pc 0x00800001a0 waits at Ls dispatch queue with index 3 -[DEBUG][time= 692] Dispatch2: pc 0x0080000190 reads operands from ( 0, 85, 0000000080008f80), ( 1, 0, ffffffffffffff20), ( 0, 0, 0000000000000000) -[DEBUG][time= 692] Dispatch2: pc 0x0080000c84 reads operands from ( 8, 85, 0000000080008f80), ( 9, 86, 0000000080008fa8), ( 0, 0, 0000000000000000) -[INFO ][time= 692] DecodeBuffer: in v:1 r:0 pc=00800001d8 -[INFO ][time= 692] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 692] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 692] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 692] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 692] DecodeBuffer: in v:0 r:0 pc=00800001d8 -[INFO ][time= 692] DecodeBuffer: out v:1 r:0 pc=00800001c0 -[INFO ][time= 692] DecodeBuffer: out v:1 r:0 pc=00800001c4 -[INFO ][time= 692] DecodeBuffer: out v:1 r:0 pc=00800001c8 -[INFO ][time= 692] DecodeBuffer: out v:1 r:0 pc=00800001cc -[INFO ][time= 692] DecodeBuffer: out v:1 r:0 pc=00800001d0 -[INFO ][time= 692] DecodeBuffer: out v:1 r:0 pc=00800001d4 -[ERROR][time= 692] Roq: CSR block should only happen in s_idle -[DEBUG][time= 692] Roq: (ready, valid): (0, 0) (0, 0) (0, 0) (0, 1) (0, 1) (0, 1) -[INFO ][time= 692] Roq: writebacked 1 insts -[INFO ][time= 692] Roq: writebacked pc 0x0080000190 wen 1 data 0x0000000080008ea0 ldst 2 pdst 92 skip 0 -[INFO ][time= 692] Roq: retired pc 0080000060 wen 0 ldst 0 data 0000000000000000 -[INFO ][time= 692] Roq: retired pc 0080000064 wen 1 ldst 11 data 0000000000000000 -[INFO ][time= 692] Roq: retired pc 0080000068 wen 1 ldst 10 data 0000000000000000 -[INFO ][time= 692] Roq: retired pc 008000006c wen 1 ldst 0 data 0000000000000000 -[INFO ][time= 692] Roq: retired pc 0080000070 wen 1 ldst 10 data 0000000080001070 -[INFO ][time= 692] Roq: retired pc 0080000074 wen 1 ldst 10 data 0000000080000cc0 -[INFO ][time= 692] Roq: retired 6 insts -[DEBUG][time= 692] Roq: head 1:18 tail 0:18 -[DEBUG][time= 692] Roq: wwvvvvvvwvvvvvvvvvwwwwwwwwwvvvww -[DEBUG][time= 692] Roq: 0080000c68 w 0080000c6c w 0080000c70 v 0080000c74 v -[DEBUG][time= 692] Roq: 0080000c78 v 0080000c7c v 0080000c80 v 0080000c84 v -[DEBUG][time= 692] Roq: 0080000c88 w 0080000190 v 0080000194 v 0080000198 v -[DEBUG][time= 692] Roq: 008000019c v 00800001a0 v 00800001a4 v 00800001a8 v -[DEBUG][time= 692] Roq: 00800001ac v 00800001b0 v 0080000060 w 0080000064 w -[DEBUG][time= 692] Roq: 0080000068 w 008000006c w 0080000070 w 0080000074 w -[DEBUG][time= 692] Roq: 0080000078 w 0080000c4c w 0080000c50 w 0080000c54 v -[DEBUG][time= 692] Roq: 0080000c58 v 0080000c5c v 0080000c60 w 0080000c64 w -[DEBUG][time= 692] DispatchQueue_2: LsDpQ: valid_entries = 8, head = (1, 8), tail = (0, 0), -[DEBUG][time= 692] LsExeUnit: state 0 req.valid/ready 1/1 resp.valid/ready 0/1 addr 0000000080000f68 size 3 data 0000000000000007 mask 00ff cmd 1 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 0 data 07 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 1 data 00 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 2 data 00 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 3 data 00 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 4 data 00 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 5 data 00 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 6 data 00 -[DEBUG][time= 692] LsExeUnit: forwarding data from stq, addr 0000000080000f68 stqpos 0 bitpos 7 data 00 -[DEBUG][time= 692] LsExeUnit: sbuffer id 0 ptr 1 pc 008000003c addr 0000000080000f68 data 0000000000000007 func 0b wmask 000000011111111 -[DEBUG][time= 692] LsExeUnit: sbuffer id 1 ptr 2 pc 0080000040 addr 0000000080000f70 data 0000000000000008 func 0b wmask 000000011111111 -[DEBUG][time= 692] LsExeUnit: sbuffer id 2 ptr 0 pc 0080000060 addr 0000000080008fe8 data 000000008000009c func 0b wmask 000000011111111 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 0 ptr 1 valid 1 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 1 ptr 2 valid 1 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 2 ptr 0 valid 1 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 3 ptr 7 valid 0 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 4 ptr 7 valid 0 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 5 ptr 7 valid 0 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 6 ptr 7 valid 0 -[DEBUG][time= 692] LsExeUnit: sptrtable: id 7 ptr 7 valid 0 -[DEBUG][time= 692] LsExeUnit: state: 0 (valid, ready): in (1,0) out (0,1) -[DEBUG][time= 692] LsExeUnit: stqinfo: stqValid.asUInt 00000111 stqHead 3 stqTail 1 stqCommited 2 emptySlot 3 -[DEBUG][time= 692] LsExeUnit: retiringStore now... -[INFO ][time= 692] LsExeUnit: [DMEM STORE REQ] addr 0x80000f68 wdata 0x0000000000000007 size 3 -[DEBUG][time= 692] FakeCache: [ICache-Stage1] s1_valid:1 || s2_ready:1 || s1_pc: 2147484272------> s1 fire!!! -[DEBUG][time= 692] FakeCache: [Stage1_data] instr1:0x02000793 instr2:0x00012423 -[DEBUG][time= 692] FakeCache: [ICache-Stage2] s2_valid:1 || s3_ready:1 ------> s2 fire!!! -[DEBUG][time= 692] FakeCache: [Stage2_data] instr1:0x0a013b03 instr2:0x09813b83 -[DEBUG][time= 692] FakeCache: [ICache-Stage3] s3_valid:1 || s3_ready:1 -[DEBUG][time= 692] FakeCache: [Stage3_data] instr1:0x0d813083 instr2:0x0d013403 -[DEBUG][time= 692] FakeCache: [needFlush]] flush:0 -[DEBUG][time= 692] IFU: [IF1]if1_valid:1 || if1_npc:0x0080000290 || if1_pcUpdate:1 if1_pc:0x0080000270 || if2_ready:1------IF1->fire!!! -[DEBUG][time= 692] IFU: [IF2]if2_valid:1 || if2_pc:0x0080000270 || if3_ready:1 ------IF2->fire!!! -[DEBUG][time= 692] IFU: [IF2-Icache-Req] icache_in_valid:1 icache_in_ready:1 -[DEBUG][time= 692] IFU: [IF2-BPU-out]if2_btbTaken:0 || if2_btb_insMask:11111111 || if2_btb_target:0x0000000000 -[DEBUG][time= 692] IFU: [IF3]if3_valid:1 || if3_pc:0x0080000250 if3_npc:0x0080000270 || if4_ready:1 ------IF3->fire!!! -[DEBUG][time= 692] IFU: [IF4]if4_valid:1 || if4_pc:0x0080000230 if4_npc:0x0080000250 -[DEBUG][time= 692] IFU: [IF4-TAGE-out]if4_tage_taken:0 || if4_btb_insMask:11111111 || if4_tage_target:0x0080000250 -[DEBUG][time= 692] IFU: [IF4-ICACHE-RESP]icacheResp.valid:1 icacheResp.ready:1 -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] starPC:0x0080000230 GroupPC:0x0080000220n -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instrmask 1111111111111111 -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 0d813083 pnpc:0x0080000234 -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 0d013403 pnpc:0x0080000238 -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 02812503 pnpc:0x008000023c -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 0c813483 pnpc:0x0080000240 -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 0c013903 pnpc:0x0080000244 -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 0b813983 pnpc:0x0080000248 -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 0b013a03 pnpc:0x008000024c -[DEBUG][time= 692] IFU: [IFU-Out-FetchPacket] instruction 0a813a83 pnpc:0x0080000250 -[DEBUG][time= 692] IssueQueue: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 692] IssueQueue: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 692] IssueQueue: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:JmpExu -[DEBUG][time= 692] IssueQueue: 0 |0|1| 0|1|000000008000007c| 0|1|0000000000000000| 0|0|0000000000000000| c |008000007c|19 <- -[DEBUG][time= 692] IssueQueue: 1 |0|1| 0|1|0000000080000c88| 0|1|fffffffffffff508| 0|0|0000000000000000| c |0080000c88|28 -[DEBUG][time= 692] IssueQueue: 2 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 692] IssueQueue: 3 |0|0| 50|0|0629971d2e90ebfe| 41|0|fffffff970921ffa| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 692] IssueQueue: 4 |0|0| 50|0|fffffff970921ffa| 41|0|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 692] IssueQueue: 5 |0|0| 50|0|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 692] IssueQueue: 6 |0|1| 50|1|14aebf80d4026f54| 41|1|14aebf80d4026f54| 106|0|0000000000000000| 2 |54294bb194|0b -[DEBUG][time= 692] IssueQueue: 7 |0|1| 50|1|0629971d2e90ebfe| 41|1|a74f65abe6f0704d| 106|0|0000000000000000| 2 |54294bb194|0b -[INFO ][time= 692] IssueQueue_1: EnqData: src1:0000000080008f80 src2:ffffffffffffff20 src3:0000000000000000 pc:0080000190 roqIdx:29(for last cycle's Ctrl) -[INFO ][time= 692] IssueQueue_1: Deq:(1 1) [ 85|0000000080008f80][ 0|ffffffffffffff20][ 0|c63124ed8740c04f] pdest: 92 pc:0080000190 roqIdx:29 -[DEBUG][time= 692] IssueQueue_1: tailAll: 1 KID(001) tailDot:00000011 tailDot2:00000001 selDot:00000001 popDot:00000000 moveDot:00000010 In(0 1) Out(1 1) -[DEBUG][time= 692] IssueQueue_1: popOne:1 isPop:0 popSel:7 deqSel:0 deqCanIn:1 toIssFire:1 has1Rdy:1 selIsRed:0 nonValid:00000000 SelUop:(0, 92) -[DEBUG][time= 692] IssueQueue_1: NoDelayIss: enqALRdy:1 *Next:1 En:1 flush:0 enqSelIqNext:0 deqSelIq:0 deqReady:1 -[DEBUG][time= 692] IssueQueue_1: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 692] IssueQueue_1: 0 |1|1| 85|1|0000000080008fe0| 0|1|ffffffffffffffa0| 0|0|0000000000000000| d |0080000190|29 -[DEBUG][time= 692] IssueQueue_1: 1 |0|1| 83|1|0000000080000cc0| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c60|1e <- -[DEBUG][time= 692] IssueQueue_1: 2 |0|0| 107|0|14aebf80d4026f54| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 692] IssueQueue_1: 3 |0|0| 107|0|ff648f0a353e43f3| 111|1|ad69920534265050| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 692] IssueQueue_1: 4 |0|1| 107|1|54a302c034fa76b3| 111|1|406ef248a8d404d8| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 692] IssueQueue_1: 5 |0|0| 107|1|14aebf80d4026f54| 111|0|6339a330f7935ae1| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 692] IssueQueue_1: 6 |0|0| 107|0|0000002473b437ff| 111|0|0000002473b437ff| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 692] IssueQueue_1: 7 |0|1| 107|1|16afbfbdffb6ffff| 111|1|fffffff970921ffa| 13|0|0000000000000000| 7 |6684a37a46|3a -[DEBUG][time= 692] IssueQueue_2: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 692] IssueQueue_2: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 59) -[DEBUG][time= 692] IssueQueue_2: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 692] IssueQueue_2: 2 |0|1| 85|1|0000000080008f80| 0|1|0000000000000028| 0|0|0000000000000000| c |0080000c50|1a <- -[DEBUG][time= 692] IssueQueue_2: 0 |0|1| 86|1|0000000080008fa8| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c64|1f -[DEBUG][time= 692] IssueQueue_2: 1 |0|1| 45|1|0000000000000000| 0|1|0000000000000002| 0|0|0000000000000000| 4 |0080000024|13 -[DEBUG][time= 692] IssueQueue_2: 3 |0|0| 70|0|a4a3138478bad86e| 110|0|096d7b951f69b74f| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 692] IssueQueue_2: 4 |0|0| 70|0|16afbf9dfe92effe| 110|0|ed3fa4ddb115a783| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 692] IssueQueue_2: 5 |0|0| 70|0|092d666b7e972304| 110|1|7122bb1d268771ce| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 692] IssueQueue_2: 6 |0|0| 70|1|d04f644275ae9234| 110|0|14aebf80d4026f54| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 692] IssueQueue_2: 7 |0|0| 70|1|14aebf80d4026f54| 110|0|0629971d2e90ebfe| 3|0|0000000000000000| 6 |1e4d8b89f5|26 -[DEBUG][time= 692] IssueQueue_3: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 692] IssueQueue_3: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 24) -[DEBUG][time= 692] IssueQueue_3: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 692] IssueQueue_3: 1 |0|1| 81|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| b |008000006c|15 <- -[DEBUG][time= 692] IssueQueue_3: 2 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c68|20 -[DEBUG][time= 692] IssueQueue_3: 0 |0|1| 33|1|0000000080009004| 0|1|fffffffffffffffc| 0|0|0000000000000000| 0 |0080000008|02 -[DEBUG][time= 692] IssueQueue_3: 3 |0|1| 71|1|d3f1448a5c333773| 104|1|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 692] IssueQueue_3: 4 |0|0| 71|0|05c77a2428789694| 104|1|fffffff970921ffa| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 692] IssueQueue_3: 5 |0|0| 71|1|9522d29847278c15| 104|0|14aebf80d4026f54| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 692] IssueQueue_3: 6 |0|0| 71|1|8f0fc13921ea55b1| 104|0|0000002473b437ff| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 692] IssueQueue_3: 7 |0|0| 71|0|14aebf80d4026f54| 104|0|80c59089d306741e| 108|0|0000000000000000| 3 |1deb9b8194|02 -[DEBUG][time= 692] IssueQueue_4: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 692] IssueQueue_4: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 SelUop:(0, 49) -[DEBUG][time= 692] IssueQueue_4: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:AluExu -[DEBUG][time= 692] IssueQueue_4: 0 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| 8 |0080000050|02 <- -[DEBUG][time= 692] IssueQueue_4: 1 |0|1| 0|1|0000000000000000| 0|1|0000000000000000| 0|0|0000000000000000| c |0080000c6c|21 -[DEBUG][time= 692] IssueQueue_4: 2 |0|0| 112|0|14aebf80d4026f54| 20|0|cd0384d52be53389| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 692] IssueQueue_4: 3 |0|0| 112|1|14aebf80d4026f54| 20|0|fffffff970921ffa| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 692] IssueQueue_4: 4 |0|1| 112|1|0de6f1e360bb5d59| 20|1|a0a6cc3b793f1067| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 692] IssueQueue_4: 5 |0|0| 112|1|14aebf80d4026f54| 20|0|6f69e7feb05f8974| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 692] IssueQueue_4: 6 |0|0| 112|1|14aebf80d4026f54| 20|0|14aebf80d4026f54| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 692] IssueQueue_4: 7 |0|1| 112|1|8e579eb9d8005ec9| 20|1|0000002473b437ff| 112|0|0000000000000000| e |6c7d75cd27|39 -[DEBUG][time= 692] IssueQueue_5: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 692] IssueQueue_5: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 692] IssueQueue_5: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulExu -[DEBUG][time= 692] IssueQueue_5: 0 |0|1| 91|1|fffffff970921ffa| 119|1|14aebf80d4026f54| 0|0|0000000000000000| a |6dcc8a8612|3b <- -[DEBUG][time= 692] IssueQueue_5: 1 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 692] IssueQueue_5: 2 |0|1| 91|1|59687c4994fb5523| 119|1|c46595861081c8bb| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 692] IssueQueue_5: 3 |0|0| 91|1|700d1282281d1dbd| 119|0|0000002473b437ff| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 692] IssueQueue_5: 4 |0|0| 91|0|0000002473b437ff| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 692] IssueQueue_5: 5 |0|0| 91|1|fffffffdf7b67fff| 119|0|16afbf9dfe92effe| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 692] IssueQueue_5: 6 |0|0| 91|0|14aebf80d4026f54| 119|0|fffffff970921ffa| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 692] IssueQueue_5: 7 |0|0| 91|0|2eaee6d0ff644fcb| 119|1|24ecedb5b11b5ddc| 0|0|0000000000000000| a |6dcc8a8612|3b -[DEBUG][time= 692] IssueQueue_6: tailAll: 0 KID(100) tailDot:00000001 tailDot2:00000000 selDot:11111111 popDot:00000000 moveDot:11111110 In(0 1) Out(0 1) -[DEBUG][time= 692] IssueQueue_6: popOne:0 isPop:0 popSel:7 deqSel:7 deqCanIn:1 toIssFire:0 has1Rdy:0 selIsRed:0 nonValid:00000000 -[DEBUG][time= 692] IssueQueue_6: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:MulDivExu -[DEBUG][time= 692] IssueQueue_6: 0 |0|0| 124|1|14aebf80d4026f54| 96|0|eae6cb55743cb159| 102|0|0000000000000000| 0 |1f826ba564|1b <- -[DEBUG][time= 692] IssueQueue_6: 1 |0|0| 124|0|14aebf80d4026f54| 96|0|0000002473b437ff| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 692] IssueQueue_6: 2 |0|1| 124|1|8124336b7ed31c59| 96|1|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 692] IssueQueue_6: 3 |0|0| 124|1|0000002473b437ff| 96|0|6551b76a983fdf68| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 692] IssueQueue_6: 4 |0|0| 124|0|0629971d2e90ebfe| 96|0|8345eff124fa775e| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 692] IssueQueue_6: 5 |0|0| 124|0|14aebf80d4026f54| 96|0|10828a4305ec58c7| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 692] IssueQueue_6: 6 |0|0| 124|0|14aebf80d4026f54| 96|0|569ac6f5c5846428| 102|0|0000000000000000| 0 |1f826ba564|1b -[DEBUG][time= 692] IssueQueue_6: 7 |0|0| 124|0|14aebf80d4026f54| 96|0|14aebf80d4026f54| 102|0|0000000000000000| 0 |1f826ba564|1b -[INFO ][time= 692] IssueQueue_7: EnqData: src1:0000000080008f80 src2:0000000080008fa8 src3:0000000000000000 pc:0080000c84 roqIdx:27(for last cycle's Ctrl) -[DEBUG][time= 692] IssueQueue_7: tailAll: 8 KID(100) tailDot:11111111 tailDot2:11111111 selDot:01 popDot:00000000 moveDot:11111110 In(1 0) Out(1 0) -[INFO ][time= 692] IssueQueue_7: FireStage:Out(1 0) src1( 85|0000000080008f80) src2( 80|0000000000000000) src3( 0|0000000000000000) deqFlush:0 pc:0080000c54 roqIdx:27 -[DEBUG][time= 692] IssueQueue_7: popOne:0 isPop:0 popSel:7 deqSel:0 deqCanIn:0 toIssFire:0 has1Rdy:1 selIsRed:0 nonValid:00000000 -[DEBUG][time= 692] IssueQueue_7: id|v|r|psrc|r| src1 |psrc|r| src2 |psrc|r| src3 |brTag| pc |roqIdx Exu:LsExu -[DEBUG][time= 692] IssueQueue_7: 5 |1|1| 85|1|0000000080008f80| 74|1|0000000000000008| 0|0|0000000000000000| c |0080000c58|1c -[DEBUG][time= 692] IssueQueue_7: 6 |1|1| 85|1|0000000080008f80| 71|1|0000000000000005| 0|0|0000000000000000| c |0080000c5c|1d -[DEBUG][time= 692] IssueQueue_7: 7 |1|1| 85|1|0000000080008f80| 84|1|000000008000007c| 0|0|0000000000000000| c |0080000c70|22 -[DEBUG][time= 692] IssueQueue_7: 3 |1|1| 85|1|0000000080008f80| 76|1|0000000080000f78| 0|0|0000000000000000| c |0080000c74|23 -[DEBUG][time= 692] IssueQueue_7: 0 |1|1| 85|1|0000000080008f80| 75|1|0000000000000005| 0|0|0000000000000000| c |0080000c78|24 -[DEBUG][time= 692] IssueQueue_7: 2 |1|1| 85|1|0000000080008f80| 46|1|0000000000000005| 0|0|0000000000000000| c |0080000c7c|25 -[DEBUG][time= 692] IssueQueue_7: 1 |1|1| 85|1|0000000080008f80| 17|1|d95ad4be237be8dc| 0|0|0000000000000000| c |0080000c80|26 -[DEBUG][time= 692] IssueQueue_7: 4 |1|1| 85|1|0000000080008f80| 86|1|0000000000000000| 0|0|0000000000000000| c |0080000c84|27 -[DEBUG][time= 692] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 692] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 692] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 692] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 692] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 692] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 692] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 692] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 692] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 692] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 692] FreeList: do checkPt at BrqIdx=13 headPtr:0: 0 -[DEBUG][time= 692] FreeList: req:0 canAlloc:1 pdest: 32 headNext:0: 0 -[DEBUG][time= 692] FreeList: head:0: 0 tail:1: 0 -[DEBUG][time= 692] FreeList_1: dealloc preg: 73 -[DEBUG][time= 692] FreeList_1: dealloc preg: 77 -[DEBUG][time= 692] FreeList_1: dealloc preg: 81 -[DEBUG][time= 692] FreeList_1: dealloc preg: 82 -[DEBUG][time= 692] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 692] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= Using seed = 8011 -The image is /home/glr/nexus-am/tests/bputest/build/bputest-riscv64-noop.bin -[warning] sdcard img not found -Using "/home/pcl/NEMU/build/riscv64-nemu-interpreter-so" for difftest -[src/device/io/mmio.c,13,add_mmio_map] Add mmio map 'clint' at [0xa2000000, 0xa200ffff] -[src/device/io/mmio.c,13,add_mmio_map] Add mmio map 'sdhci' at [0xa3000000, 0xa300007f] -[src/device/sdcard.c,121,init_sdcard] Can not find sdcard image: /home/yzh/projectn/debian.img -[src/device/io/mmio.c,13,add_mmio_map] Add mmio map 'difftest.serial' at [0xa10003f8, 0xa10003ff] -HIT GOOD TRAP at pc = 0x8000006c -total guest instructions = 82 -instrCnt = 82, cycleCnt = 692, IPC = 0.118497 -Seed=8011 Guest cycle spent: 693 -Host time spent: 557ms - 692] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 692] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 692] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 692] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 692] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 692] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 692] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 692] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 692] FreeList_1: do checkPt at BrqIdx=13 headPtr:0: 61 -[DEBUG][time= 692] FreeList_1: req:0 canAlloc:1 pdest: 93 headNext:0: 61 -[DEBUG][time= 692] FreeList_1: head:0: 61 tail:1: 48 -[DEBUG][time= 692] Brq: headIdx:13 commitIdx:13 -[DEBUG][time= 692] Brq: headPtr:f:0 v:13 tailPtr:f:0 v:13 -[DEBUG][time= 692] Brq: ---------------- -[DEBUG][time= 692] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 692] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 692] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 692] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 692] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -[DEBUG][time= 692] Brq: enq v:0 rdy:1 pc:00800001d8 brTag:f:0 v:13 -make[2]: Leaving directory '/home/glr/XiangShan' -make[1]: *** No rule to make target '2'. Stop. -make[1]: Leaving directory '/home/glr/nexus-am/tests/bputest' From 84397dca055b6dfe0ea921dbb564fc1f75f3c676 Mon Sep 17 00:00:00 2001 From: Lingrui98 Date: Wed, 22 Jul 2020 23:34:36 +0800 Subject: [PATCH 53/56] Default disable BPD --- src/main/scala/xiangshan/XSCore.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/main/scala/xiangshan/XSCore.scala b/src/main/scala/xiangshan/XSCore.scala index b50cf5eea48..dcc42e8621c 100644 --- a/src/main/scala/xiangshan/XSCore.scala +++ b/src/main/scala/xiangshan/XSCore.scala @@ -28,7 +28,7 @@ trait HasXSParameter { val FetchWidth = 8 val PredictWidth = FetchWidth * 2 val EnableBPU = true - val EnableBPD = true // enable backing predictor(like Tage) in BPUStage3 + val EnableBPD = false // enable backing predictor(like Tage) in BPUStage3 val EnableRAS = false val HistoryLength = 64 val BtbSize = 256 From b96c44c962ba68525a1cb595b14859ed32530286 Mon Sep 17 00:00:00 2001 From: LinJiawei Date: Thu, 23 Jul 2020 17:15:29 +0800 Subject: [PATCH 54/56] Unit-test: update code --- debug/Makefile | 3 +- .../scala/xiangshan/backend/brq/BrqTest.scala | 7 ++- .../scala/xiangshan/backend/exu/LsuTest.scala | 4 ++ .../scala/xiangshan/backend/exu/MduTest.scala | 61 +++++++++++++------ .../backend/issue/IssueQueueTest.scala | 9 +-- .../frontend/IFUTest.scala} | 11 +--- 6 files changed, 59 insertions(+), 36 deletions(-) rename src/test/scala/{IFUtest/IFUtest.scala => xiangshan/frontend/IFUTest.scala} (88%) diff --git a/debug/Makefile b/debug/Makefile index bb21298747e..49f6bf021c6 100644 --- a/debug/Makefile +++ b/debug/Makefile @@ -90,8 +90,7 @@ disassemble-xv6: P = 4 -#SUITE = xiangshan.backend.exu.AluTest -SUITE = IFUtest +SUITE = xiangshan.backend.exu.AluTest unit-test: cd .. && mill chiselModule.test.testOnly -o -s $(SUITE) -P$(P) diff --git a/src/test/scala/xiangshan/backend/brq/BrqTest.scala b/src/test/scala/xiangshan/backend/brq/BrqTest.scala index 28ffdfff38f..3ef3a3e4a32 100644 --- a/src/test/scala/xiangshan/backend/brq/BrqTest.scala +++ b/src/test/scala/xiangshan/backend/brq/BrqTest.scala @@ -19,11 +19,12 @@ class BrqTest extends FlatSpec with Matchers with ParallelTestExecution with HasPartialDecoupledDriver { - it should "" in { - XSLog.generateLog = true + it should "redirect out-of-order, dequeue in-order" in { + XSLog.generateLog = false + test(new Brq { AddSinks() - }).withAnnotations(Seq()) { c => + }).withAnnotations(Seq(VerilatorBackendAnnotation)) { c => def genEnqReq(x: => DecoupledIO[CfCtrl], pc: Long) = { chiselTypeOf(x.bits).Lit( diff --git a/src/test/scala/xiangshan/backend/exu/LsuTest.scala b/src/test/scala/xiangshan/backend/exu/LsuTest.scala index ecf36ea11d9..487cb1a6cb8 100644 --- a/src/test/scala/xiangshan/backend/exu/LsuTest.scala +++ b/src/test/scala/xiangshan/backend/exu/LsuTest.scala @@ -11,6 +11,7 @@ import bus.simplebus.{SimpleBusCrossbarNto1, SimpleBusUC} import device.AXI4RAM import noop.{Cache, CacheConfig, MemMMUIO, TLB, TLBConfig} import system.CoherenceManager +import utils.XSLog import xiangshan._ import xiangshan.backend.fu.FunctionUnit.lsuCfg import xiangshan.testutils._ @@ -28,6 +29,7 @@ class LsuDut(dispBegin: Int, dispEnd: Int) extends Exu(Exu.lsuExeUnitCfg) { lsu.io.redirect <> io.redirect lsu.io.scommit <> io.scommit io.out <> lsu.io.out + lsu.io.exception := DontCare val dmemXbar = Module(new SimpleBusCrossbarNto1(2)) @@ -80,6 +82,8 @@ class LsuTest with HasPartialDecoupledDriver { + XSLog.generateLog = false + def BASE_ADDR = 0x80000000L def USE_VERILATOR = false diff --git a/src/test/scala/xiangshan/backend/exu/MduTest.scala b/src/test/scala/xiangshan/backend/exu/MduTest.scala index a1358726aad..ec36d4dd647 100644 --- a/src/test/scala/xiangshan/backend/exu/MduTest.scala +++ b/src/test/scala/xiangshan/backend/exu/MduTest.scala @@ -4,11 +4,7 @@ import org.scalatest._ import chiseltest._ import chisel3._ import chisel3.experimental.BundleLiterals._ -import chisel3.util.experimental.BoringUtils -import chiseltest.experimental.TestOptionBuilder._ -import chiseltest.internal.VerilatorBackendAnnotation -import noop.MDUOpType -import xiangshan._ +import utils.XSLog import xiangshan.testutils._ import xiangshan.testutils.TestCaseGenerator._ @@ -23,12 +19,13 @@ class MduTest extends FlatSpec with ParallelTestExecution with HasPartialDecoupledDriver { + + // set to true when you need log + XSLog.generateLog = false + "MUL" should "random enq and deq correctly" in { test(new MulExeUnit{ - val disp_begin = WireInit(0.S(64.W).asUInt()) - val disp_end = WireInit((-1).S(64.W).asUInt()) - BoringUtils.addSource(disp_begin, "DISPLAY_LOG_START") - BoringUtils.addSource(disp_end, "DISPLAY_LOG_END") + AddSinks() }){ c => c.io.in.initSource().setSourceClock(c.clock) @@ -51,12 +48,45 @@ class MduTest extends FlatSpec } + "MUL" should "only flush instrs newer than the redirect instr" in { + test(new MulExeUnit{ + AddSinks() + }){ c => + + c.io.in.initSource().setSourceClock(c.clock) + c.io.out.initSink().setSinkClock(c.clock) + + fork{ + // 29 + c.io.in.enqueuePartial(chiselTypeOf(c.io.in.bits).Lit( + _.uop.cf.pc -> 666.U, + _.uop.brTag.flag -> true.B, + _.uop.brTag.value -> 12.U + )) + // 30 + c.io.redirect.pokePartial(chiselTypeOf(c.io.redirect).Lit( + _.valid -> true.B, + _.bits.isException -> false.B, + _.bits.brTag.flag -> true.B, + _.bits.brTag.value -> 11.U + )) + c.io.in.enqueuePartial(chiselTypeOf(c.io.in.bits).Lit( + _.uop.cf.pc -> 777.U, + _.uop.brTag.flag -> true.B, + _.uop.brTag.value -> 10.U + )) + c.io.redirect.pokePartial(chiselTypeOf(c.io.redirect).Lit(_.valid -> false.B)) + }.fork{ + c.io.out.expectDequeuePartial(chiselTypeOf(c.io.out.bits).Lit(_.uop.cf.pc -> 777.U)) + }.join() + } + } + + + "MUL" should "dont flush same br tag" in { test(new MulExeUnit{ - val disp_begin = WireInit(0.S(64.W).asUInt()) - val disp_end = WireInit((-1).S(64.W).asUInt()) - BoringUtils.addSource(disp_begin, "DISPLAY_LOG_START") - BoringUtils.addSource(disp_end, "DISPLAY_LOG_END") + AddSinks() }){ c => c.io.in.initSource().setSourceClock(c.clock) @@ -94,10 +124,7 @@ class MduTest extends FlatSpec "MDU" should "random enq and deq correctly" in { test(new MulDivExeUnit{ - val disp_begin = WireInit(0.S(64.W).asUInt()) - val disp_end = WireInit((-1).S(64.W).asUInt()) - BoringUtils.addSource(disp_begin, "DISPLAY_LOG_START") - BoringUtils.addSource(disp_end, "DISPLAY_LOG_END") + AddSinks() }){ c => c.io.in.initSource().setSourceClock(c.clock) diff --git a/src/test/scala/xiangshan/backend/issue/IssueQueueTest.scala b/src/test/scala/xiangshan/backend/issue/IssueQueueTest.scala index a1c13e0c974..b20cbc85aa2 100644 --- a/src/test/scala/xiangshan/backend/issue/IssueQueueTest.scala +++ b/src/test/scala/xiangshan/backend/issue/IssueQueueTest.scala @@ -5,14 +5,10 @@ import chiseltest._ import chisel3._ import chisel3.util._ import chisel3.experimental.BundleLiterals._ -import chiseltest.experimental.TestOptionBuilder._ -import chiseltest.internal.VerilatorBackendAnnotation +import utils.XSLog import xiangshan._ import xiangshan.backend.exu.Exu import xiangshan.testutils._ -import xiangshan.testutils.TestCaseGenerator._ - -import scala.util.Random class IssueQueueTest extends FlatSpec with ChiselScalatestTester @@ -20,6 +16,7 @@ class IssueQueueTest extends FlatSpec with ParallelTestExecution with HasPartialDecoupledDriver { + XSLog.generateLog = false it should "do enq issue with no delay correctly" in { test(new IssueQueue(Exu.aluExeUnitCfg, wakeupCnt = 1, bypassCnt = 1, fifo = false) { AddSinks() @@ -38,7 +35,7 @@ class IssueQueueTest extends FlatSpec c.io.deq.initSink().setSinkClock(c.clock) def TEST_SIZE = 2 - val roqSeq = (0 until TEST_SIZE) + val roqSeq = 0 until TEST_SIZE val enqPort = c.io.enqCtrl fork { c.io.enqCtrl.enqueuePartialSeq(roqSeq.map(roq => genEnqRdyReq(enqPort, roq))) diff --git a/src/test/scala/IFUtest/IFUtest.scala b/src/test/scala/xiangshan/frontend/IFUTest.scala similarity index 88% rename from src/test/scala/IFUtest/IFUtest.scala rename to src/test/scala/xiangshan/frontend/IFUTest.scala index c2885007fe6..95b92fbc9a2 100644 --- a/src/test/scala/IFUtest/IFUtest.scala +++ b/src/test/scala/xiangshan/frontend/IFUTest.scala @@ -1,14 +1,8 @@ -package chiseltest.tests - -import org.scalatest._ +package xiangshan.frontend import chisel3._ -import chisel3.experimental.BundleLiterals._ import chiseltest._ -import xiangshan._ -import xiangshan.frontend.IFU -import utils._ -import xiangshan.CtrlFlow +import org.scalatest.{FlatSpec, Matchers} class IFUTest extends FlatSpec with ChiselScalatestTester with Matchers { behavior of "IFU Test" @@ -62,3 +56,4 @@ class IFUTest extends FlatSpec with ChiselScalatestTester with Matchers { } } } + From 737ff4ed98e1431df896c9be4952e51669082813 Mon Sep 17 00:00:00 2001 From: linjiawei Date: Thu, 23 Jul 2020 19:52:06 +0800 Subject: [PATCH 55/56] monitor.cpp: substract 500 from the total number of cycles --- src/test/csrc/monitor.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/test/csrc/monitor.cpp b/src/test/csrc/monitor.cpp index de8c1e8d21e..90de47badb6 100644 --- a/src/test/csrc/monitor.cpp +++ b/src/test/csrc/monitor.cpp @@ -43,7 +43,7 @@ int display_trapinfo(uint64_t max_cycles) { eprintf(ANSI_COLOR_RED "Unknown trap code: %d\n", g_trapCode); } - double ipc = (double)g_instrCnt / g_cycleCnt; + double ipc = (double)g_instrCnt / (g_cycleCnt-500); eprintf(ANSI_COLOR_MAGENTA "total guest instructions = %" PRIu64 "\n" ANSI_COLOR_RESET, g_instrCnt); eprintf(ANSI_COLOR_MAGENTA "instrCnt = %" PRIu64 ", cycleCnt = %" PRIu64 ", IPC = %lf\n" ANSI_COLOR_RESET, g_instrCnt, g_cycleCnt, ipc); From 1831da28d9b732f648b0692a345a69d75f9e02db Mon Sep 17 00:00:00 2001 From: linjiawei Date: Thu, 23 Jul 2020 19:54:01 +0800 Subject: [PATCH 56/56] statistics.py: can count the number of lines of verilog code and log of each module --- scripts/statistics.py | 95 +++++++++++++++++++++++++++++++++++-------- 1 file changed, 78 insertions(+), 17 deletions(-) diff --git a/scripts/statistics.py b/scripts/statistics.py index 8b7e3883a4b..2f74870d991 100644 --- a/scripts/statistics.py +++ b/scripts/statistics.py @@ -1,18 +1,79 @@ -mymap = {} -last = "" - -with open("../build/XSSimTop.v", "r") as f: - line = f.readline() - cnt = 0 - while(line): - if "module " in line: - if last!="" : - mymap[last] = cnt - last = line[6:-2] - cnt = 1 - else: - cnt = cnt + 1 +import os +import argparse + +def printMap(mp): + len_key = max(map(lambda s: len(s), mp.keys())) + len_value = max(map(lambda v: len(str(v)), mp.values())) + pattern = "{:<" +str(len_key) + "} {:<" +str(len_value)+ "} {:<7}%" + total = sum(mp.values()) + for k,v in sorted(mp.items(), key=lambda x:x[1], reverse=True): + print( + pattern.format(k, v, round(v*100.0/total, 3)) + ) + + +def analyzeVerilog(filename): + mymap = {} + last = "" + with open(filename, "r") as f: line = f.readline() - mymap[last] = cnt - for k,v in mymap.items(): - print(k, v) \ No newline at end of file + cnt = 0 + while(line): + if "module " in line: + if last!="" : + mymap[last] = cnt + last = line[7:-2] + cnt = 1 + else: + cnt = cnt + 1 + line = f.readline() + mymap[last] = cnt + printMap(mymap) + +logLevels = ['ALL', 'DEBUG', 'INFO', 'WARN', 'ERROR'] + +def listToStr(lst): + acc = '' + for l in lst: + acc += '|' + str(l) if acc else str(l) + return acc + +def lineStrip(line): + return line.replace('\n', '') + +def getNumLogLines(filename, modules, ll=logLevels): + cmd = "grep -E '\[({0}).*\]\[time=.*\] ({1}):' {2} | wc -l".format( + listToStr(ll), + listToStr(modules), + filename + ) + res = os.popen(cmd) + return int(lineStrip(res.readline()), 10) + +def analyzeLog(filename): + cmd = "grep -E '\[time=.*\]' {0} ".format(filename) + " | awk -F '(:)' {'print $1'} | awk {'print $NF'} | sort | uniq" + res = os.popen(cmd) + modules = list(map(lineStrip, res.readlines())) + mymap = {} + for m in modules: + mymap[m] = getNumLogLines(filename, [m]) + printMap(mymap) + +def main(): + parser = argparse.ArgumentParser() + parser.add_argument("-v", "--verilogFile", help="verilog file path", type=str) + parser.add_argument("-l", "--logFile", help="log file path", type=str) + args = parser.parse_args() + + if args.verilogFile: + analyzeVerilog(args.verilogFile) + + if args.logFile: + analyzeLog(args.logFile) + + if not args.verilogFile and not args.logFile: + parser.print_help() + +if __name__ == '__main__': + main() +